Download as pdf or txt
Download as pdf or txt
You are on page 1of 3

FUTURE VISIONS AND CURRENT CONCERNS SECTION 1

3D Integration:
Why, What, Who, When?

Jian-Qiang Lu, Ken Rose Susan Vitkavage


Center for Integrated Electronics, Rensselaer Polytechnic Institute SEMATECH

Abstract Introduction patible 3D technology (Figure 2(g-k)).


Three-dimensional (3D) integration is Three-dimensional (3D) integration is Each 3D technology is briefly described
an emerging technology that is expected an emerging technology that can form below, with some academic or industrial
to lead to an industry paradigm shift due to highly integrated systems by vertically organization names, as examples, show-
its tremendous benefits. Worldwide aca- stacking and connecting various materi- ing the worldwide research and develop-
demic and industrial research activities als, technologies and functional compo- ment activities:
currently focus on technology innova- nents together, as shown in Figure 1. (a) Packaging-based 3D integration
tions, simulation and design, and product The potential benefits of 3D integration enabled by wire bonding and flip-chip
prototypes. Anticipated applications start can vary depending on approach; they bonding as shown in Figure 2(a).
with memory, portable device and high- include multifunctionality, increased These include system-in-package
performance computers and extend to performance, reduced power, small form (SiP), which is formed by stacking
high-density multifunctional heteroge- factor, reduced packaging, increased thinned chips with wire bonding to
neous integration of infotech-nanotech- yield and reliability, flexible heteroge- connect them, and package-on-pack-
biotech systems. neous integration and reduced overall age (PoP), which is formed by stacking
costs. For example, a small form factor is packages such as SiPs with flip-chip
achieved by stacking active component bonding. These SiPs or PoPs are
layers on top of one another in any 3D already commercially available prod-
approach. Speaking at the 2006 IEEE ucts (e.g., from Amkor in the U.S. and
International Electron Devices Meeting STATS ChipPAC in Singapore). Today’s
(IEDM), Dr. Chang-Gyu Hwang, president new cell phones have at least one SiP
and CEO of Samsung Electronics, stated or PoP.
that “…rapid adoption of 3-D integration (b) Die-to-die 3D integration enabled by
technology seems to be essential and, thinned die-to-die bonding and
thankfully, unavoidable.” He believes that through-silicon-via (TSV) intercon-
the industry paradigm will shift to a new nections as shown in Figure 2(b). The
industry-fusing technology era that will TSVs are typically formed by laser drill
offer tremendous global opportunities (e.g., prototype eight-die memory
for expanded use of 3D silicon-based stack by Samsung in Korea) or deep
technologies in highly integrated sys- reactive-ion-etching (deep-RIE, such
tems. Indeed, 3D integration is recog- as a Bosch process) (e.g., University of
nized as an enabling technology for Arkansas in the U.S. and ASET in
future ICs and low-cost micro/ Japan), followed by copper fill.
nano/electro-opto/bio heterogeneous (c) Die-to-wafer 3D integration, as shown
systems. Detailed benefits are explored in Figure 2(c), enabled by die-to-wafer
in many publications and summarized bonding, with interchip electrical
below. interconnections formed by post-bond
via formation (e.g., Ziptronix in the
3D Integration Approaches and U.S.) or solder (or eutectic) bonding
Worldwide Research Activities during the die bonding process (e.g.,
Various 3D technologies are currently Fraunhofer IZM in Germany). This
pursued, as shown in Figure 2. They can approach uses techniques from both
be divided into three categories based on packaging and wafer fab, such as die
their similarity to other technologies: (1) pick-and-place and TSV formation,
3D packaging technology (Figure 2(a-c)); respectively.
Figure 1. A vision of future 3D hyper-inte-
gration of infotech, nanotech and biotech (2) transistor buildup 3D technology (d) Transistors formed inside the on-chip
systems – a new paradigm for future (Figure 2(d-f)); and (3) monolithic, wafer- interconnect layer on a piece of
technologies.
level, back-end-of-the-line (BEOL)-com- recrystallized silicon film as shown in >>

FUTURE FAB International, Issue 23, July 2007 www.future-fab.com | 00


27
SECTION 1 FUTURE VISIONS AND CURRENT CONCERNS
3D Integration: Why, What, Who, When?

>> Figure 2(d). A small piece of amor- alignment, bonding, thinning and There are also other approaches that
phous silicon film is deposited with a interwafer interconnections as shown are not shown in Figure 2, such as form-
catalyst followed by either laser heat- in Figure 2(g-k). All approaches shown ing the TSVs during the front-end-of-the-
ing or rapid thermal anneal to recrys- use TSVs to form the interstrata inter- line processing (Tezzaron), stacking chips
tallize the silicon. The transistors are connections. They differ as to when with metallization on the stack side
then formed by BEOL compatible pro- the via is formed, before/during bond- (Irvine Sensors in the U.S.), stacking chips
cessing (e.g., Stanford University in ing (via-first) or after bonding (via- on silicon carrier with TSVs (IBM) or com-
the U.S.). last). In addition, a variety of bond bining various approaches.
(e) Transistors formed on poly-silicon layer types can be chosen. Four major
films layer by layer with tungsten bonding and interstrata interconnec- Technology Comparison
interlayer vias as shown Figure 2(e). tion approaches are highlighted in and Applications
After the first layer of transistors is Figure 2(h-k): We briefly discuss the advantages and
completed, an amorphous silicon film • via-last, oxide-to-oxide bonding limitations of various 3D technologies
is deposited and converted to poly-sil- (Figure 2(h)), and their potential applications; a full
icon (e.g., MATRIX SEMI in the U.S.). • via-last, adhesive (polymer) bonding comparison among 3D technologies is
The tungsten via can tolerate the rela- (Figure 2(i)), beyond the scope of this article. In gener-
tively high temperatures (~600 C) • via-first, copper-to-copper bonding al, all 3D technologies would offer high
needed for poly-silicon conversion (Figure 2(j)), and density component integration with
and transistor formation. • via-first, metal/adhesive redistribu- • small form factor (small size and light
(f) Transistors formed on single-crystal tion layer bonding (Figure 2(k)). weight);
silicon films layer by layer as shown • reduced packaging; and
Figure 2(f). The silicon layer can be Academic and industrial organizations • reduced power (fewer I/Os to be
bonded onto the oxide surface of a are actively developing a variety of driven).
previously fabricated transistor layer wafer-level 3D technologies, such as
by transferring the crystal silicon film Lincoln Lab, MIT, Rensselaer Polytechnic For 3D packaging technologies (Figure
from a silicon-on-insulator (SOI) Institute (RPI), the University at Albany, 2(a-c)), using known good die (KGD) can
wafer. The interstrata via is filled with Freescale, IBM, Intel, SEMATECH and provide a yield advantage. Time-to-mar-
poly-silicon and/or tungsten, enabling Tezzaron in the U.S.; CEA-LETI, ket for a new product is short because
device fabrication at relatively high Fraunhofer and IMEC in Europe; and flexibility in the assembly process
temperature (e.g., Samsung in Korea). Tohoku University in Asia. Many organi- requires less design effort for a new sys-
(g) Wafer-level BEOL-compatible 3D zations are currently evaluating compet- tem. However, the cost for high-volume
hyper-integration enabled by wafer ing wafer-level 3D technologies. production can be high because of the
testing required for KGDs and the low
throughput of pick-and-place assembly.
The SiP and PoP approaches (Figure 2(a))
are used in portable devices (e.g., cell
phones). The die-stack approach with
TSVs (Figure 2(b)) has been demonstrated
for memory stacks. The die-wafer
approach (Figure 2(c)) has the potential
for building 3D SoCs.
Transistor buildup 3D technology
(Figure 2(d-f)) can achieve the highest
density of Si transistors with wafer-level
fabrication using advanced photolithog-
raphy. Wafer-level processing can also
reduce costs for high-volume production.
However, the processing constraints (par-
ticularly the thermal budget) affect the
properties of the transistors and limit the
material choices mostly to silicon and
tungsten. The Si recrystallization
approach (Figure 2(d)) could be used for
fabrication of repeaters within intercon-
nect to alleviate interconnect delay. The
poly-Si layer approach (Figure 2(e)) is
Figure 2. Schematic Representations of Major 3D Integration Approaches: (a-c) 3D Packaging used for low performance memory. The
Technology, (d-f) Wafer-Level transistor Buildup 3D Technology and (g-k) Wafer-Level BEOL- bonded crystal Si approach (Figure 2(f))
compatible 3D Technology
can be used for an NAND flash memory

26
00 | FUTURE FAB International | Issue 23
FUTURE VISIONS AND CURRENT CONCERNS SECTION 1
3D Integration: Why, What, Who, When?

stack. Companies such as Samsung that delivery (RPI). Design and simulation MEMS, and various micro/nano-scale
manufacture cell phones and handhelds tools have been demonstrated for this 3D chemical, bio, thermal, mechanical,
see great potential for a high-density technology, such as for ECAD tools electrical and optical sensors. In the
memory stack. (Lincoln Lab, MIT, R3 Logic in the U.S.), future, it will not be just a dream that a
For wafer-level BEOL-compatible 3D switching energy, and thermal and tiny device can fly, move, see, smell,
technology (Figure 2(g-k)), the electri- mechanical simulations (Intel, MIT, and hear, taste (analyze), feel and “think”; it
cal, RF, optical, thermal and mechanical RPI in the U.S.). will be able to interact with other
behavior can be considered for each devices and with human beings and
component separately. For example, the 3D Integration Perspectives their surroundings; it will also perform
starting yield can be improved by fabri- Various 3D approaches may be com- certain functions, such as for security
cating logic and memory on separate bined to offer more flexible integration (e.g., detection of dangerous materials,
wafers with optimized materials and with even higher functionality. For exam- devices or terrorists) and healthcare
process technologies. The separate ple, stacking discrete die (or discrete (e.g., medical devices and drug discov-
wafers are then stacked using a mono- devices, such as solid-state lasers or GaN ery). Our daily lifestyle and even our cul-
lithic wafer-level BEOL-compatible pro- transistors) onto a wafer stack provides a ture may be dramatically changed with a
cess for all components, potentially pathway for the integration of compound proliferation of this 3D hyper-integra-
improving the cost and overall system semiconductor devices or analog circuits tion technology.
yield at high-volume production. with digital circuits.
Typically the bottom wafer retains its Looking forward, the first killer 3D Acknowledgments
full thickness and serves as a mechani- applications would be extremely high- We would like to thank MARCO,
cal support for the stack. Each subse- density heterogeneous memory stacks DARPA, NYSTAR and SEMATECH for their
quent wafer is then thinned to micron (e.g., NAND flash, SRAM, DRAM, FRAM support. n
scale after stacking (bonding), thus the and phase change memory) and extreme-
overall stack thickness is close to that of ly high-resolution/low-cost imagers. About the Authors
a single wafer and can be processed and Advanced portable devices will also con-
packaged with current wafer technolo- tinue to drive the mix and match of vari-
James Jian-Qiang Lu
gies. Massive (millions), short (micron- ous 3D approaches. James Jian-Qiang Lu is an associate
scale) interwafer interconnects (vias) Once 3D integration technology is professor at Rensselaer Polytechnic
provide extremely high data bandwidth mature and the manufacturing infrastruc- Institute, Troy, N.Y., with 150+ publica-
and dramatically decrease interconnect ture (such as ECAD tools, fabrication tions in micro/nano-electronics theory
delay and power consumption. equipment and standards) is in place, it is and design to materials, processing,
BEOL-compatible 3D hyper-integration likely that more ICs will be designed for devices, integration and packaging; he
is perhaps the most attractive 3D technol- general purposes, because massive pro- has particular interest in 3D hyper-inte-
ogy due to its flexibility for heterogeneous duction of 3D ICs would lower the manu- gration of infotech, nanotech and
integration of different materials, pro- facturing cost. For instance, a general- biotech systems. Email: Luj@rpi.edu.
cessing technologies and functional com- purpose 3D multi-core processor (with Ken Rose
ponents with additional benefits in cost extremely high-density memory, FPGA Ken Rose is an emeritus professor at
and performance. Many research groups and software in the nonvolatile memory Rensselaer Polytechnic Institute, Troy,
are exploring its potential applications, in the same stack) could be repro- N.Y., with research interest in VLSI
such as improving interconnect delay grammed by FPGA or software for multi- design, and has led development of
(George Institute of Technology (GaTech), ple purposes, or varying purposes, over a ECAD performance estimation tools for
MIT, RPI and Stanford in the U.S., and processor’s lifetime. CPUs and memories. Email:
IMEC and Infineon in Europe), memory As shown in Figure 1, ongoing Rosek@rpi.edu.
stacks (Cornell, RPI and Tezzaron in the research and development in 3D inte- Susan Vitkavage
U.S.), memory-processor or logic-logic gration could lead to a new paradigm of Susan Vitkavage, Ph.D., manages
stacks (IBM, Intel, Freescale, Tezzaron future technologies for hyper-integration SEMATECH’s 3D Interconnect Project to
and RPI in the U.S.), signal processing cir- of infotech-nanotech-biotech systems, build infrastructure and define a
cuits (GaTech and North Carolina State enabling extremely high functionality, roadmap for 3D. Prior to joining SEMA-
University in the U.S.), field pro- high performance and small size and TECH, Dr. Vitkavage spent 15 years as a
grammable gate arrays (FPGAs) (MIT and weight with very low cost. Different com- senior manager for Bell Laboratories,
the University of Minnesota in the U.S.), ponents optimized for energy/power where her group was responsible for
imagers (Lincoln Lab and RTI can be integrated, such as processors, advanced interconnect R&D. Email:
International in the U.S.), mixed-signal memories, wireless communications, susan.vitkavage@sematech.org.
and RF applications (RPI) and 3D power special functions of nano-devices and

www.future-fab.com | 27
00

You might also like