Vertical Gaafets For The Ultimate Cmos Scaling: Abstract - in This Paper, We Compare The Performances of

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO.

5, MAY 2015 1433

Vertical GAAFETs for the Ultimate CMOS Scaling


Dmitry Yakimets, Student Member, IEEE, Geert Eneman, Pieter Schuddinck, Member, IEEE,
Trong Huynh Bao, Student Member, IEEE, Marie Garcia Bardon, Member, IEEE,
Praveen Raghavan, Member, IEEE, Anabela Veloso, Nadine Collaert,
Abdelkarim Mercha, Diederik Verkest, Member, IEEE,
Aaron Voon-Yew Thean, and Kristin De Meyer, Fellow, IEEE

Abstract— In this paper, we compare the performances of


FinFETs, lateral gate-all-around (GAA) FETs, and vertical
GAAFETs (VFETs) at 7-nm node dimensions and beyond.
Comparison is done at ring oscillator level accounting not only
for front-end of line devices but also for interconnects. It is
demonstrated that FinFETs fail to maintain the performance
at scaled dimensions, while VFETs demonstrate good scalability
and eventually outperform lateral devices both in speed and
power consumption. Lateral GAAFETs show better scalability
with respect to FinFETs but still consume 35% more energy per
switch than VFETs if made under 5-nm node design rules.
Index Terms— Design technology cooptimization, FinFET,
nanowire (NW), scaling, vertical gate-all-around FET (VFET). Fig. 1. 3-D sketches of different devices considered in this paper.

I. I NTRODUCTION In contrast, vertical GAAFETs (VFETs) are less constrained


on gate length and spacer thickness as they are oriented
C ONTINUOUS scaling suggests endless reduction of
contacted gate pitch (CGP). This results in a tradeoff
between gate length (L G ), S/D spacer thickness, and
vertically and thus should demonstrate even better scalability.
All the above-mentioned devices are sketched in Fig. 1 for
S/D contact size in a typical lateral device. Gate should be long clearness.
enough to maintain short-channel effects (SCEs). Minimum Our previous comparison of lateral and VFETs revealed
spacer thickness is defined by reliability requirements and/or that vertical devices may perform better than lateral ones [3].
by capacitance between gate and S/D electrodes. Narrow However, vertical devices were only compared with lateral
S/D contacts result in high access resistance. devices made of single layer of NWs. In addition, it was
CMOS scaling has been enabled by FinFETs. However, to not clear if GAAFETs may compete at all with performance
maintain SCE control, fin thickness should be scaled together provided by standard FinFETs. The aim of this paper is to
with gate length, which may result in too strong threshold identify crossover points where VFETs start to outperform
voltage (VT ) variability [1]. Lateral gate-all-around (GAA) FinFETs and lateral GAAFETs. In addition, as vertical
FETs made of nanowires (NWs) allow relaxed channel dimen- architecture is quite disruptive, it should demonstrate good
sions with respect to fin thicknesses while providing similar scalability with a perspective for further nodes.
SCE control [2]. This suggests their better scalability and This paper is organized as follows. Section II presents
puts off the problem of CGP budgeting but does not solve it. the description of lateral and vertical layouts along with the
assumptions on key design rules. Section III describes the
Manuscript received December 18, 2014; revised February 5, 2015 and modeling methodology of the intrinsic device performance
March 13, 2015; accepted March 16, 2015. Date of publication April 2, 2015; and related device RC parasitics. We discuss the results of
date of current version April 20, 2015. The review of this paper was arranged
by Editor H. Jaouen. our benchmarking study between FinFETs, lateral GAAFETs,
D. Yakimets and K. De Meyer are with imec, Leuven 3001, Belgium, and VFETs on ring oscillator (RO) level in Section IV.
and also with the Department of Electrical Engineering, Katholieke The advantages and limits of the different technologies are
Universiteit Leuven, Leuven 3001, Belgium (e-mail: dmitry.yakimets@
imec.be; kristin.demeyer@imec.be). quantified, providing insight on their relative performance.
G. Eneman, P. Schuddinck, M. G. Bardon, P. Raghavan, A. Veloso,
N. Collaert, A. Mercha, and A. V.-Y. Thean are with imec, Leuven 3001, II. D EVICE L AYOUTS D ESCRIPTION
Belgium (e-mail: geert.eneman@imec.be; pieter.schuddinck@imec.be;
bardon@imec.be; praveen.raghavan@imec.be; anabela.veloso@imec.be; Three device types are compared in this paper (Fig. 1).
nadine.collaert@imec.be; abdelkarim.mercha@imec.be; aaron.thean@ Layouts of standard cells depend on the device choice and,
imec.be).
T. Huynh Bao and D. Verkest are with the imec, Leuven 3001, Belgium, in turn, define device dimensions. FinFETs and lateral
and also with Vrije Universiteit Brussel, Brussels 1050, Belgium (e-mail: GAAFETs result in identical layouts as from the top-down
trong.huynhbao@imec.be; verkest@imec.be). view they see the same footprint. The cells height is assumed
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. to be nine tracks [a single track corresponding to a one metal
Digital Object Identifier 10.1109/TED.2015.2414924 pitch (MP)]. The fin pitch (FP) is set to 3/4 of the MP to
0018-9383 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
1434 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 5, MAY 2015

TABLE I
K EY S CALING TARGETS FOR D IFFERENT T ECHNOLOGICAL N ODES

Fig. 2. Layouts of lateral (left) and vertical (right) devices. VFETs-based


cells are taller than lateral FETs-based cells but do not require dummy gates, Fig. 3. Device modeling flow from TCAD to SPICE simulations.
which results in the similar cells area.

provide the best fins utilization within a cell: both nMOS and
pMOS consist of four fins each. The rest of the cell is used
to enable power supply connection and gate access (Fig. 2).
Layouts of VFETs-based standard cells are different. They
are difficult to interpret, because from the top-down view all
the electrodes (top, gate, and bottom) overlap. It is natural to
drive power rails above the top electrodes to ease contacting.
This choice implies a need for extra routing tracks resulting in
taller standard cells with respect to lateral devices. We assume Fig. 4. Fitting of SS and DIBL from CM to the TCAD data for FinFETs
13 tracks designs for the vertical architecture. Such an increase (fin width is 5 nm) and GAAFETs (NW diameters are 7 and 10 nm).
of the height of the VFETs-based cells is possible as they do CM data are lines and TCAD data are symbols.
not require dummy gates at the edges of the cells. Lateral
devices use them to separate fins between cells (Fig. 2). as it allows both critical dimensions shrinking and pattern
To ensure comparison under the same footprint, the vertical multiplication [6].
device pitch (VDP) should be linked with the CGP of lateral We may calculate how many NWs a VFET consists of,
devices with assuming that the NWs are placed in a single line to ease
Hl N + 1 gate-stack processing (Fig. 2). The number of NWs is limited,
VDP = CGP (1) because the zone where NWs should be placed is only four
Hv N
MP long (Fig. 2). For both 7- and 5-nm technologies, we
where Hl = 9 and Hv = 13 for lateral and vertical standard assume a physical oxide thickness to be 2 nm. In this case,
cell’s height expressed in number of tracks, respectively; seven NWs per device can be used for both nodes if NW pitch
and N is a cell’s width in a number of stacked devices. is equal to 0.58× of the MP. For the 5-nm technology,
The extra unity in the numerator accounts for dummy gates NW pitch results in ∼14 nm. The minimum NW diameter
in lateral devices. N = 2 for a NAND 2 cell and thus was assumed to be 7 nm and the maximum possible
VDP = 1.04 CGP, so VDP and CGP are almost equal. In [4], NW diameters (Dmax ) depend on the technology and are given
we have already demonstrated that VFETs are beneficial for in Table I.
complex designs (e.g., a VFETs-based 32-bit multiplier
is 19% smaller compared with its lateral version) while still III. D EVICE M ODELING D ETAILS
starting with an assumption of equal lateral and vertical
NAND 2 cell area. A. General Overview
Analysis is done for 7- and 5-nm technological nodes with TCAD data were used to calibrate the Berkeley Spice Com-
an assumption of standard 0.7× scaling. Key ground rules mon Multi-gate FET (BSIM-CMG) compact model (CM) [7],
assumed for these nodes are summarized in Table I. All the which was used afterward in the SPICE simulations. The over-
layouts exploit unidirectional metal routing in case the 193-nm all flow is schematically shown in Fig. 3. Synopsys Sentaurus
immersion lithography would be used [5]. Yet, designs are Device [8] was used to obtain subthreshold characteristics
compatible with an extreme ultraviolet lithography, which (Fig. 4). However, TCAD drift-diffusion (DD) models fail to
might reduce a number of lithography masks needed. The accurately predict drive because they do not take the quasi-
NW pitch in a VFET may be tighter than the lateral ballistic (QB) transport into account. Therefore, Synopsys
FP if a directed self-assembly is used for NWs patterning Sentaurus Band Structure [9] top of the barrier simulations

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
YAKIMETS et al.: VERTICAL GAAFETs FOR THE ULTIMATE CMOS SCALING 1435

Fig. 5. Ninv and v inj as function of gate voltage (left) and applied
stress (right) for a nMOS FinFET. Fin height is 30 nm and fin width is 5 nm.
IOFF = 3.5 nA, S/D resistances, and SCEs are ignored.

were carried for different device structures to determine bal- Fig. 6. Sketches of different devices showing parasitics considered in
listic currents. Device RC parasitics up to Metal 1 level were this paper. Left: source side of a FinFET and drain side of a lateral GAAFET.
Right: VFET.
calculated analytically and were included in the analysis as
lumped components. reduction by setting αμ = 0.07 nm V s cm−2 for relaxed
devices [15] and a bit lower αμ = 0.05 nm V s cm−2 for
B. Drive Strength stressed devices [10]. This parameter is used in (4) to calculate
The BSIM-CMG CM is a DD model. Extending it toward apparent mobility with the Mathiessen’s rule
the QB transport is done by the adjustment of low-field 1 1 αμ
mobility and saturation velocity values [10], [11]. This section = + (4)
μapp μlch LG
discusses how these adjustments may be implemented.
where μapp is an apparent mobility and μlch is a
If parasitic S/D resistances and SCEs are ignored, the fully
long-channel mobility. For the lateral devices, μlch is
ballistic current may be expressed as
300 and 400 cm2 V−1 s−1 for electrons and holes, respec-
IDS = q Ninv v inj (2) tively [16]. For the VFETs, the mobility is twice lower for
both electrons and holes due to the absence of stress in the
where q is a charge, Ninv is a number of inversion carriers,
channel.
and v inj is an injection velocity. Synopsys Sentaurus Band
In addition, SCEs should be captured by the CM. Data on
Structure simulations provide data on Ninv and v inj as a
electrostatics were obtained through simulations in Synopsys
function of the applied voltage and stress in the channel.
Sentaurus Device using recommended leakage and quantiza-
In case of silicon, Ninv weakly depends on the applied
tion models for 10-nm technological node Si bulk FinFETs [8].
stress and is defined by the gate voltage, while v inj is rather
The equivalent oxide thickness (EOT) was kept constant across
insensitive to a device bias, but is a strong function of
the technologies and equal to 0.765 nm, which corresponds to
stress (Fig. 5).
the gate dielectric composed of 0.5-nm thick SiO2 and 1.5-
First, the CM should be tuned to operate with the same
nm-thick HfO2 . The obtained data on subthreshold slope (SS),
charge as TCAD predicts. This may be done by adjusting
threshold voltage roll-off, and drain-induced barrier lowering
the position of the charge centroid (this affects W and COX
(DIBL) were fitted for different devices (Fig. 4).
calculations). Proper VT is set by work-function adjustment.
The second step is to reproduce current predicted by TCAD.
Synopsys Sentaurus Band Structure provides information on C. Device Parasitics
the full ballistic current only. To calculate the realistic satu- Device RC parasitics up to Metal 1 level were modeled ana-
ration current, the ballistic ratio (BR) may be used. BR is a lytically, as shown in Fig. 6. The analytical models were based
function of a gate length, and so is the device current on the published data [17]–[20] and partially calibrated with
the numerical analysis. Junction capacitances in lateral devices
IDS (L G ) = BR(L G )Ibal . (3)
were handled by the BSIM-CMG CM. For the vertical devices,
Moroz et al. [12] provide data on BR(L G ) for both junction capacitances were neglected as the bottom electrode
stressed (2 GPa) and relaxed devices. We assume lateral is separated from the substrate by the insulator (Fig. 6) and
devices to be well stressed to boost mobility and injection hence junctions are only formed at the small interface between
velocity (2 GPa for nMOS and −2 GPa for pMOS). However, the NW and the substrate.
there is no known way to introduce stress into the channel of For the lateral devices, we assumed diamond-shaped
a VFET. epitaxial SiGe and SiC S/D structures with 70° top angle
The CM may be fitted for the IDS (L G ) by setting the for pMOS and nMOS, respectively. The doping inside the
saturation velocity parameter to be a function of the gate extension regions was assumed conformal, due to its narrow
length. However, to capture both saturation and linear currents, cross section. The optimistic assumption of equally high
the carriers mobility should be adjusted as well. The long- doping level (3 × 1020 cm−3 ) in the extensions and the
channel mobility should be replaced by the apparent mobility epitaxial S/D structures was made to reduce their resistivity.
due to ballistic mobility reduction and additional scattering The same high doping was also used for the VFETs extensions.
mechanisms [13]. We assumed a moderate [14] mobility To maximize contacting area for lateral devices, we assumed

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
1436 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 5, MAY 2015

Fig. 7. Parasitics comparison for different device architectures for 5-nm


technological node. Resistances at the top (for lateral devices R S = R D )
and capacitances at the bottom (both channel and Miller gate to drain
capacitances). Assumed gate length is 14 nm and spacer thickness is 5 nm.
For lateral NWFETs, three options are reported with different number (N ) of
stacked NWs (labeled as 4 × N ).
Fig. 8. Saturation current versus gate length for different devices at 5-nm
technological node. VDD = 0.6 V. For all the devices, S/D spacer thickness
that S/D epitaxial structures were grown just until they touched is set to 5 nm. Lateral NWFETs are made of a single layer of NWs.
each other but did not merge. Specific contact resistivity (a) Ideal situation, when SCEs are neglected and S/D access resistance is
between silicon and metal was 5 × 10−9  cm2 [21]. For the zero. (b) S/D access resistance is zero, but the SCEs from Fig. 4 are used.
(c) Both SCEs and S/D access resistance are considered.
VFETs, we assumed the electrodes to be made of tungsten
with a thickness set to MP. Thick electrodes helped to reduce
contact resistance with NWs (RCB and RCT in Fig. 6). D. Total Device Performance
Vertical pitch between the NWs in lateral GAAFETs Using the obtained CMs, we now compare the devices
was 14 nm as these devices are normally fabricated from at two different leakage levels: 10 and 0.1 nA. Gate
Si/SiGe superlattice, which can enable very tight pitches. work-function is adjusted to achieve same leakage for any
For lateral devices, gate height above the top of a fin/NW device geometry. SCEs and parasitics resistances are added
was 25 nm. We assumed that the same spacer material successively on the initial ideal devices to understand their
was used for all the devices with an effective dielectric relative impacts (Fig. 8). VFETs are made of seven NWs,
permittivity of 5.5. FinFETs have four fins, and lateral GAAFETs have four fins,
Vertical devices are asymmetric: R S = R D . The bottom which are split into several stacked NWs. First, lateral
electrode is only accessible through the narrow and deep GAAFETs are assumed to have just a single layer of NWs.
(thus highly resistive) via. On top of that, as the contacting For the ideal case, FinFETs outperform NWFETs, because
to the bottom electrode is done from its short side, there is of almost four times larger channel cross-sectional area.
a highly resistive path between the first and the last NW in As VFETs are not strained, current from them is comparable
the line. The top electrode is free of these issues: we assumed with the one from lateral GAAFETs despite the different
that the current flows uniformly though the entire top electrode number of NWs [Fig. 8(a)]. At low leakage, steep SS is
surface as a contact is placed on top of it (inbound power important and that is why FinFETs performance at short gate
rails, Fig. 2). Therefore, to capitalize VGS in VFETs, source is lengths is degraded [Fig. 8(b)]. For long gates, current drops
placed at the top electrode and drain is at the bottom electrode. because of S/D parasitic resistances [Fig. 8(c)]. The higher the
Parasitics comparison between the devices at 5-nm initial current, the higher the degradation, because of larger
technological node is shown in Fig. 7. Gate length here was voltage drop at these resistances and thus lower effective
set to 14 nm and spacer thickness to 5 nm. For lateral devices, device biasing. Thus, FinFET currents in a low-leakage regime
access resistance is limited by S/D contacts. Contact resistance are similar to NWFET currents.
together with tungsten plugs resistance (RC and R S/D cont For the high-performance regime, FinFETs still deliver
in Fig. 6, respectively) account for 70% of the total resistance. more current than NWFETs. A possible solution for lateral
Contact resistance does not improve if extra NWs are added GAAFETs is to stack NWs on top of each other (Fig. 9).
to a stack in lateral NWFETs. However, with every extra Eight layers of NWs were stacked, but the current was boosted
stacked NW, gate capacitance increases linearly, which may by three times only with respect to an initial case. This limited
severely degrade RO performance (Section IV-B). increase happens due to a high S/D contact resistance.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
YAKIMETS et al.: VERTICAL GAAFETs FOR THE ULTIMATE CMOS SCALING 1437

Fig. 10. (a) Optimal (minimum RO delay) fin height and gate length
combinations for different VDD . (b) Impact of a number of stacked NW layers
Fig. 9. Saturation current of the lateral GAAFET as a function of a in lateral GAAFETs on RO delay (L G and spacer thickness are optimized for
number of stacked NWs. Leakage is constant because of work-function minimum RO delay at every point).
adjustments.

TABLE II
RC -D ELAY OF THE 100 CGP L ONG W IRE I NCREASES W ITH S CALING

IV. R ING O SCILLATOR L EVEL B ENCHMARKING


A. General Overview
As the benchmark, we used the 15 stages fan-out = 1
inverter-based RO with an RC load between the adjacent
stages to imitate the back-end of line (BEOL). Simulations
were made in SPICE. Within every technological node, devices
geometrical configurations were optimized to have a minimum Fig. 11. Performance of ROs built with different devices across different
delay. To maintain desired leakage constant, the work-function technological nodes. Devices at every point are optimized for minimum
RO delay. Figures on the left demonstrate key figure of merits for the
was adjusted prior to the simulations. Optimization was high-performance flavor (IOFF = 10 nA) as a function of VDD . Figures
performed with the Nelder–Mead simplex method [22]. For on the right show the performance of different devices at different flavors
FinFETs, fin thickness was fixed at 5 nm. NW diameter (10 and 0.1-nA leakage current) for VDD = 0.50 V and VDD = 0.65 V.
for lateral GAAFETs was fixed at 7 nm. For the VFETs,
NW diameter was varied from 7 nm to Dmax value VDD make devices more sensitive to a S/D resistance. Shorter
from Table I. S/D spacer thickness was varied from 4 to 10 nm. gates allow wider contacts, lowering this resistance.
Gate length was varied from 10 to 30 nm for VFETs. For
lateral devices, the upper limit on gate length was set by CGP, C. Performance Scaling Across Nodes
assuming the minimum S/D contact width to be 5 nm. At the 7-nm technological node, VFETs cannot reach the
Simulations in Sections IV-B and IV-C consider load from performance of lateral devices (Fig. 11). In case of high
100 CGP long interconnects, and Section IV-D discusses the supply voltage (0.65 V), FinFETs provide performance similar
impact of the wire length on the RO performance. We assumed to the lateral stacked GAAFETs. Once the VDD is reduced,
interconnects are made with 1/2-MP wide and MP tall copper FinFETs start to lag behind especially for the low-leakage
wires. Diffusion metal barriers are manganese-based and flavor [Fig. 11 (right)]. Yet, lateral stacked GAAFETs
1-nm thick. The wire RC parasitics are calculated as proposed consume less power for both high-performance and
in [21] with an assumption of the uniform dielectric (εr = 2.1) low-leakage regimes. However, lateral GAAFETs made
around the wire. These data are summarized in Table II. with the 5-nm design rules fail to keep up 7 nm-like
performance. In turn, VFETs get faster and achieve the best
performance and power consumption at the 5-nm node. This
B. Optimization Results for Lateral Devices
demonstrates their good scalability.
Because of the high front-end of line capacitance, the The performance should be maintained or improved with
optimal fin height in FinFETs [Fig. 10(a)] and the optimal scaling. In addition, the power consumption should be reduced
number of stacked NWs in lateral GAAFETs [Fig. 10(b)] tend to justify the scaling. In case the nominal VDD for the 7-nm
to decrease with scaling to maximize the overall performance. technological node is 0.6 V, the lateral NWFETs made with
The fine gate length optimization depends on the VDD choice. the 5-nm node design rules provide equal performance only
At high VDD , requirements for SS are less strict because of at 0.65 V. Lack of VDD reduction means that area reduction
more overdrive available. In addition, high currents at high is the only gain from scaling, but not speed or power.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
1438 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 5, MAY 2015

7-nm technology node. However, further scaling of lateral


devices is strongly limited by access resistance. Even though
NWs allow aggressive gate length scaling, contact resistance
still limits the performance. Lateral devices fail to deliver
sufficient performance at 5-nm technological node together
with power reduction. In contrast, VFETs demonstrate good
node-to-node scalability and enable power, performance,
Fig. 12. (a) Impact of the interconnect wire length on the RO frequency and area gains beyond 7-nm technologies. As such, VFETs
at 5-nm node. All the devices are optimized for the maximum performance should be considered as a prominent option for the ultimate
under 100 CGP long wire load. Wire length is expressed in a number of CGPs. CMOS scaling.
VDD = 0.6 V and leakage current is 10 nA. (b) RO effective capacitance
increases by 10–15 times with wire length increase from 10 to 1200 CGP.
(c) However, the RO effective resistance increases by only 1.7–2 times for R EFERENCES
the same wire length increase. RO Ceff and Reff data are normalized to the [1] J. B. Chang et al., “Scaling of SOI FinFETs down to fin width of 4 nm
Ceff and Reff values of the RO loaded with the shortest (10 CGP long) wire. for the 10 nm technology node,” in Proc. Symp. VLSI Technol. (VLSIT),
Jun. 2011, pp. 12–13.
[2] C. P. Auth and J. D. Plummer, “Scaling theory for cylindrical, fully-
VFETs provide both area (50% smaller cells) and power depleted, surrounding-gate MOSFET’s,” IEEE Electron Device Lett.,
vol. 18, no. 2, pp. 74–76, Feb. 1997.
reduction (∼37%) maintaining the performance of the 7-nm [3] D. Yakimets et al., “Lateral versus vertical gate-all-around FETs
technology. This power reduction comes not from the for beyond 7 nm technologies,” in Proc. 72nd Annu. Device Res.
VDD reduction, but from the device architecture: 5-nm VFETs Conf. (DRC), Jun. 2014, pp. 133–134.
[4] T. H. Bao et al., “Circuit and process co-design with vertical
provide sufficient performance only at 0.6 V. gate-all-around nanowire FET technology to extend CMOS scaling for
However, beyond 5-nm technological node it gets difficult to 5 nm and beyond technologies,” in Proc. 44th Eur. Solid State Device
place NWs within a device, which is four MP long (Fig. 2). Res. Conf. (ESSDERC), Sep. 2014, pp. 102–105.
[5] B. Vandewalle, B. Chava, S. Sakhare, J. Ryckaert, and M. Dusa, “Design
MP keeps scaling, while NW diameter is unlikely to scale technology co-optimization for a robust 10 nm Metal1 solution for logic
much. To maintain the performance, we propose slab-like design and SRAM,” Proc. SPIE, vol. 9053, pp. 90530Q-1–90530Q-13,
structures where NWs are merged to increase the conducting Mar. 2014.
[6] A. Singh, B. T. Chan, Y. Cao, G. Lin, and R. Gronheid, “Using
volume. Inevitably electrostatics gets degraded but it may chemo-epitaxial directed self-assembly for repair and frequency mul-
be recovered with longer gates. Probably, alternative channel tiplication of EUVL contact-hole patterns,” Proc. SPIE, vol. 9049,
materials will allow to maintain the performance with fewer pp. 90492F-1–90492F-8, Mar. 2014.
[7] (2013). BSIM-CMG 107.0.0 Technical Manual. [Online]. Available:
NWs per device. Though high-mobility materials is a possible http://www-device.eecs.berkeley.edu/bsim/?page=BSIMCMG
path for VFETs, they might turn out to be inefficient for [8] Sentaurus Device User Guide, I-2013.12, Synopsys, Mountain View,
lateral devices, as their poor scaling is mostly related to a high CA, USA, 2013.
[9] Sentaurus Device Monte Carlo, Sentaurus Band Structure User Guide,
S/D access resistance. Alternative channel materials have I-2013.12, Synopsys, Mountain View, CA, USA, 2013.
similar to silicon specific contact resistivity [23] and thus [10] K. Huet et al., “Monte Carlo study of apparent mobility reduc-
benefits from their potentially high mobility and injection tion in nano-MOSFETs,” in Proc. 37th Eur. Solid State Device
Res. Conf. (ESSDERC), Sep. 2007, pp. 382–385.
velocity are expected to be limited. [11] R. Granzner et al., “Simulation of nanoscale MOSFETs using
modified drift-diffusion and hydrodynamic models and comparison with
Monte Carlo results,” Microelectron. Eng., vol. 83, no. 2, pp. 241–246,
D. Interconnect Wire Length Impact on RO 2006.
Length of 90% wiring is <1250 CGP [24]. However, [12] V. Moroz et al., “Modeling and optimization of group IV and
III–V FinFETs and nano-wires,” in Proc. IEEE Int. Electron Devices
the RO performance drops dramatically for such long wires Meeting (IEDM), Dec. 2014, pp. 7.4.1–7.4.4.
[Fig. 12(a)] meaning that these wires should be fragmented [13] G. Bidal et al., “Guidelines for MOSFET device optimization accounting
and be driven by intermediate buffers. Fig. 12(a) also gives an for L-dependent mobility degradation,” in Proc. Silicon Nanoelectron.
Workshop (SNW), Jun. 2009, pp. 25–26.
insight on how long an interconnect wire can be depending [14] M. Zilli, D. Esseni, P. Palestri, and L. Selmi, “On the apparent mobility
on the device architecture. VFETs are most suited for in nanometric n-MOSFETs,” IEEE Electron Device Lett., vol. 28, no. 11,
short wires, and their benefits diminish for long wires. Due pp. 1036–1039, Nov. 2007.
[15] J. L. Huguenin et al., “Gate-all-around technology: Taking advantage of
to low VFETs device parasitic capacitances and limited ballistic transport?” Solid-State Electron., vol. 54, no. 9, pp. 883–889,
drive, these devices are most sensitive to the interconnect 2010.
capacitance [Fig. 12(b)]. The relative impact of the resistive [16] G. Eneman et al., “Stress simulations for optimal mobility group IV
p- and nMOS FinFETs for the 14 nm node and beyond,” in Proc. IEEE
component of the BEOL load is less than that of the capacitive Int. Electron Devices Meeting (IEDM), Dec. 2012, pp. 6.5.1–6.5.4.
component [Fig. 12(c)]. As such, VFETs fail to provide a suf- [17] J. Lacord, G. Ghibaudo, and F. Boeuf, “Comprehensive and accurate
ficient current to drive a long interconnect wire. RO effective parasitic capacitance models for two- and three-dimensional CMOS
device structures,” IEEE Trans. Electron Devices, vol. 59, no. 5,
capacitance and resistance were calculated from the RO delay pp. 1332–1344, May 2012.
(τ ), active (PAct ), and leakage (PLeak ) power consumptions as [18] J. Zou, Q. Xu, J. Luo, R. Wang, R. Huang, and Y. Wang, “Predictive
Ceff = 2τ (PAct − PLeak )/VDD 2 , and R
eff = τ/Ceff .
3-D modeling of parasitic gate capacitance in gate-all-around cylindrical
silicon nanowire MOSFETs,” IEEE Trans. Electron Devices, vol. 58,
no. 10, pp. 3379–3387, Oct. 2011.
V. C ONCLUSION [19] T. Y. An and S. Y. Kim, “3-D modeling of fringing gate capacitance
in gate-all-around cylindrical silicon nanowire MOSFETs,” in Proc. Int.
Lateral stacked GAAFETs may provide 10%–15% Conf. Simulation Semicond. Processes Devices (SISPAD), Sep. 2013,
power savings with respect to FinFETs already at the pp. 256–259.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.
YAKIMETS et al.: VERTICAL GAAFETs FOR THE ULTIMATE CMOS SCALING 1439

[20] S. Maheshwaram, S. K. Manhas, G. Kaushal, B. Anand, and N. Singh, Praveen Raghavan (M’04) received the bachelor’s
“Vertical nanowire CMOS parasitic modeling and its performance degree in electrical engineering from National
analysis,” IEEE Trans. Electron Devices, vol. 60, no. 9, pp. 2943–2950, Institute of Technology, Tiruchirappalli,
Sep. 2013. Tiruchirappalli, India, the master’s degree
[21] (2013). International Technology Roadmap for Semiconductors. in electrical engineering from Arizona State
[Online]. Available: http://www.itrs.net/ University, Tempe, AZ, USA, and the Ph.D. degree
[22] J. A. Nelder and R. Mead, “A simplex method for function minimiza- from the Katholieke Universiteit Leuven, Leuven,
tion,” Comput. J., vol. 7, no. 4, pp. 308–313, Jan. 1964. Belgium, in 2009.
[23] G. Shine and K. C. Saraswat, “Limits of specific contact resistivity to He is currently a Principal Scientist with the
Si, Ge and III–V semiconductors using interfacial layers,” in Proc. Int. Design-Enabled Technology Exploration Group,
Conf. Simulation Semicond. Processes Devices (SISPAD), Sep. 2013, imec, Leuven.
pp. 69–72.
[24] N. Inoue, “Challenges in Low-k integration of advanced Cu Anabela Veloso received the B.S. and M.S. degrees
BEOL beyond 14 nm node,” in Proc. IEEE Int. Electron Devices in applied physics engineering from the Instituto
Meeting (IEDM), Dec. 2013, pp. 29.1.1–29.1.4. Superior Técnico, Technical University of Lisbon,
Lisbon, Portugal, in 1996, and the Ph.D. degree
in advanced magnetic read heads from the
Dmitry Yakimets (S’12) received the B.Eng. degree Instituto Superior Técnico, Instituto de Engenharia
in electronics design and manufacturing from de Sistemas e Computadores, Lisbon, in 2002.
Bauman Moscow State Technical University, She has been with imec, Leuven, Belgium, since
Moscow, Russia, in 2010, and the M.Sc. degree 2001, where she is currently a Principal Engineer.
in nanotechnologies for ICT engineering from the
Institut Polytechnique de Grenoble, France, in 2012.
He is currently pursuing the Ph.D. degree with the
Nadine Collaert received the M.S. and Ph.D. degrees in electrical engineer-
Department of Electrical Engineering, Katholieke
ing from the Department of Electrical Engineering, Katholieke Universiteit
Universiteit Leuven, and imec, Leuven, Belgium.
Leuven, Leuven, Belgium, in 1995 and 2000, respectively.
She has been a Program Manager of the Logic Program with imec, Leuven,
Belgium, since 2012, where she has been focusing on 10-nm technology
Geert Eneman received the B.S., M.S., and nodes.
Ph.D. degrees from the Katholieke Universiteit
Leuven, Leuven, Belgium, in 1999, 2002, and Abdelkarim Mercha received the M.Sc. degree
2006, respectively, and the Ph.D. degree from imec, in electrical engineering from the École Nationale
Leuven. Supérieure d’Ingenieurs de Caen, Caen, France, in
He has been with imec since 2011. His current 1997, and the Ph.D. degree in microelectronics from
research interests include characterization of the University of Caen, Caen, in 2000.
Ge and III–V MOSFETs, and modeling of He joined imec, Leuven, Belgium, in 2001, where
alternative device structures. he is currently a Program Manager of the Integrated
Solution for Technology Exploration Program.

Pieter Schuddinck (M’12) received the


M.Sc. degree in electrotechnical engineering
Diederik Verkest received the Ph.D. degree in
from Ghent University, Ghent, Belgium, in 2005.
applied sciences from the Katholieke Universiteit
He has been involved in electronics research in
Leuven, Leuven, Belgium, in 1994.
both private and academic institutions. He joined
He has been the Director of INSITE Program,
imec, Leuven, Belgium, as a Design Engineer,
imec, Leuven, since 2010, where he has been focus-
in 2011, where he has focused on modeling and
ing on co-optimization of design and process tech-
evaluation of FE/MOL parasitic impedances in
nology for sub-20-nm nodes. He has authored and
advanced technology nodes.
presented over 150 articles in international journals
and international conferences.
Dr. Verkest is a Golden Core Member of the IEEE
Computer Society.
Trong Huynh Bao (S’13) received the M.Sc. degree
from the Politecnico di Torino, Turin, Italy, in 2012. Aaron Voon-Yew Thean received the B.Sc., M.Sc.,
He is currently pursuing the Ph.D. degree with imec, and Ph.D. degrees in electrical engineering from the
Leuven, Belgium, and the Department of Electronics University of Illinois at Urbana-Champaign, Urbana,
and Informatics, Vrije Universiteit Brussel, Brussels, IL, USA.
Belgium. He is currently the Director of the Logic Program
His current research interests include circuit design with imec, Leuven, Belgium.
techniques in the nanoscale CMOS era and design
technology co-optimization.

Marie Garcia Bardon (M’14) received the Kristin De Meyer (S’73–M’79–SM’00–F’11)


M.Sc. degree in electromechanical engineering received the Ph.D. degree from the Katholieke
from the Université Catholique de Louvain, Universiteit Leuven, Leuven, Belgium, in 1979.
Louvain-la-Neuve, Belgium, in 2004, and the She is currently the Director of Doctoral Research
Ph.D. degree in microelectronics from the and was a Coordinator of several EEC projects at
Katholieke Universiteit Leuven, Leuven, imec, Leuven, Belgium. She has co-authored over
Belgium, in 2010, in collaboration with imec, 500 publications.
Leuven, Belgium.
She is currently with imec.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:13:12 UTC from IEEE Xplore. Restrictions apply.

You might also like