Download as txt, pdf, or txt
Download as txt, pdf, or txt
You are on page 1of 1

A 16-bit barrel shifter is combinational logic circuits with 16 data inputs , 16

data output and 4-control outputs . the output word equals the input word according
to the function divided into logic shift and arithmetic shift. write vhdl program
to implement the functions of barrel shifter in left direction.

You might also like