Fabrication and Optimization of High Aspect Ratio Through-Silicon-Vias Electroplating For 3D Inductor

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

micromachines

Article
Fabrication and Optimization of High Aspect Ratio
Through-Silicon-Vias Electroplating for 3D Inductor
Haiwang Li, Jiasi Liu, Tiantong Xu, Jingchao Xia, Xiao Tan and Zhi Tao *
National Key Laboratory of Science and Technology on Aero Engines Aero-Thermodynamics,
Beihang University, Beijing 100191, China; 19820912@sina.com (H.L.); jiasi0820@163.com (J.L.);
christxtt@163.com (T.X.); jingchaoX@buaa.edu.cn (J.X.); by1404143@buaa.edu.cn (X.T.)
* Correspondence: 09620@buaa.edu.cn

Received: 9 September 2018; Accepted: 12 October 2018; Published: 18 October 2018 

Abstract: In this study, the filling process of high aspect ratio through-silicon-vias (TSVs)
under dense conditions using the electroplating method was efficiently achieved and optimized.
Pulsed power was used as the experimental power source and the electroplating solution was
prepared with various additive concentrations. Designed control variable experiments were
conducted to determine the optimized method. In the control variable experiments, the relationship
of multiple experimental variables, including current density (0.25–2 A/dm2 ), additive concentration
(0.5–2 mL/L), and different shapes of TSVs (circle, oral, and square), were systematically analyzed.
Considering the electroplating speed and quality, the influence of different factors on experimental
results and the optimized parameters were determined. The results showed that increasing current
density improved the electroplating speed but decreased the quality. Additives worked well,
whereas their concentrations were controlled within a suitable range. The TSV shape also influenced
the electroplating result. When the current density was 1.5 A/dm2 and the additive concentration
was 1 mL/L, the TSV filling was relatively better. With the optimized parameters, 500-µm-deep TSVs
with a high aspect ratio of 10:1 were fully filled in 20 h, and the via density reached 70/mm2 . Finally,
optimized parameters were adopted, and the electroplating of 1000-µm-deep TSVs with a diameter of
100 µm was completed in 45 h, which is the deepest and smallest through which a three-dimensional
inductor has ever been successfully fabricated.

Keywords: through-silicon-vias (TSV); high aspect ratio; control variable method; electroplating;
three-dimensional (3D) inductor

1. Introduction
With the requirements of reduced feature size and increased transistor performance for
semiconductor technology, three-dimensional (3D) integration technology—using through-silicon-vias
(TSVs) to realize the interconnection of multi-stacked chips—has emerged as a promising
technology [1–4]. 3D integration technology can produce shorter interconnections in the vertical
direction, resulting in a faster response and better performance of integrated circuits (ICs).
High-aspect-ratio TSVs applied in electronic devices are light, thin, and small, having an important
role in MEMS (micro-electro-mechanical system) devices, including MEMS sensors, 3D inductors,
micro-motors, and micro-converters [5–7]. Since the development trend of miniaturization and
improving efficiency for current electric products, increasing TSVs conduction in a smaller area,
using high-density TSVs, is necessary [8,9]. High-density TSVs help reduce the size of MEMS devices
and increase power density, promoting the development and performance improvement of MEMS
devices [10,11].

Micromachines 2018, 9, 528; doi:10.3390/mi9100528 www.mdpi.com/journal/micromachines


Micromachines 2018, 9, 528 2 of 12

Copper electroplating is extensively used in high-aspect-ratio TSV interconnections due to the


lower resistivity and higher resistance for electromigration, which has been reported in many studies,
and has become a relatively mature method [12]. During the electroplating process, several variables,
including current density and additives concentration, may significantly impact the electroplating
results. However, achieving complete filling in high-aspect-ratio TSVs remains a challenge, and so
has become a research focus. Numerous methods have been proposed to achieve full copper filling in
high-aspect-ratio TSVs [13–15].
Tian et al. [16] realized TSV filling with an aspect ratio of 6:1 using pulse-reverse current
electrodeposition and studied the filling performance at different positive and negative currents.
Li et al. [17] summarized the effect of different pretreatment methods on through silicon with copper
filling. Ultrasound, vacuuming, and wetting treatments with various reagents and other treatments
were investigated as pretreatments in their study. Xu et al. [18] adopted a vacuum pretreatment method
using methylsulfonate with methane sulfonic acid as the base electroplating solution. They have
achieved 370-µm-deep TSV vias with a diameter of 60 µm with complete filling and high density
based on bottom-up copper electroplating. Chuang et al. [19] fully filled TSVs with a depth of 525 µm
and a high aspect ratio of 7:1. In the current research, the highest aspect ratio of electroplated TSVs
reached 10:1 with a depth of 200 µm [20], whereas the TSVs to be electroplated were not high-density
in their experiments.
Voids and seams are easily formed during the electroplating process, which result in incomplete
filling and serious reliability problems. Ensuring uniformity and fully filling in all TSVs under dense
conditions remains a challenge. Under high density conditions, nonuniform growth may cause voids
or seams to form in the through vias. To grow electroplated copper uniformly in high-aspect-ratio
TSVs under high density conditions, precise control of multiple variables is required.
Therefore, this study focused on the filling process for high density, small diameter,
high-aspect-ratio TSVs. We systematically analyzed the experimental variables influencing
the electroplating result. Detailed experimental steps, including preparing the wafers to be
electroplated, building the electroplating device, pretreatment method, the electroplating experiments,
data comparison, and analysis, are reported. Experiments for different variables (current density
and additives concentration) were performed to investigate the optimized configuration. Finally,
we summarized the optimized variable through complementary experiments and realized
complete filling for high-aspect-ratio (10:1) TSVs (500 µm) with the maximum distribution density
reaching 70/mm2 .

2. Experimental Design

2.1. Copper Filling Mechanism


The composition of the basic electroplating solution used in the TSV filling experiment is shown
in Table 1. Additives, which included Cupracid Ultra Make-up, Cupracid Ultra A, and Cupracid Ultra
B from Atotech (Berlin, Germany) were added to the basic solution. Cupracid Ultra A and Cupracid
Ultra B were used as the accelerator and leveler, respectively, and worked together to obtain an ideal
coverage, whose concentration and proportions had to be maintained within a certain range.

Table 1. The basic electroplating solution composition. (Applied in industry).

Electroplating Solution Composition Concentration


Deionized water 850 mL/L
CuSO4 ·5H2 O 220 g/L
H2 SO4 38.5 mL/L
NaCl 0.165 g/L
Cupracid Ultra Make-up 10 mL/L
Cupracid Ultra A 0.5 mL/L
Cupracid Ultra B 0.5 mL/L
Micromachines 2018, 9, x FOR PEER REVIEW 3 of 12

Table 1. The basic electroplating solution composition. (Applied in industry).


Micromachines 2018, 9, 528 3 of 12
Electroplating Solution Composition Concentration
Deionized water 850 mL/L
CuSO ·5H O
The plating pulse power source was a product of Xiamen Qunji
4 2 220 g/L
(Xiamen, China), which had an
Micromachines 2018, 9, x FOR PEER REVIEW
output current of 0–2 A (∆A ≤ ±10 mA, H2SO
R= 38.5VmL/L
4 1 kΩ), voltage of 0–20 (∆V ≤ ±100 mV, I ≤ ±0.5 3 ofA).
12

The pulse wavelength ranged from 0.01NaCl to 9999 ms (N ≤ 24). 0.165 g/L
Table 1. The basic electroplating
Cupracid solution
Ultra Make-up composition. (Applied
mL/Linair
industry).
Before the electroplating process starting, pretreatment work to10 remove bubbles in the vias was
required. In general, there Cupracid Ultra A 0.5 mL/L
Electroplating Solution Composition Concentration rinsing with deionized
are three pretreatment methods: ultrasonic cleaning,
water, and vacuum processing [21,22]. Cupracid Ultra
waterB whether there850
To determine
Deionized 0.5 mL/L
were bubbles in the TSVs soaked
mL/L
in the electroplated solution, we chose to observe
CuSO 4·5H2O those holes through 220the dark field of the microscope,
g/L
from Hitachi (Tokyo, Japan). The light parts
H2SOindicated
4 that air bubbles
38.5have
mL/Lbeen completely removed,
confirming that the TSVs were well prewetted.
NaCl Dark parts mean air bubbles
0.165 g/L still existed in the vias,
which may impede copper growth. The results of three
Cupracid Ultra Make-up pretreatment 10 mL/L are found in Figure 1a–c.
methods
Based on those figures, we proved that vacuum
Cupracid Ultra processing
A exerts 0.5
the mL/L
best effect on removing the air
bubbles since all the TSVs areas were bright.
Cupracid Ultra B 0.5 mL/L

Figure 1. Results of three pretreatment methods observed through the dark field photographed with
an optical microscope. (a) ultrasonic cleaning, (b) rinsing with deionized water, and (c) vacuum
processing.

The copper electroplating procedure was performed in a rectangular groove (14 cm × 19 cm × 15


cm). The whole electroplating device principle is presented in Figure 2. In the preparation step, the
anode copper sheet and cathode silicon wafer were fixed on the Teflon jig and placed in the
Figure 1. Results ofof three
threepretreatment
pretreatmentmethods
methodsobserved
observedthrough
through thethe dark
dark field
field photographed
photographed with
with an
electroplating solution. The results showed that the use of an anode bag effectively enhances the
an optical
optical microscope.
microscope. (a) ultrasonic
(a) ultrasonic cleaning,
cleaning, (b) rinsing
(b) rinsing with deionized
with deionized water, andwater, and (c)
(c) vacuum vacuum
processing.
uniformity of the electroplated copper under the same experimental conditions.
processing.
After vacuum pretreatment,
The copper electroplating the anodewas
procedure copper sheet and
performed in acathode silicon
rectangular wafer(14
groove with
cmseed
× 19layer
cm
were
× 15Theconnected
cm).copper to
The wholethe positive and
electroplating negative
device poles of
principle the
is pulse
presentedpower. in Since
Figure
electroplating procedure was performed in a rectangular groove (14 cm × 19 cm × 15pulse
2. Incurrent
the produces
preparation
a better
step,
cm). The electroplating
the anode
whole effectand
copper sheet
electroplating than
device direct
cathode current
silicon
principle (DC)were
wafer
is presented [23], we adopted
in fixed
Figure on2. the pulse
Teflon
In the jigcurrent as the
and placed
preparation step, the
in
electroplating
the electroplating
anode current
copper sheet source.
solution. The time
The results
and cathode proportion
showed
silicon wafer of
that the positive
the use
were fixed of on current
an anode to negative
bag effectively
the Teflon current to
enhances
jig and placed empty
in the
was 60:10:60
uniformity ofms
the(6:1:6).
electroplated copper under the same experimental conditions.
electroplating solution. The results showed that the use of an anode bag effectively enhances the
uniformity of the electroplated copper under the same experimental conditions.
After vacuum pretreatment, the anode copper sheet and cathode silicon wafer with seed layer
were connected to the positive and negative poles of the pulse power. Since pulse current produces
a better electroplating effect than direct current (DC) [23], we adopted pulse current as the
electroplating current source. The time proportion of the positive current to negative current to empty
was 60:10:60 ms (6:1:6).

Figure
Figure 2. Experimental set-up
2. Experimental set-up schematic
schematic for
for through-silicon-vias
through-silicon-vias (TSVs)
(TSVs) filling
filling (a)
(a) Teflon
Teflonjig
jig(13 cm××
(13cm
16 cm ×
16 cm 0.5 cm,
× 0.5 cm, hh ==33cm);
cm); (b)
(b)copper
copper anode;
anode; (c)
(c) silicon
silicon wafer
wafer cathode
cathode to
to be
be electrodeposited
electrodeposited(13 mm××
(13mm
21 mm × 0.5 mm).
21 mm × 0.5 mm).

After vacuum pretreatment, the anode copper sheet and cathode silicon wafer with seed layer were
2.2. Fabrication of the TSV Sample
connected to the positive and negative poles of the pulse power. Since pulse current produces a better
The TSV effect
electroplating sample thanfabrication process
direct current (DC)was
[23],divided into pulse
we adopted four parts:
currentwafer
as thecleaning, formation
electroplating of
current
high aspect
Figure ratio
2. vias,
Experimental barrier
set-uplayer deposition,
schematic for and seed layer
through-silicon-vias deposition.
(TSVs) fillingThis
(a) section
Teflon jig
source. The time proportion of the positive current to negative current to empty was 60:10:60 ms (6:1:6). explains
(13 cm × the
processing
16 cm ×details.
0.5 cm, h = 3 cm); (b) copper anode; (c) silicon wafer cathode to be electrodeposited (13 mm ×
2.2. Fabrication
The
21 mm × 0.5ofmm).
the TSV
500-μm-thick Sample
monocrystalline silicon (Si) wafers with double-sided oxidation were used in
the current
The TSV sample fabrication process of
experiment. First, the surface thedivided
was wafer was
intocleaned withwafer
four parts: acetone or alcohol
cleaning, and then
formation of
2.2. Fabrication
soaked in of
deionizedthe TSV
(DI) Sample
water for 10 min before drying by N2. The TSV etching areas were defined
high aspect ratio vias, barrier layer deposition, and seed layer deposition. This section explains the
and patterned
The TSV
processing using the
sample
details. lithography
fabrication process.
process wasThe positive
divided intophotoresist
four parts:(AZ4620, Suzhou,formation
wafer cleaning, China) wasof
high The
aspect ratio vias, barrier
500-µm-thick layer deposition,
monocrystalline andwafers
silicon (Si) seed layer deposition. This
with double-sided sectionwere
oxidation explains
usedthe
in
processing
the current details.
experiment. First, the surface of the wafer was cleaned with acetone or alcohol and then
The 500-μm-thick monocrystalline silicon (Si) wafers with double-sided oxidation were used in
the current experiment. First, the surface of the wafer was cleaned with acetone or alcohol and then
soaked in deionized (DI) water for 10 min before drying by N2. The TSV etching areas were defined
and patterned using the lithography process. The positive photoresist (AZ4620, Suzhou, China) was
Micromachines 2018, 9, 528 4 of 12

soaked in deionized (DI) water for 10 min before drying by N2 . The TSV etching areas were defined
and patterned
Micromachines using
2018, thePEER
9, x FOR lithography
REVIEW process. The positive photoresist (AZ4620, Suzhou, China) 4 ofwas
12
spin-coated on the double-side of the substrate as the protecting layer. Subsequently, the exposure and
spin-coated on
development the double-side
process was used tooftransfer
the substrate as the
the desired protecting
etching layer.
pattern from Subsequently,
the mask to thethephotoresist
exposure
and development
layer. Afterward, we process
soaked was
theused to transfer
substrate the oxidized
in buffer desired etching
etchingpattern from thetomask
(BOE) solution to the
remove the
photoresist layer. Afterward, we soaked the substrate in buffer oxidized etching
oxidation layer on the surface due to the low removing speed during the inductively coupled plasma (BOE) solution to
remove the oxidation
(ICP) etching process. layer on the surface due to the low removing speed during the inductively
coupled plasma (ICP) etching(50
The through-silicon-vias process.
µm in diameter) were etched using an inductively coupled plasma
(ICP) The
fromthrough-silicon-vias
the SPTS Company (50(Newport,
μm in diameter)
UK), were
whichetched using the
generated an inductively
source plasmacoupled plasma
through an
(ICP) from the SPTS Company (Newport, UK), which generated the source plasma through an
inductively coupled coil (1 kW, 13.56 MHz). The etching process was conducted in a low temperature
inductively coupled coil (1 kW, 13.56 MHz). The etching process was conducted in a low temperature
environment, in which helium was supplied to the backside of the wafer as the cooling gas. The whole
environment, in which helium was supplied to the backside of the wafer as the cooling gas. The whole
etching process was divided into an etching step and a passivation step, and sulfur hexafluoride (SF6 )
etching process was divided into an etching step and a passivation step, and sulfur hexafluoride (SF6)
and octafluoroyclobutane (C4 F8 ) were used as the required gases. The etching recipe was defined
and octafluoroyclobutane (C4F8) were used as the required gases. The etching recipe was defined
based on the standard Bosch anisotropic etching method. In the etching step, the source power was set
based on the standard Bosch anisotropic etching method. In the etching step, the source power was
to 600 W, bias power was 15 W, the SF6 gas flow rate was 130 sccm, the O2 gas flow rate was 13 sccm,
set to 600 W, bias power was 15 W, the SF6 gas flow rate was 130 sccm, the O2 gas flow rate was 13
and the time for the etching step was 8 s. In the passivation step, the source power was set to 600 W,
sccm, and the time for the etching step was 8 s. In the passivation step, the source power was set to
bias power was 0 W, C4 F8 gas flow rate was 85 sccm, and the time was 5 s. The etching rate was
600 W, bias power was 0 W, C4F8 gas flow rate was 85 sccm, and the time was 5 s. The etching rate
approximately 2.3 µm/min.
was approximately 2.3 μm/min.
Since the diameters of through vias are so small that it is difficult to successfully etch only once,
Since the diameters of through vias are so small that it is difficult to successfully etch only once,
the etching process should be performed twice. Once the silicon wafer was etched to a depth of
the etching process should be performed twice. Once the silicon wafer was etched to a depth of about
about 250 µm, we stopped etching, repeated the above operation, and etched the same pattern on the
250 μm, we stopped etching, repeated the above operation, and etched the same pattern on the
opposite
oppositeside.
side.
After
After ICP
ICP etching,
etching, the the silicon
silicon wafers
wafers with through vias
with through vias were
were placed
placed inin aa high
high temperature
temperature
furnace
furnacetotodeposit
depositthe theSiOSiO22 insulation
insulation layer to prevent
layer to prevent the
the transmission
transmissionof ofelectrical
electricalsignals
signalsandandavoid
avoid
deposition occurring from the side walls during the electroplating process. Afterward,
deposition occurring from the side walls during the electroplating process. Afterward, the adhesion the adhesion
layer
layer(Cr
(Cr30
30nm)nm)and andseedseed layer
layer (Cu
(Cu 300
300 nm)
nm) were
were sputtered
sputtered on the SiO
on the SiO22 layer
layer using
usingaamagnetron
magnetron
sputtering
sputteringmachine
machine MSP-300B
MSP-300B (Beijing,
(Beijing, China). Figure 33 presents
China). Figure presents the
the silicon
silicon substrate
substratesample
sampleafter
after
magnetron sputtering.
magnetron sputtering.

Figure3.3.Silicon
Figure Siliconsubstrate
substrate sample
sample for
for electroplating,
electroplating, obtained
obtained with
with an
an optical
opticalmicroscope.
microscope.(a)
(a)circle,
circle,
(b)square,
(b) square,and
and(c)
(c)oval
ovalholes.
holes.

The
Thebottom-up
bottom-up electroplating methodwas
electroplating method wasused
usedininthis
this research
research so so that
that thethe seed
seed layer
layer could
could be
be used
used totocreate
createa aconductive
conductiveeffect
effectduring
duringthe
theelectroplating
electroplatingprocess.
process.After
Aftercompleting
completingthetheabove
above
process,
process,the
theTSV
TSV sample
sample fabrication
fabrication was
was finished and was followed
followed by
by the
the copper
copper electroplating
electroplating
process.The
process. Thesteps
stepsfor
forsample
samplepreparation
preparation and
and copper
copper growth are shown in Figure 4.
Micromachines 2018, 9,
Micromachines 2018, 9, 528
x FOR PEER REVIEW 55 of
of 12
12

Figure 4. The electroplated copper growth process. (a) TSVs were etched and the SiO2 insulation
Figure 4. The electroplated copper growth process. (a) TSVs were etched and the SiO2 insulation layer
layer was deposited. (b) The adhesion layer (Cr, 30 nm) and seed layer (Cu, 300 nm) were sputtered.
was deposited. (b) The adhesion layer (Cr, 30 nm) and seed layer (Cu, 300 nm) were sputtered. (c)
(c) Electroplated copper covered the TSVs. (d) Electroplated copper was grown in TSVs. (e) The TSVs
Electroplated copper covered the TSVs. (d) Electroplated copper was grown in TSVs. (e) The TSVs
were fully filled.
were fully filled.
2.3. Control Variable Experimental Design
2.3. Control Variable Experimental Design
As previously reported [24–26], several parameters, like current density and additives
As previously
concentration, reported [24–26],
may influence several parameters,
the electroplating like current
results especially density and
for micro-scale additives
through vias.
concentration,
For may influence
example, electroplated the electroplating
copper would grow results
too fastespecially for micro-scale
and non-uniformly through vias.
with excessive For
current.
example, electroplated copper would grow too fast and non-uniformly with
Insufficient additive concentration may result in low electroplated copper quality, which means the excessive current.
Insufficient additive
electroplated copperconcentration
is rough and may result in
not bright lowuneven
with electroplated
growth copper
[27,28].quality, which
To find andmeans the
optimize
electroplated
the copper
electroplating is rough andthat
configuration not would
bright with uneven
relatively growth
quickly [27,28].
and fully Tofillfind and optimize
through the
silicon vias,
electroplating
we configuration
designed a controlling that would
variable relatively
experiment basedquickly and fully variables.
on the mentioned fill through silicon vias, we
designed a controlling variable
Controlled-variable experiment
experimental based onisthe
methodology mentioned
one of the most variables.
useful techniques for variance
Controlled-variable
reduction experimentaltomethodology
using known information reduce the erroris one of theestimating
when most useful techniques
a suitable for variance
variable range.
reduction
Only using known
one variable information
is changed towhile
at a time, reduce thethe error when
remaining estimating
factors are keptaconstant.
suitable variable range.
This method is
Only one variable
frequently used forismultivariate
changed at a problems
time, while the the
since remaining factors are
multi-variable kept constant.
problem Thisamethod
can become multipleis
frequently used for multivariate problems since the multi-variable problem
single variable problem. Therefore, we separately studied the influence of changing factors on can become a multiple
single variable
experimental problem. Therefore, we separately studied the influence of changing factors on
results.
experimental
We choseresults.
additive concentration, current density, and different shapes of through vias as three
We chosefactors.
experimental additive concentration,
Among current
these factors, density, to
according and different
their suitableshapes
range, ofthe
through vias asof
parameters three
the
experimental
controlling factors.
variable Among these
experimental factors,
method wereaccording
selected,toas
their
shownsuitable range,
in Table 2. the parameters of the
controlling variable experimental method were selected, as shown in Table 2.
Table 2. Control variable experimental parameters.
Table 2. Control variable experimental parameters.
Experiment Number Current Density (A/dm2 ) Additives (mL/L) Through Vias Shapes
Experiment
1 Number Current Density
0.25 (A/dm2) Additives
0.5 (mL/L) Through Circle
Vias Shapes
21 0.25
0.5 0.5
0.5 Circle
Circle
32 10.5 0.5
0.5 Circle
Circle
43 1.251 0.5
0.5 Circle
Circle
5 1.5 0.5 Circle
6
4 1.25
1.75
0.5
0.5
Circle
Circle
75 21.5 0.5
0.5 Circle
Circle
86 1.75
1 0.5
0.25 Circle
Circle
97 12 1
0.5 Circle
Circle
10 1 1.5 Circle
8 1 0.25 Circle
11 1 1 Circle
129 1 1 11 Circle
Oval
1310 11 1.5
1 Circle
Square
11 1 1 Circle
12 1 1 Oval
13 1 1 Square
Micromachines 2018, 9, 528 6 of 12

3. Results and Discussion


The growth rate and uniformity of the electroplated copper were detected using microscope
observation, from Hitachi (Tokyo, Japan), a scanning electron microscope (SEM), from SEC (Suwon,
Gyeonggi-do, South Korea), and a confocal displacement detector (CL3-MG70), from Thinkfocus
(Hebei, China) for time. The experimental results are shown in Table 3. To determine the uniformity of
copper growth in the vias, we simultaneously used the confocal displacement detector to obtain the
maximum height difference of the electroplated copper growth.

Table 3. Electroplating experiment results.

Average Growth The Most Height


Experiment Electroplating Average Coefficient of
Speed of Copper Difference of
Number Time (h) Height (µm) Variation
(µm/h) Vias/µm
1 >100 - <5 - -
2 72 481.7 6.69 14.5 0.12
3 50 473.2 9.45 16 0.14
4 27 482.4 17.87 18.4 0.17
5 19 471.2 24.8 23.9 0.19
6 17 478.8 28.16 43.2 0.26
7 8 - >62.5 >500 0.41
8 55 - - >200 0.39
9 47 476.7 10.14 11.1 0.11
10 45 485.6 10.79 37.8 0.32
11 50 483.2 9.66 13.7 0.11
12 50 478.3 9.56 24.7 0.15
13 50 - - >150 0.37

3.1. Effect of Current Density


Experiments 1 to 7 were designed to determine the relationship between current density and
electroplating effect, in which the current density from 0.25 to 2 A/dm2 was used for the experimental
variables. The top views of the copper filling TSV arrays were photographed using an optical
microscope. To obtain the results, we selected the cross-section with the greatest height, analyzed the
topographical features of the section, and obtained the maximum height of the section. The top views
and profile height diagram obtained from the most disparate section in terms of height difference are
shown in Figure 5a–f.
The results show that the TSV bottom parts failed to close because of the tiny current promotion
with a current density under 0.25 A/dm2 [29] ith increasing current density, the electroplating speed
increased approximately linearly with the current density in the range of 0.5 to 1.75 A/dm2 . However,
the electroplating quality gradually decreased.
We measured the distance from the electroplated copper to the silicon wafer surface in each via
and calculated the height of each electroplated copper and their coefficient of variation in the region.
It could be concluded that the smaller the coefficient of variation, the smaller the difference in growth
rate of electroplated copper among different vias, which means better uniformity.
The maximum height difference among the filled through-vias increased up to 23.9 µm under a
1.5 A/dm2 current density. Uniform filling of all through vias under high density conditions (70/mm2 )
was achieved within 20 h. With the current density increasing above 1.5 A/dm2 , the electroplating
speed increased rapidly, while the electroplated copper uniformity decreased sharply since the
coefficient of variation increased from 0.19 to 0.26. The current density further increased to 2 A/dm2 ,
indicating that complete filling of through-vias could not be achieved. TSVs appeared to be covered by
copper grown in other adjacent vias. Considering speed and uniformity together, we concluded that a
current density of 1.5 A/dm2 produced the best experimental results under the same conditions.
Based on previous experiments [26,27], when the current density is too small, the speed of copper
ion transfer is insufficient, which results in a small growing promotion effect of electroplated copper.
With the increase in the current density, the electroplating reaction rate improved. Since the Cu2+
Micromachines 2018, 9, 528 7 of 12
Micromachines 2018, 9, x FOR PEER REVIEW 7 of 12

transferring rate was


Cu2+ transferring ratefast,
wasthe ionthe
fast, distribution in the solution
ion distribution was notwas
in the solution uniform, which would
not uniform, which lead to
would
the failure of the copper full filling.
lead to the failure of the copper full filling.

Figure 5. Top
Figure 5. Top views
views and
and profile
profile height
height diagram
diagram taken
taken fromfrom the
the section
section displaying
displaying the
the greatest
greatest height
height
difference
difference under different current conditions using an optical microscope anddisplacement
under different current conditions using an optical microscope and a confocal a confocal
detector:
displacement A/dm2 ,(a)
(a) 0.5detector: (b)0.5
1 A/dm 2
A/dm2,,(c)
(b)1.25 A/dm
1 A/dm
2 , (d) 1.5 A/dm
2, (c)
2 , (e) 1.75 A/dm
1.25 A/dm2, (d)
2
1.5 A/dm2, (e), and
1.75(f) 2 A/dm
A/dm
2
2, and.

(f) 2 A/dm2.
3.2. Effect of Additive Concentration
Experiments 3 and 8–10 were designed to investigate the effect of additive concentration on the
filling. Variable experiments with several concentrations of additives were selected, ranging from
0.25 to 1.5 mL/L. Experimental parameters and results are shown in Figure 6a–d. We proved that the
appropriate additive concentration range is 0.5 to 1 mL/L in high-aspect-ratio TSV filling experiments.
Micromachines 2018, 9, x FOR PEER REVIEW 8 of 12

3.2. Effect of Additive Concentration


Experiments 3 and 8–10 were designed to investigate the effect of additive concentration on the
filling. Variable experiments with several concentrations of additives were selected, ranging 8from
Micromachines 2018, 9, 528 of 12
0.25 to 1.5 mL/L. Experimental parameters and results are shown in Figure 6a–d. We proved that the
appropriate additive concentration range is 0.5 to 1 mL/L in high-aspect-ratio TSV filling
No additives or
experiments. Notoo low a concentration
additives of additives inof
or too low a concentration the electroplating
additives solution wouldsolution
in the electroplating result inwould
rough
electroplating and would not fully fill the TSVs. With too high an additive concentration
result in rough electroplating and would not fully fill the TSVs. With too high an additive (>1.5 mL/L),
the uniformity(>1.5
concentration of the electroplated
mL/L), copperofconsiderably
the uniformity decreased
the electroplated copper and the anode slime
considerably fell off
decreased much
and the
faster. In
anode the experiments,
slime fell off much additives
faster. worked together to achieve
In the experiments, electroplating
additives copper filling
worked together (“hole
to achieve
bottom acceleration,
electroplating copperorifice
fillingsuppression”)
(“hole bottom byacceleration,
controlling the reaction
orifice Cu → Cu+by
suppression”) , Cu +
→ Cu2+ the
controlling on
the anode.
reaction Cu When
→ Cu the , Cu → Cu onwas
concentration too low,When
the anode. the levelling effect waswas
the concentration nottoo
achieved.
low, theExcessive
levelling
+
effect was not achieved. Excessive additives would accelerate the reaction process Cu →to
additives would accelerate the reaction process Cu → Cu , possibly causing the anode slime Cufall,
off, affecting
possibly the experiment.
causing the anode slime to fall off, affecting the experiment.

Figure
Figure 6. Top
Top views
views and
and profile
profile height
height diagram
diagram taken
taken from
from the
the section
section with
with the
the greatest
greatest height
height
difference
difference under
under different
different additive
additive concentrations
concentrations obtained
obtained with
with anan optical
optical microscope
microscope andand confocal
confocal
displacement
displacement detector:
detector:(a)
(a)A:
A:0.25
0.25mL/L,
mL/L,B:B:0.25
0.25mL/L;
mL/L;(b)(b)
A:A:
0.50.5
mL/L, B: 0.5
mL/L, mL/L;
B: 0.5 (c) A:
mL/L; (c)1A:
mL/L, B: 1
1 mL/L,
B: 1 mL/L;
mL/L; andA:(d)
and (d) 1.5A: 1.5 mL/L,
mL/L, B: 1.5 B: 1.5 mL/L.
mL/L.

3.3. Effect
3.3. Effect of
of Different
Different TSV
TSV Shapes
Shapes
To determine
To determine the
the effects
effects of
of different
different via
via shapes
shapes onon the
the electroplating
electroplating result,
result, we
we performed
performed TSV
TSV
electroplating experiments with three shapes (circle, oval, and square) and compared
electroplating experiments with three shapes (circle, oval, and square) and compared the results inthe results in
terms of
terms ofgrowing
growingspeed
speedand
andelectroplated
electroplatedcopper
copper quality
quality under
under thethe same
same experimental
experimental condition.
condition. In
In order to determine the experimental current density and additive concentration
order to determine the experimental current density and additive concentration parameters, we parameters,
we selected
selected values
values from
from thethe appropriate
appropriate range
range of of current
current variablesand
variables andadditive
additivevariable
variableexperiments,
experiments,
and completed
and completedthetheexperiments
experimentsusing
usingaacurrent
currentdensity
densityofof1 1ASD
ASD andandanan additive
additive concentration
concentration of of
1 mL/L. The through-vias of different shapes had the same sectional area, equal to the area of a
100-µm-diameter circle through-via.
Micromachines 2018, 9, x FOR PEER REVIEW 9 of 12
Micromachines 2018, 9, 528 9 of 12
1 mL/L. The through-vias of different shapes had the same sectional area, equal to the area of a 100-
μm-diameter circle through-via.
The
The experimental
experimental results
results are
are shown
shown inin Figure
Figure 7.7. Under
Under the
the same
same experimental
experimental conditions,
conditions,
electroplated
electroplated copper grown in round and square holes attained good uniformity, which was
copper grown in round and square holes attained good uniformity, which was
significantly
significantly better
better than
than the
the uniformity
uniformity of
of oval
oval holes,
holes, and
and they
theywere
wereless
lessaffected
affectedby
bycurrent.
current.

Figure
Figure 7. Top views
7. Top views andand profile
profile height
height diagram
diagram taken
taken from
from the
the section
section with
with the
the greatest
greatest height
height
difference using different shape holes using an optical microscope and a confocal displacement detector,
difference using different shape holes using an optical microscope and a confocal displacement
respectively: (a) circle, (b) square, and (c) oval holes.
detector, respectively: (a) circle, (b) square, and (c) oval holes.

For the oval through holes, due to the different Cu 2+ diffusion speeds in two directions,
For the oval through holes, due to the different Cu 2+ diffusion speeds in two directions, the
2+ in different parts of the oval holes remained inconsistent. Because of the
the reaction speeds of Cu
reaction speeds of Cu2+2+ in different parts of the oval holes remained inconsistent. Because of the
diffusion speed of Cu 2+ toward the sides and corners being different, different concentrations were
diffusion speed of Cu toward the sides and corners being different, different concentrations were
generated. We concluded that better uniformity could be obtained using a circular through via shape.
generated. We concluded that better uniformity could be obtained using a circular through via shape.
4. Fabrication of a 3D Inductor
4. Fabrication of a 3D Inductor
Based on the processing optimization and successful filling of 500 µm high-aspect-ratio TSVs,
Based on athe
we processed 1000 processing
µm highoptimization and successful
and 100 µm diameter filling of
3D solenoid 500 μm The
inductor. high-aspect-ratio TSVs,
3D micro-inductor
we processed
processing a 1000 μm high in
and 100 μm
8. diameter 3D solenoid inductor. The 3D micro-inductor
Micromachinessteps are
2018, 9, presented
x FOR Figure
PEER REVIEW 10 of 12
processing steps are presented in Figure 8.
Figure 9 shows the design of the 3D inductor. After the through-vias were etched, the seed layer
was sputtered on the surface and the electroplating process began. When the electroplated copper
grew close to the upper surface, magnetron sputtering was performed to adhere the copper to the
surface. Electroplating for the through-vias occurred until the upper surface was covered. After the
electroplating process, we employed chemical mechanical polishing to remove the excessive copper on
the surface, obtaining the 3D inductor structure and the silicon corn inductor as shown in Figure 10.

Figure 8. Three-dimensional
Figure 8. Three-dimensional (3D) inductor
inductor manufacturing
manufacturing steps:
steps: (a) TSVs were
were etched
etched and
and aa SiO
SiO22
insulation layer was deposited. (b) The adhesion layer (Cr, 30 nm) and seed layer (Cu, 300
insulation layer was deposited. (b) The adhesion layer (Cr, 30 nm) and seed layer (Cu, 300 nm) were nm) were
sputtered
sputtered and
and electroplated
electroplated copper
copper covered
covered thethe TSVs. (c) Electroplated
TSVs. (c) copper was
Electroplated copper was grown
grown in in TSVs.
TSVs.
(d)
(d) The
The copper
copper layer
layer was
was sputtered
sputtered on
on the
the top
top side.
side. (e)
(e) The
The TSVs
TSVs were
were fully
fully filled
filled and
and (f)
(f) the
the silicon
silicon
substrate
substrate was
was removed.
removed.
Micromachines 2018, 9, 528 10 of 12

Figure 9 shows the design of the 3D inductor. After the through-vias were etched, the seed layer
was sputtered
Figure
Figure8.
Figure
on the surface and
8.8.Three-dimensional
Three-dimensional(3D)
Three-dimensional (3D)
the electroplating
(3D)inductor
inductormanufacturing
inductor
process
manufacturingsteps:
manufacturing
began.
steps:(a)
steps:
When
(a)(a)TSVs
TSVswere
TSVs
the etched
electroplated
wereetched
were etchedand
copper
andaa aSiO
and SiO
SiO 22 2
grewinsulation
close to the
layer
insulationlayer
insulation upper
was
layerwas surface,
deposited.
wasdeposited. magnetron
(b)
deposited.(b) The
(b)The sputtering
adhesion
Theadhesion layer
adhesionlayer (Cr,
layer(Cr, was30 performed
nm)
(Cr,3030nm) and
nm)and seed
andseed to adhere
layer
seedlayer (Cu,
layer(Cu, the
300
(Cu,300 copper
nm)
300nm) were the
were
nm)were to
surface. Electroplating
sputtered
sputteredand
sputtered and for the
andelectroplated
electroplated through-vias
electroplatedcopper
coppercovered
copper covered occurred
coveredthetheTSVs.
the until
TSVs.(c)
TSVs. the upper
(c)(c)Electroplated surface
Electroplatedcopper
Electroplated copperwas
copper was covered.
wasgrown
was grownin
grown After
TSVs. the
ininTSVs.
TSVs.
electroplating
(d)
(d) The
(d) The
The process,
copper
copper
copper layerwewas
layer
layer wasemployed
sputtered
was sputtered
sputtered chemical
on the
onon top
the
the mechanical
top
top side. (e)
side.
side. The
(e)(e)The polishing
The TSVs
TSVs
TSVs were to
were
were remove
fully
fully
fully filledthe
filled
filled excessive
and
and
and (f) the
(f)(f)
the copper
silicon on
thesilicon
silicon
the surface,
substrateobtaining
substrate
substrate was
was
was the 3D inductor structure and the silicon corn inductor as shown in Figure 10.
removed.
removed.
removed.

Figure
Figure 9.
Figure The
9.9.
The design
The of
design
design the
ofof three-dimensional
the
the inductor.
three-dimensional
three-dimensional inductor.
inductor.

Figure
Figure 10.
Figure10.
10.The
The
The silicon
silicon
silicon corn
silicon inductor
corn
corn (a)
inductor
inductor photographed
(a)
(a) photographed
photographed by the
byby peripheral
the
the microscope
peripheral
peripheral and
microscope
microscope (b)
and
and aa detailed
(b)
(b) adetailed
detailed
image
image photographed
imagephotographed by a scanning
photographedbybya ascanning electron
scanningelectron microscope
electronmicroscope (SEM).
microscope(SEM).
(SEM).

In
InInorder
In orderto
order obtain
obtainan
totoobtain
obtain ananair-core
air-core MEMS
MEMSinductor,
air-coreMEMS
MEMS inductor,the
inductor, silicon
siliconcore
thesilicon
the silicon inductor
coreinductor
core was
inductorwas
inductor immersed
wasimmersed
was inin8%
immersedin
immersed in 8%
8%
8%
TMAH
TMAH(Tetramethylammonium
TMAH (TetramethylammoniumHydroxide)
(Tetramethylammonium Hydroxide)solution
Hydroxide) solutionfor
solution forfornearly
nearly16
nearly 1616hhhand
andsilicon
and siliconwas
silicon wasremoved
was removedusing
removed using
using
the
thewet
the wetetching
wet etchingmethod.
etching method.The
method. Thefinal
The finalsolenoid
final solenoidinductance
solenoid inductanceis
inductance isisshown
shownin
shown Figure11.
ininFigure
Figure 11.
11.

Figure
Figure
Figure 11.
11.
11. (a)(a)
11. (a)
3D 3D
3D3Dhollow
(a)hollow hollow
hollow inductor
inductor
inductor
inductor in
inintetramethylammonium
tetramethylammonium
tetramethylammonium
in tetramethylammonium hydroxide
hydroxide
hydroxide
hydroxide (TMAH) (TMAH)
(TMAH)
(TMAH)
solution solution
solution
solution
photographed
photographed
photographed
photographed
by by peripheral
bybyperipheral
peripheral microscopy peripheralmicroscopy
microscopy
microscopy
and (b) and
the hollowand (b)
and(b)
inductor the
(b)the hollow
thehollow
after hollow inductor after
inductorafter
siliconinductor
removed, silicon
after
as removed,
siliconremoved,
silicon
photographed removed, as
asas
by SEM.
photographed
photographed
photographed by
bybySEM.
SEM.
SEM.
5. Conclusions
This study focused on the filling of high-aspect-ratio TSVs via electroplating copper. The influence
of different experimental parameters, including current density, additive concentration, and different
shapes of TSVs on the electroplating results, was analyzed.
Increasing current density improved the electroplating speed, but led to poor uniformity.
The additive concentration needs to be maintained within a suitable range, since too low or too
Micromachines 2018, 9, 528 11 of 12

high a concentration affects the results. Regarding the influence of different shapes, through vias
that were more circular in shaped produced more uniform results. Considering the combination
of electroplating speed and uniformity, the optimized parameters for electroplating experiments
were 1.5 A/dm2 current density and 1 mL/L additive concentration. Applying these parameters,
the complete filling of the 500-µm-deep high-density TSVs with an aspect ratio of 10:1 was realized at
the fastest electroplating speed, producing relatively good uniformity whose coefficient of variation is
0.19. Using high-aspect-ratio TSV electroplating and removing excess silicon with TMAH solution,
a 1000-µm-high 3D air-core copper solenoid inductor with 10 turns was successfully fabricated, which is
the tallest micro-inductor currently produced using micromachining. The measurement results show
that when the frequency is 500 MHz, the inductance is 70 nH and the quality factor is 14.

Author Contributions: H.L. conceived the study and designed the experiments; J.L. performed the experiments
and analyzed the data; T.X. analyzed and interpreted patients’ data; J.X. wrote the paper; X.T. and Z.T. participated
in discussions and critically revised the manuscript. All authors reviewed the manuscript.
Funding: This research received no external funding.
Conflicts of Interest: The authors declare no conflicts of interest.

References
1. Lu, J.-Q. 3-D hyper integration and packaging technologies for micronano systems. Proc. IEEE 2009, 97,
18–30. [CrossRef]
2. Gutmann, R.J.; Lu, J.Q.; Devarajan, S.; Zeng, A.Y.; Rose, K. Wafer-level three-dimensional monolithic
integration for heterogeneous silicon ICs. In Proceedings of the Topical Meeting onSilicon Monolithic
Integrated Circuits in RF Systems, Atlanta, GA, USA, 8–10 September 2004; pp. 45–48.
3. Banerjee, K.; Souri, S.J.; Kapur, P.; Saraswat, K.C. 3-D ICs: A novel chip design for improving
deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE 2001, 89,
602–633. [CrossRef]
4. Kettner, P.; Kim, B.; Pargfrieder, S.; Zhu, S. New Technologies for advanced high density 3D packaging
by using TSV process. In Proceedings of the Electronic Packaging Technology & High Density Packaging,
Shanghai, China, 8–31 July 2008; pp. 43–45.
5. Lai, X.H.; Ding, F.; Xu, Z.G.; Wu, W.G.; Xu, J.; Hao, Y.L. Suspended nanoscale solenoid metal inductor with
tens-nH level inductance. In Proceedings of the International Conference on MICRO Electro Mechanical
Systems, Wuhan, China, 13–17 January 2008; pp. 1000–1003.
6. Gallé, W.P. MEMS-Based Fabrication of Power Electronics Components for Advanced Power Converters;
Georgia Institute of Technology: Atlanta, GA, USA, 2012.
7. Domann, J.P.; Chen, C.; Sepulveda, A.E.; Candler, R.N.; Carman, G.P. Multiferroic Micro-Motors with
Deterministic Single Input Control. arXiv 2018, arXiv:1802.09420.
8. Xu, L.; Dixit, P.; Miao, J.; Pang, J.H.; Zhang, X.; Tu, K.N.; Preisser, R. Through-wafer electroplated copper
interconnect with ultrafine grains and high density of nanotwins. Appl. Phys. Lett. 2007, 90, 5743. [CrossRef]
9. Tenno, R.; Pohjoranta, A. An ALE Model for Prediction and Control of the Microvia Fill Process with Two
Additives. J. Electrochem. Soc. 2008, 155, D383–D388. [CrossRef]
10. Yan, C.P. Studying on Key Process in TSV Technology. Ph.D. Thesis, Shanghai Jiaotong University, Shanghai,
China, 2011.
11. Huang, C. High Performance Through-Silicon-Via in 3D Interconnection (TSV); Tsinghua University: Beijing,
China, 2015.
12. Chow, E.M.; Chandrasekaran, V.; Partridge, A.; Nishida, T.; Sheplak, M.; Quate, C.F.; Kenny, T.W.
Process compatible polysilicon-based electrical through-wafer interconnects in silicon substrates.
J. Microelectromech. Syst. 2002, 11, 631–640. [CrossRef]
13. Moffat, T.P.; Wheeler, D.; Huber, W.H.; Josell, D. Superconformal Electrodeposition of Copper
[Electrochemical and Solid-State Letters, 4, C26 (2001)]. Electrochem. Solid-State Lett. 2001, 4, L5. [CrossRef]
14. Wei, H.; Shi, K. Numerical study of TSV Copper Deposition with Multi-additives. Electron. Process. Technol.
2014, 4, 239–241. [CrossRef]
Micromachines 2018, 9, 528 12 of 12

15. Yi’nan, L.I.; Jian, C.A.I.; Dejun, W.A.N.G.; Qian, W.A.N.G.; Tiwei, W.E.I. Optimizing Copper Filling Process
For Through Silicon Via (TSV). Equip. Electron. Prod. Maruf. 2012, 41, 6–10.
16. Lee, J.; Lee, J.; Bae, J.; Bang, W.; Hong, K.; Lee, M.H.; Pyo, S.G.; Kim, S.; Kim, J.G. An Approach to the
Development of Organic Additives for Electrodeposition of Narrow Copper Interconnects. Surgery 2006, 74,
562–569. [CrossRef]
17. Wang, F.; Zeng, P.; Wang, Y.; Ren, X.; Xiao, H.; Zhu, W. High-speed and high-quality TSV filling with the
direct ultrasonic agitation for copper electrodeposition. Microelectron. Eng. 2017, 180, 30–34. [CrossRef]
18. Tian, Q.; Cai, J.; Zheng, J.; Zhou, C.; Li, J.; Zhu, W. Copper Pulse-Reverse Current Electrodeposition to
Fill Blind Vias for 3-D TSV Integration. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 6, 1899–1904.
[CrossRef]
19. Li, Y.; Cao, H.; Feng, X.; Ling, H.; Li, M.; Sun, J. Effect of different pretreatments on through silicon via
copper filling. In Proceedings of the International Conference on Electronic Packaging Technology, Dalian,
China, 11–14 August 2013; pp. 169–172.
20. Xu, C.; Wang, X.; Wang, Y.; Xu, M.; Hu, C.; Liu, S. Void free filling of TSV vias by bottom up copper
electroplating for wafer level MEMS vacuum packaging. In Proceedings of the 2012 International Conference
on Electronic Packaging Technology & High Pachaging, Guilin, China, 13–16 August 2012; pp. 64–67.
21. Chuang, H.C.; Li, H.F.; Lin, Y.S.; Lin, Y.H.; Huang, C.S. The development of an atom chip with through
silicon vias for an ultra-high-vacuum cell. J. Micromech. Microeng. 2013, 23, 085004. [CrossRef]
22. Xiao, H.; Wang, F.; Wang, Y.; He, H.; Zhu, W. Effect of Ultrasound on Copper Filling of High Aspect Ratio
Through-Silicon Via (TSV). J. Electrochem. Soc. 2017, 164, D126–D129. [CrossRef]
23. Roh, M.H.; Lee, J.H.; Kim, W.; Pil Jung, J. Cu filling of TSV using various current forms for three-dimensional
packaging application. Solder. Surf. Mt. Technol. 2013, 25, 898–912. [CrossRef]
24. Meng, W.; Guan, Y.; Zeng, Q.; Chen, J.; Jin, Y. Fabrication process of a triple-layer stacked TSV interposer
for switch matrix consisting of eight RF chips. In Proceedings of the Electronics Packaging Technology
Conference, Singapore, 30 November–3 December 2016; pp. 666–669.
25. Sun, J.J.; Kondo, K.; Okamura, T. High-Aspect-Ratio Copper Via Filling Used for Three-Dimensional Chip
Stacking. In Proceedings of the Electronic Components and Technology Conference, San Diego, CA, USA,
26–29 May 2009; pp. 658–662.
26. Gambino, J.P.; Adderly, S.A.; Knickerbocker, J.U. An Overview of Through-Silicon-Via Technology and
Manufacturing Challenges; Elsevier Science Ltd.: Amsterdam, The Netherlands, 2015.
27. Wu, H. The Study on Copper Electro-Deposition in Through Silicon Vias; Dalian University of Technology: Dalian,
China, 2013.
28. Choi, E.H.; Lee, Y.S.; Rha, S.K. Effects of Current Density and Organic Additives on via Copper Electroplating
for 3D Packaging. Korean J. Mater. Res. 2012, 22, 374–378. [CrossRef]
29. Wang, Z.; Wang, H.; Cheng, P.; Ding, G.; Zhao, X. Simultaneous filling of through silicon vias (TSVs) with
different aspect ratios using multi-step direct current density. J. Micromech. Microeng. 2014, 24, 085013.
[CrossRef]

© 2018 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access
article distributed under the terms and conditions of the Creative Commons Attribution
(CC BY) license (http://creativecommons.org/licenses/by/4.0/).

You might also like