Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 5

EXPERIMENT 7

Aim:- Verilog Implementation of 8 to 3 Priority


Encoder

Important
Concepts/Theory:-
Priority Encoder: A priority encoder provide n bits of binary coded output representing the
position of the highest order active input of 2 n inputs. If two or more inputs are high at the
same time, the input having the highest priority will take precedence. Its applications includes
used to control interrupt requests by acting on the highest priority request and to encode the
output of a flash analog to digital converter.
8 to 3 Priority Encoder

Table 1: Truth table for 8 to 3 Priority


Encode
r

Fig.1: Logic circuit of 8 to 3 Priority


Encoder

Output
Expressions:
Q0 = D1 + D3 + D5 +
D7
Q1 = D2 + D3 + D6 +
D7
Q2 = D4 + D5 + D6 +
D7
Design Analysis:-
Codes:-

Waveform: -

RTL Schematic: -
Tech Schematic:

Area Report: -

Conclusion:
Verilog HDL code for 8 to 3 Priority Encoder has been implemented and their simulation with
signals has been tested.

Criteria Total Marks Marks Obtained Comments

Concept (A) 2
Implementation (B) 2

Performance (C) 2

Total 6

You might also like