Week 3 - Microfabrication of Complex Surface Topographies

You might also like

Download as pdf
Download as pdf
You are on page 1of 6
sevSORs ACTugrORS A ELSEVIER Sensors and Actuators A 46-47 (1995) 89-94 Microfabrication of complex surface topographies using grey-tone lithography B. Wagner *, H.J. Quenzer *, W. Henke *, W. Hoppe ®, W. Pilz* + Fraunhofer Institute for Silicon Technology (ISiT), Dillenburgerstrase $3, D-14199 Berlin, Germany °SIGMA-C GmbH, Rosenheimer Landstrasse 74, D.85521 Ouobrunn, Germany Abstract ‘This paper reports on a study of a methodology for fabrication of relief shaped microstructures using technologies common to standard IC manufacturing processes. Particular emphasis is put on the design and use of halftone transmission masks for the lithography step required in the fabrication process of mechanical, optical or electronic components. The design and experimental investigation of grey-tone masks is supported by lithography simulation. Results are presented for both, simulated grey-tone patterns as well as experimental profiles Keywords: Microfabs ation; Surface topography; Lithography J. Introduction ‘The fabrication of 3D-microstructures with well-de- fined curved surface contours is of great importance for various mechanical, optical and electronic devices and subsystems. Complex geometrical structures or topographies are necessary to obtain a certain me- chanical stability, a specific surface property or a pre- determined electrostatic field configuration. Obviously in the micro-optic domain, there is a great demand to produce sophisticated surface topographies for refrac- tive or diffractive optical elements, e.g. Fresnel lenses. With common micromachining technologies, such as isotropicand anisotropic dry and wet etching techniques, only a very restricted range of geometrical shapes can be produced. To overcome this limitation binary optical elements have been fabricated using time-consuming multi-mask or direct writing processes. Recently, world-wide activities have been initiated on the development of an inexpensive one-step lith- ographic method using a raster-screened (grey-tone) photomask (1-6). Using this new technique local vari- ations of light intensity are produced which lead to predetermined curved resist profiles. Subsequent dry etching processes conformably transfer the shape into the underlying substrate. (0924-4247/95/809.50 © 1995 Elsevier Science S.A. All rights reserved In that work different lithographic measures have been undertaken to produce smooth surfaces, not re- solving the mask pixels. In our laboratory a standard optical 5:1. projection stepper used for grey-tone lithography. Hence, the method is solely based on appropriate patterning of conventional quartz-Cr reticles and requires standard equipment and materials only, thus being simpler and more robust than other technologies, and in principle allowing for monolithic integration with other IC com- patible processes. Moreover, this method is not re- stricted to any particular surface shape. 2, Physical background ‘The physical concept underlying the technique con- sidered here utilises the fact that the projection system of the wafer stepper acts as a spatial frequency filter [7]. The amplitude in the image plane of the projection system is obtained from the masks amplitude trans- mission function T(x), which takes on values of 0 or 1 behind opaque and transparent mask regions re- spectively, in the following way. The effect of a diffraction, limited optical system, i. no aberrations and no defocus, is to cut off higher spatial frequencies in the Fourier spectrum T'(k) of T(x). Both functions correlate through the equations: 9 B. Wagner et al | Sensors and Actuators A 46-47 (1995) 69-94 Te fro exp(ikx) dk OH) rw=2 70) exp —ita) ax ® The complex amplitude A(’) in the image plane is a Abe’)= J 7@ exper’) dk ® k has the meaning of a lateral wave vector component k=2p/Asin 6=2nv, where v is a spatial frequency. Primed coordinates refer to the image space. The maximum angle 8, of the wave vector with the optical axis that may pass the optical system is given by the numerical aperture NA=sin@,, so the cut-off frequency for a plane wave normally incident onto the object, ie. spatially coherent illumination, is given by k= NAQm{A= 21.0 If now a one-dimensional grating is used as an object having a pitch p, so that the + first and higher diffraction, orders do not enter the entrance pupil of the optical system, the diffraction pattern in the pupil is given as a simple integral over the mask transmission function, T(x) (Eq. (2)). Hence, the intensity to be obtained in the aerial image is determined by the energy which is, carried in the diffraction order zero. If the grating is, a regular one, i.e. consisting of equal lines and spaces, T(k=0)=05 and the aerial image intensity is, Ic’) =|A(e’)'=0.25. IE one defines ! as being the width of the Cr-lines on a conventional quartz/Cr reticle, the parameter @) which can be termed as the filling factor of the reticle, describes the percentage of the total reticle area covered by opaque mask features, governs the image intensity level 1’: I'=(1-By ©) Thus, by adjusting the parameter B appropriately, ar- bitrary image intensity levels can be set, which sub- sequently can be used to mould a photosensitive resist layer. In standard wafer steppers the reticle is usually illuminated partially coherent. If the coherence pa- rameter o describes the size of the spatial coherence area on the reticle, the limiting spatial frequency passing, through the stepper lens is given by nary © Hence the limiting pitch is 1a = PTT GNA 1) Thus, if the condition PSPe 8) is satisfied, the grating can generally be used to print any desired grey level on the wafer. Note that the violation of condition (8) will lead to undesired oscil- lations in the image intensity distribution. In order to facilitate proper calibration of removal of resist material, a progressively stepped linear grey scale must be printed and the thickness of the resist film remaining must be measured. The resulting calibration curve, correlating remaining resist thickness and intensity, accounts for the nonlinear exposure characteristics and provides the basis for the adjustment of grey levels on working gtey-tone reticles. Note that this calibration curve is specific for the resist processing, In other words, a change of any of the process parameters requires a complete re-calibration. 3. Design of grey-tone reticles In order to avoid undesited steps or ripples in the resist profiles, itis necessary to have the largest number of grey levels possible at hand for the sculpturing process. In our approach 2D gratings, ic., arrays of holes on a dark field reticle or arrays of opaque islands on a bright field mask, have been used. Generally, the con- straint given in Eq, (8) above must be satisfied in every spatial direction in the mask plane for the placement of the subresolution mask features. The variation in transmission can be realised in two ways: @ Keeping the pitch p constant and varying the size of the Cr-covered areas (pulse-width modulation). Depending on resist tonality this could also cor- respond to areas being uncovered by Cr. Preferably p should be chosen to be very closely below or ‘equal to p. in order to obtain the maximum number of grey levels possible. © Keeping the size of the Cr-covered or uncovered areas constant and varying the pitch p (pulsc- frequency-modulation), that is, vary the x,y spacing of features in order to control image intensity. ‘The first option requires more and/or more complex patterns to be written by the mask shop's electron beam writer, thus increasing writing times. However, the design of a grey-tone mask is more intuitive and thus easier to attain, since mask features are placed on a regular mesh which is imposed by the limiting pitch p.. In that respect, the design task using the second option is more difficult. The second option allows for asmaller number of mask features but requires a bigger number of x and y location values. In our approach to grey-tone reticles the first option has been used, although the optimum with regard to electron- beam writing times depends on the particular type of electron-beam system (vector scan or beam-shaped), B. Wagner at al. | Sensors and Actuators A 45-47 (1995) 89-94 1 Details of the design methodology adopted here have been published previously (5) In addition to basic design strategy, the process of data preparation and capabilities of the electron-beam writer are placing 2 number of constraints upon the actual design of grey tone reticles. The most severe constraint is given by the electron-beam writer's min- imum pixel size and its increment, since this parameter limits the number of grey-levels which can be generated for a given wafer stepper-electron-beam writer com- bination, that is, for a given p,. Generally the higher the resolution capabilities of the electron-beam writer, the bigger will be the number of attainable grey-levels for a fixed stepper resolution. This number can be increased further if a low resolution stepper optics is used, The lateral resolution of the technique presented here with respect to developed resist profilesis restricted by the size of the grey-tone unit cell, while the vertical resolution—the direction perpendicular to the resist film surface—is limited by the number of grey levels available, This becomes most visible if either very steep contour angles are to be transferred into a resist layer using several grey levels or if the developed resist surface is supposed to make a very small angle with respect to its initial surface line, These effects are attributed to the discretization into unit cells and dis- crete grey-tones; similar phenomena are also known from CRTs and dot-matrix printers. In order to be able to translate mathematical de- scriptions of curved resist surfaces into design data for grey-tone reticles a special data preparation software (MAD, the grey-tone mask design too!) has been de- veloped and implemented. This tool is able to take the above mentioned constraints into account, while gen- erating and optimising mask design suitable for further processing by standard CAD mask design software. The non-linearity of resist removal can also be accounted for through a calibration curve which is read into the MAD software and is used to adapt intensity levels for desired etch depths. MAD offers a variety of standard types of shapes, which can be arbitrarily placed, com- bined or modified through manipulation of basic pa rameters, as for example, the radius of hemisphere. ‘The range of shapes currently available is in no way limited and can be extended to cover any shape which can be represented as a graph of a function f of two independent variables (x,y). Software tools like MAD are the only extra modules which have to be integrated into the standard line of data preparation and processing in order to facilitate fabrication of arbitrarily curved resist surfaces with grey- tone lithography, thus guaranteeing that this technique is fully compatible with standard IC manufacturing equipment and technologies. 4, Simulation of grey-tone lithography One of the primary tasks during the procedure of grey-tone reticle design is the testing and debugging of design data, Other problems are the impact of resist, film thicknesses and resist processing on the final resist, relief, the assessment of the number of grey levels, necessary to produce well-defined smooth surface con- tours for a given set of surface shapes, and the small exposure latitude of the grey-tone process. To study these effects without having to go through time con- suming and cost intensive processes of designing, writing and testing grey-tone reticles, computer simulation of gtey-tone lithography has proven to be an extremely helpful means. Lithography simulation was performed using our in-house simulator SOLID (simulation of optical lithography in three dimensions) [8]. SOLID evaluates equations from the standard theory of partially, coherent imaging for the computation of aerial image intensity distributions. The simulator allows the com- putation of aerial images of arbitrary features on trans- mission and phase-shifting reticles in the presence of higher order aberrations in the projection lens and for various setups of the illumination system. Also included are models and algorithms for the 3D simulation of development processes of exposed photoresists. SOLID requires a set of experimentally determined parameters as input, thus calibrating physical models on which SOLID algorithms are based. For the simulated results shown in the following, resist modelling data for the HPR 204 were taken from the literature (9] and have been used throughout this work, Although this resist system is not ideally suited for thick film lithography, it has been used here because of its moderate contrast and the unavailability of data for the resist material which has been used in the experiments. In the following some simulated results for a hemi- spherical mirror-type resist profile will be presented. Grey-tone reticle data were generated using the MAD design tool, also utilising the fact that MAD is capable of generating reticle data in a format suitable as input for SOLID for subsequent simulation runs. Note that all reticle data are presented here on a 1X scale, ie. in wafer scale dimensions. The reticle layout is displayed in Fig. 1. This layout produces a hemispherical intensity distribution of which a cross-sectional view is shown in the graph of Fig. 2 A 3D plot of one half of the resist pattern produced by the reticle pattern of Fig. 1 is displayed in Fig. 3. By properly adjusting the exposure dose perfect hem- ispherical profiles can be produced. 2 B. Wagner etal. | Sensors ond Actuators A 46-417 (1995) 89-94 MASK LOCATION Y CUM MASK LOCATION X CUM Fig. 1, Reticle layout used to produce a hemispherieal image intensity distribution, pssiotim | | lo-08 ° xtum Fig. 2. Cut through the image intensity distribution obtained for the layout shown in Fig. 1 5, Experimental results For the lithography a standard 5X reduction pro- jection system (GCA-stepper type DSW 6300) without any special adaptation was used. The operating wave- length of this stepper was the g-line (436 nm). The value for the numerical aperture NA of the projection optics was 0.38 ‘A broad range of micromechanical devices require rather high structures in the range of several 10 um. Therefore the study was focused on testing thick resist layers for their applicability in grey-tone lithography. Fig. 3. Simulated 3D developed resist profile obtained for the layout shown in Fig, 1 Fig. 4. SEM micrograph of resist test structures patterned by single step grey-ione lithography using 27 diferent grey-tone levels, Positive tone photoresists of high viscosity (Hoechst AZ4000 series) was applied to form 3D resist structures. With a spin coating process, resist films having thick- nesses of up to 20 xm were fabricated. A careful baking procedure at temperatures of 80 °C and 100 °C with a final slow cooling process was necessary for stabilising the samples after coating, In order to stabilise the resist patterns for subsequent processes a post exposure bake at 110 °C for at least 2h was necessary. Depending on resist thicknesses the baking temperature must not exceed 130 °C to avoid formation of microcracks. ‘The test reticles for the projection lithography were produced by a commercial mask shop. The smallest dimension on the reticle was 0.8 wm. The test layout included a variety of patterns like pyramids, wedges, spherical lens- and mirror-type structures. In a first approach 27 different grey levels were realised, the pyramids and wedges were approximated by a set of stairs (Fig. 4). For achieving optimum results the required exposure time and the exact development conditions of the resist have to be controlled very carefully. Slight variations during dip development of the thick resist layers lead B. Wagner etal. | Sensors and Actuators A 46-87 (1995) §9-96 93 Pear Eau Fig. 5. SEM micrograph of spherical mirror-type resist profile: ap- proximately 200 grey.tone levels, Fig. 7. SEM micrographs from 2 set of wedges, fabricated by a single arey-tone exposure, Fig, 8, Examples for diferent resist profiles manufactured in a single shot exposure Fig. 9. Examples for diferent resist profiles manufactured in a single shot exposure. to curved profiles of the resist pattern. Nevertheless, smooth surfaces with only very little imperfections were obtained in this approach, In a second attempt patterns using approximately 200 grey levels were printed. Fig. 5 displays a micrograph of a resist profile resembling a spherical mirror-type structure, It has to be noted that in the first experimental trials the reticle layout was not calibrated to the target resist process, ie. the non-linear behaviour of resist exposure and development has not been accounted for. Further examples for grey-tone resist profiles are shown in Figs. 6-10. Tn further experiments the influences of various pa- rameters like the exposure time and focus position were studied. It was found that the focus offset has significant influence on the accuracy of the resist profiles produced. This became especially apparent in the tran- sition areas between two neighbouring grey-tone levels. The subsequent step of transferring the resist struc- tures in the underlying materials by dry etching is not 9 B. Wagner etal. | Sensors and Actuators A 46-47 (1995) 89-94 ees MEE ed ae) Fig. 11. Lens type structure after the dry-etching process in quartz lass covered here. The current work on this field is still under progress. Nevertheless, the first results, shown, in Fig. 11, demonstrate successfully the applicability of this technique. Together with grey-tone lithography, dry-etching opens the way for the fabrication of new structures and elements for micromechanics and es- pecially for micro-optics. 6. Conclusions The applicability of grey-tone lithography for the fabrication of arbitrarily shaped resist layers has been demonstrated in first experimental trials, indicating the enormous potential of this technique. Since the main advantage of this concept is its full compatibility with standard equipment and methodologies used in semi- conductor technologies, no additional equipment or effort is necessary for fabrication of micromechanical or micro-optical devices, except on the design part. In this regard the simulation of lithographic process steps served as an invaluable tool for testing and debugging the entire concept and also particular reticle designs. Furthermore, the reticle layout for specific surface shapes has been generated using custom-made design software, since according capabilities are not provided in commercially available mask design software pack- ages. References (1) M, Hisanaga, T. Koumura and 7. Hattori, Fabrication of 3- dimensionally shaped Si diaphragm dynamic fox MEMS "1993, p. 30, [2] DAR. Purdy, Fabrication of complex micro-optic components using photo-sculpting through halftone transmission masks, 10P Conf, Focus on Micromechanics, Warwict, June 1993, 1OP Publishing, London. [5] G. Gal, Micro-optics technology development for advanced sensors, Proc. Diffactive and Minlanursed Opies, San Diego, CA, USA, July 1993, Vol. CRA9, SPIE Press, Bellingham, Washington, 1993, pp. 329-359, (4) W. Doidissen, H. Engel, J. Wengelink and H13. Honsel, Lith- graphic fabrication of integrated micro-optical elements, Proc. Eur. Conf. Int. Opes (ECIO 93), Neuehite, Switzerland, April 1993, pp. 4-10. [5] W. Henke, W. Hoppe, HJ. Quenzer, P. Steudt-Fischbach and B. Wagner, Simulation and experimental stuly of grey-tone lithography for the fabrication of arbitrarily shaped surfaces, Proc. IEEE ier Eleci Mechanical Sysiems, 1994, pp. 205-210. (6) ¥. Oppliges, P. Sit, JM, Stauffer, JM. Mayer, P, Regnault ‘and G. Vorin, One-step 3D shaping using a grey-tone mask for optical and microelectronic applications, Microeletron. Er, 25 (1994) 449-456, [7] 3.W. Goodman, Ineduction 10 Fourier Optics, McGraw-Hill New York, 1968. [8] W. Henke and G. Czech, Simulation of lithographic images and resist profiles, Micreelection. Eng, 2 (1990) 629. [9} T. Kokubo, Z. Idemoto, Y. Kawabe and K. Usnishi, Design of a posite photoresist for submicron imaging assisted by SAMPLE simulation, Proc. SPIE, Adv. Resist. Technol. Proc. ¥; 920 (1988) 355.

You might also like