Download as pdf or txt
Download as pdf or txt
You are on page 1of 22

Microelectronic Engineering 132 (2015) 98–119

Contents lists available at ScienceDirect

Microelectronic Engineering
journal homepage: www.elsevier.com/locate/mee

Review Article

Nanoimprint technology for patterning functional materials


and its applications
Chen-Chieh Yu, Hsuen-Li Chen ⇑
Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan, ROC

a r t i c l e i n f o a b s t r a c t

Article history: Functional materials including metals, ceramics, plastics, and nanomaterials are now widely adopted in
Received 19 April 2014 modern devices. To facilitate the fabrication of nanostructured functional materials, nanoimprint lithog-
Received in revised form 11 October 2014 raphy (NIL) technology is developed. The nanoimprint technologies for patterning functional materials
Accepted 17 October 2014
are reviewed in this paper. Versatile NIL-based methods have been developed according to the material
Available online 23 October 2014
properties of the target functional materials. Besides the NIL involving dry etching or lift-off process, we
also introduce other NIL-based methods such as the direct NIL, reversal NIL, solid state electrochemical
Keywords:
stamping, nanotransfer printing, sol–gel NIL and many other improved NIL for functional materials. These
Nanoimprint lithography
Reversal nanoimprint lithography
methods demonstrate various advantages. For example, the direct NIL facilitates the direct formation of
Surface plasmon resonance functional metal or plastic nanostructures with a high reproducibility at the centimeter scale, the tem-
Sol–gel process plate stripping method aims to reduce the surface roughness of metal nanostructures to a few-angstrom
Roll-to-roll process scale. Besides, multilayer 3D metal/polymer/ceramic nanostructures can be readily achieved on many
Nanomaterials kinds of substrates by reversal NIL or nanotransfer printing. Moreover, the sol–gel NIL for ceramics,
roll-to-roll process for plastics, and some other NIL-based methods for patterning functional materials
and nanomaterials are introduced. The optical and electrical properties of the nanostructured functional
materials prepared by NIL are discussed. Additionally, the applications of these nanostructured functional
materials are also introduced in this article. Overall, this review aims to inspire next-generation devices
to be developed by NIL with versatile choices of imprinting processes and materials.
Ó 2014 Elsevier B.V. All rights reserved.

1. Introduction materials can be textured by NIL, and functional devices are


obtained accordingly. Besides, based on the concept of NIL, various
Since the first development in 1995 [1], the nanoimprint lithog- derivative procedures are developed.
raphy (NIL) has become one of the advanced patterning methods Here, we offer a general overview of NIL for functional materi-
for nanofabrication. The idea of NIL is to transfer patterns by press- als. As it is a rapidly growing research topic, we cannot hope our
ing a designed master mold into resist. While photolithography review to be comprehensive. However, we will do our best to
suggests the prevention of the mechanical contact between the describe the major research results and to review a wide cross-sec-
mask and resist, NIL is carried out distinctly by the intimate con- tion of relative literatures. Section 2 describes the NIL for metals.
tact between the mold and resist. NIL overwhelms other litho- Various kinds of NIL methods have been developed to texture met-
graphic processes by its low cost, high throughput, and high als. Besides, various morphologies of metallic structures can be
resolution. Versatile micro- and nano-structures have been fabri- obtained by NIL. These metallic structures demonstrate unique
cated using NIL. In the early stage, NIL was mainly carried out on optical property, and can be applied as functional devices. In Sec-
thermoplastic materials (resist). Since functional materials featur- tion 3, the NIL for ceramics are discussed. Ceramics are materials
ing different structures and patterns have found wide applications with high hardness and mechanical resistivity. These properties
on modern industries, how to fabricate micro- and nano-structures make using NIL to pattern ceramics a challenge. In the review,
on functional materials becomes a big issue. NIL for patterning we describe some breakthroughs on the NIL for ceramics, and their
functional materials is a new branch besides its early development further applications. Section 4 describes the NIL for patterning
on the resist based semiconductor process. Various kinds of plastics. Plastic materials become soften at elevated temperatures.
Therefore, the imprinting temperature is a critical issue in NIL for
⇑ Corresponding author. plastic materials. More importantly, NIL for plastic materials is
E-mail address: hsuenlichen@ntu.edu.tw (H.-L. Chen). compatible with roll-to-roll (R2R) process, and many high-speed

http://dx.doi.org/10.1016/j.mee.2014.10.015
0167-9317/Ó 2014 Elsevier B.V. All rights reserved.
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 99

R2R NIL methods are developed accordingly. In Section 5, NIL for mold
nanomaterials including carbon nanotubes (CNTs), graphene, and
metallic nanoparticles (NPs) are described. The properties of
nanomaterials largely depend on their dimensions. Nanostructured resist
nanomaterials open a new field in modern science and technology, substrate
and NIL has been proved as an efficient method to prepare such (a) (b) (c)
patterned nanomaterials with desired critical dimensions.
metal
2. NIL for metals

Metal is a widely used material in daily life and in many indus- (d) (e)
tries. On physical property, metal possesses good electrical and
Fig. 1. Schematic illustration of the conventional NIL involving lift-off process,
thermal conductivity. Besides, the ductility and malleability of
including (a) imprinting the mold into resist, (b) demolding, (c) removal of residual
metal make it easy to be mechanically drawn into wires or rolled resist by reactive ion etching (RIE), (d) deposition of metal, and (e) removal of resist,
into sheets. Therefore, metal has found versatile applications. leaving metal patterns on substrate.
Metallic nanostructures display distinct properties from their bulk
counterparts. In particular, the plasmonic property of metallic
nanostructures attracts great interests. Surface plasmon is the col- metallic nanostructures have been developed by NIL. For example,
lective oscillation of free electrons on the interface of metal/vac- circular concentric gratings have been demonstrated with different
uum (or metal/dielectric). For periodical metal nanostructures, periods and line-widths. In previous study, nanoring arrays [10],
the incoming photons will get additional momentums from the circular metallic gratings with a minimum line-width of 80 nm
periodical structures, and then excite the surface plasmons into were obtained [11]. Moreover, because the lift-off patterns are lar-
resonance, which is generally known as surface plasmon resonance gely determined by the imprinted resist layer, the property of the
(SPR) [2–4]. On the other hand, the resonance of surface plasmons resist layer would affect the final patterns. The air bubbles in the
on metallic nanoparticles (NPs) or other isolated metallic nano- resist layer would also influence the imprinted patterns. It is found
structures is called localized surface plasmon resonance (LSPR) that the optimized thickness and the imprinting parameters could
for the surface plasmon polaritons do not propagate away from eliminate the air bubbles in the resist layer. The solubility of air in
the NPs surface [5–7]. In this section, we will describe some meth- resist layer depends on the process conditions such as temperature
ods that employ the NIL to pattern metals, including the lift-off and pressure. Moisture and solvent in resist may participate in the
process, direct NIL, electrochemical NIL, and nanotransfer printing formation of air bubbles. A pre-bake process for the resist layer and
(also referred as reversal NIL and template stripping in most ways). carrying out the imprinting process under vacuum condition aim
Also, we will further discuss the optical, plasmonic, electrical, and to reduce air bubbles. As demonstrated by Li and co-workers
mechanical properties of these metallic structures, and their appli- [11], the pattern duplication in poly(methyl methacrylate) (PMMA)
cations on real devices. was uniform in large area when NIL was performed under an opti-
mized imprinting process.
Elliptical Au nanodisks arrays could be fabricated as well [12].
2.1. NIL involving lift-off process
The Au nanodisks were fabricated by the NIL involving lift-off pro-
cess. In the process, a tilted evaporation of Cr was carried out
On electron beam lithography or photolithography, lift-off pro-
before using the plasma etching to remove the residual resist layer.
cess is widely used to pattern metal structures. The process is car-
The Cr layer acted as the etching mask that protected the edges of
ried out by first patterning the photoresist by the exposure of
the resin from being etched and produced an undercut in the side-
electron beam or light. After development, the metal films are
walls of the imprinted structures during plasma etching. As a
deposited on the patterned photoresist. The photoresist (with the
result, metal nanodisk arrays could be readily fabricated on a glass
metal films on top) are subsequently removed by solvent, leaving
wafer by the following lift-off process.
the metal patterns on the substrates. However, the electron beam
lithography and photolithography are relatively high-cost and
complicated. Additionally, it would be difficult to achieve large- 2.2. Direct NIL
area fabrication in a short period of time by these lithographic
methods. To increase the throughput, NIL has been developed to In the conventional lift-off process, the metallic structures are
replace the electron beam lithography and photolithography. The obtained after several steps, including shaping the resist by NIL,
process of conventional NIL is shown in Fig. 1. Because conven- dry etching, metal deposition, and lift-off. Besides using the lift-
tional NIL provides the direct patterning of photoresist by mechan- off process to pattern metals, a much more convenient way is to
ical contact, the light or electron beam exposure procedure can be pattern metals directly. Because metals possess a good ductility
eliminated. Also, large-area photoresist can be patterned in a single and malleability, they are particularly favorable for the direct
step, and thus the fabrication time can be greatly reduced. Note forming processes. In 2003, Hirai et al. demonstrated the direct
that herein we define the NIL on resist as the conventional NIL, patterning of fine Au gratings by NIL [13]. To increase the hardness
in order to distinguish from other improved NIL technologies that of a Si mold, a 20-nm-thick Si3N4 film was deposited on the mold
will be introduced later. Accordingly, NIL is regarded as an efficient surface by low-pressure chemical vapor deposition (LP-CVD). The
method for replacing electron beam lithography and photolithog- Si mold was pressed to an Au film on a glass substrate at room
raphy, and is suitable for fabricating metallic nanostructures. temperature through several hundred MPa of pressure. In the
Many metallic nanostructures have been fabricated by NIL. The study, they indicated that the substrates were easily fractured dur-
surface morphologies of metal nanostructures are mainly deter- ing imprinting when the area of mold was smaller than that of sub-
mined by the morphologies of the master mold. Metallic lines strates. Therefore, to eliminate fatal fracture of the sample in
and dots have been demonstrated in the early stage [1,8,9]. The pressing, the form of the sample was well aligned to the mold to
resolution of NIL can reach down to the sub-100-nm scale, and avoid stress concentration at the mold edges. In 2008, Buzzi et al.
thus NIL is potential for various applications. Some complicated used the direct metal NIL to fabricate metal-containing optical
100 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

devices [14]. In their procedure, they used a lateral confinement (a polymer layer underneath the metal film, the imprinting process
ring made by molybdenum alloy) to avoid the stress concentration could be carried out at a temperature below the glass-transition
at the edges and the excess metal flows on the sides. To carry out temperature (Tg) of the polymer. When the processing tempera-
the process, various patterns with lateral dimensions down to ture was close to Tg, the polymer layer would become soft, and
250 nm and aspect ratios of up to 5 were first etched in Si wafers therefore the metal/polymer bilayer would be deformed simulta-
to prepare Si molds. Next, the Si mold was directly imprinted on neously and easily. In the conventional NIL process, the process
the metal film like Ag or Au. With this configuration, metallic temperature was heated to about 20–30 °C above the Tg of resists
structures with various shapes and aspect ratios were readily to ensure the resist become flowed. However, if the processing
obtained. This technique was therefore suitable for fabricating temperature in the improved NIL for metals was much higher than
metallic optoelectronic devices with a desired feature size down the Tg of underlying resist layer, the flowing resist would overflow
to 200 nm. Moreover, A.I.M. Greer et al. performed the direct NIL to the surface of gold films and caused poor pattern quality. This
to construct nanostructures on Ti surface using a diamond stamp result indicated that the temperature of the improved direct NIL
[15,16]. Ti was widely adopted in biomedical applications such for metals must be maintained below the Tg of the underlying
dental and orthopaedic implants [15–17]. The surface features of resist layer to avoid pattern distortion. Various morphologies of
the Ti-based implants would influence the cell adhesion property. metal film could be readily achieved by the improved NIL for met-
For example, to precisely control the surface morphologies of the als using different shapes of molds and imprint pressures. For
Ti-based implants might help to prevent unwanted cell growth example, a periodical corrugated metal film was obtained using a
on temporary implants [15]. However, Ti was a relatively hard sharp grating mold (Fig. 2b and c) [19–21] whereas hole arrays
material that was difficult to pattern. Therefore, the diamond mold, were fabricated by using a sharp cone mold (Fig. 2d and e) [20].
which possessed a greater hardness, would be a suitable choice for
direct NIL on Ti. A.I.M. Greer et al. have successfully transferred 2.3. Solid state electrochemical stamping
hole arrays (diameter = 200 nm, depth = 50 nm) onto Ti surface
using a diamond mold. Besides, they found that the anodization Another way to directly pattern metals is the solid state electro-
of Ti surface would help to reduce the imprinting pressure. The chemical stamping proposed by Hsu et al. in 2007 [22]. This
imprinted trench depth increased ca. 110 nm when performing method shows advantages of high reproducibility and high-fidelity
direct NIL on the anodized Ti surface, compared to that on the pure pattern transfer with features down to 50 nm and thicknesses
Ti surface (ca. 12 nm) under the same load (100 kg). ranging from 50 to 500 nm. Unlike the direct NIL mentioned above,
Although the direct NIL for metals has been demonstrated, such the solid state electrochemical stamping process was carried out
process with ultra-high pressure (several hundred MPa) and high by using a stamp made of a superionic conductor (Fig. 3). For
temperature [18] is not desirable because it would damage the example, the Ag2S was used as the stamp in which the silver ions
underlying substrates or devices. An improved direct NIL for met- were mobile [22,23]. Because the Ag2S demonstrated relatively
als reveals a much higher throughput than the previous direct NIL low yield strength compared to that of Si, the Ag2S could be pre-
with large pressures and the one using lift-off process. The patterned with fine features by a Si mold. After the Ag2S was tex-
improved direct NIL for metals was first proposed in 2006 by our tured, this Ag2S stamp was pressed onto the metallic substrate.
group [19]. The direct NIL for metals was based on metal/polymer Then an electrical bias was applied on the metal substrate (anode)
bilayer structure, and the procedure is displayed in Fig. 2. To over- and an electrode at the back of the stamp (cathode). At the metallic
come the ultra-high imprinting pressure, a sharp-tip mold was substrate/stamp interface, an apparent potential drop caused the
used instead of the conventional flat-top one. Because the imprint- oxidation of silver atoms on the metallic substrate and generated
ing pressure would be concentrated at the tips, the applied pres- mobile silver ions. These silver ions moved in the lattice of the
sure could be reduced accordingly. Besides, by introducing a soft superionic conductor (stamp) through some voids and defects

(a)

(b) (d)

(c) (e)

Fig. 2. (a) Schematic illustration of the direct NIL by using a soft buffer layer. (b–e) SEM images of (b) a sharp-tip grating Si mold, (c) the imprinted corrugated metal film, (d) a
sharp-tip cone Si mold, and (e) the imprinted perforated metal hole arrays. Reprinted with permission from Ref. [20] (Copyright 2008 Optical Society of America).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 101

is the nanotransfer printing (nTP). The nTP process was first devel-
oped in 2002 by Loo et al. [25]. This nTP technique relies on tai-
lored surface chemistries to transfer metal films from the raised
regions of a stamp to a substrate when these two elements are
brought into intimate physical contact. When the bonding strength
between the metal patterns and substrate is larger than that
between metal patterns and stamp, the metal patterns would be
transferred from the stamp to the substrate. Therefore, how to
increase (decrease) the bonding strength between the metal/sub-
strate (metal/stamp) is a critical issue. Typically, the bonding
strength between metal and stamp is decreased by introducing a
self-assembled monolayer (SAM) that ensures the weak adhesion
between the metal and stamp [26]. On the other hand, versatile
methods for improving the bonding between the metal and sub-
strate are studied. For example, the metal patterns can be trans-
ferred onto the Si substrate via the Ti-O-Si bonding [25]. Self-
assembled monolayer of 3-mercaptopropyltrimethoxysilane (MPT-
MS), alkane dithiol have been applied as the bonding layer for
Fig. 3. Schematic illustration of the solid state electrochemical stamping. (a) A bias
was applied between the superionic stamp and the metal substrate, and the stamp
transferring Au patterns [27–30]. Because the thiol group will
was brought into contact with the metal substrate. (b) The metal substrate was strongly bond to the surface of Au, these SAM would be an effective
dissolved and generated mobile ions. (c) The patterns were transferred onto the bonding layer for nTP. The most attractive feature of the nTP
metal substrate. Reprinted with permission from Ref. [22] (Copyright 2007 method, as well as the reversal NIL and template stripping meth-
American Chemical Society).
ods that we will introduce later, is its ability to construct 3D nano-
structures that other NIL-based techniques could not do. The NIL
toward the back cathode. This anodic dissolution of the metallic
involving lift-off process, direct NIL, and the etching process typi-
substrates, assisted by an applied pressure to maintain electrical
cally lead to the formation of 2D metallic nanostructures. 3D nano-
contact, progressively promoted the stamp into the substrate,
structures were difficult to achieve in these methods. On the other
and generated a negative-replica pattern in the silver substrate.
hand, Zaumseil et al. have used the nTP to transfer 3D nanostruc-
Depending on different metal substrates to be patterned, the mate-
tures onto GaAs substrate (Fig. 4) [30]. By repeating the nTP, a mul-
rial of the stamp should be altered. For example, to apply solid
tilayer nanostructure could be readily obtained. In the structures,
state electrochemical stamping process on copper substrate, Cu2S
the first layer adhered to the GaAs substrate through covalent
should be used [24]. Additionally, the etching rate typically
bonds to the dithiol monolayer. Cold welding bonds the subse-
increased with the applied bias.
quent Au layers to each other [30].

2.4. Nanotransfer printing/reversal imprint/template stripping 2.4.2. Reversal NIL


Another similar process is the reversal imprint technique devel-
2.4.1. Nanotransfer printing oped by Huang et al. in 2002 [31]. In the early stage, the reversal
Typically, the concept of conventional NIL or direct NIL for imprint technique was used to transfer polymer patterns onto sub-
metal is to transfer patterns by pressing a designed master mold strates. To begin the process, a polymer layer was first coated on a
into target materials. The target materials are deformed during patterned mold, and then the polymer layer was transferred to a
imprinting process, and their patterns are obtained after demold- substrate under an elevated temperature and pressure. The rever-
ing. Another patterning method based on the imprinting process sal imprinting technique performs an advantage over conventional

Fig. 4. (a and b) Schematic illustration of the nanotransfer printing process for (a) 2D and (b) 3D metal nanostructures. The metal nanostructures can be applied as the etching
masks for underlying substrate. (c) Tilted and (d) cross-sectional SEM images of multichannel nanostructures made by transferring textured Au film onto GaAs substrate.
Reprinted with permission from Ref. [30] (Copyright 2003 American Chemical Society).
102 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

NIL by allowing imprinting onto substrates that cannot be easily the reversal imprinting for metal materials. Moreover, ultraviolet
spin coated, such as plastic substrates. Fig. 5 displays the schematic (UV) curable resist acts as the bonding layer and is spin-coated
illustration of the three types of reversal imprinting in comparison onto a target substrate. We have utilized the reversal imprint-in-
with the conventional NIL. In conventional NIL, the mold is pressed metal (RIM) to transfer 2D hole arrays, corrugated films and crater
into a flat polymer film at a temperature well above Tg (Fig. 5a). At arrays onto glass with an intermediate resist layer (Fig. 6) [32]. One
this temperature, the polymer becomes flowed and deforms easily, of attractive features of the RIM method is that metal films featur-
and therefore it is able to copy the shape of mold. At the tempera- ing various profiles could be readily fabricated and replicated from
tures above Tg, similar polymer flow can also be observed in rever- various molds subjected to low imprinting pressures. Metal pat-
sal imprinting technique. Even if the mold is textured (Fig. 5b), the terns could be transferred from the mold to the resist-coated sub-
polymer on the protruded areas on the mold can be filled into strate under extremely low pressure (<0.1 MPa) because of the
nearby cavities during imprinting. Under such conditions, the surface energy difference between the surfactant and adhesion
action of reversal imprinting technique is very similar to that of promotion layer of the UV-cured resist. Depending on the imprint-
conventional NIL. On the other hand, if the reversal imprinting ing pressure and the morphology of the mold, different modes of
technique is carried out at a temperature around or even slightly RIM were revealed. For example, applying a pyramid mold and a
below Tg, the behavior of the reversal imprint technique is distinct certain pressure would lead to whole-transfer of the metal film,
from that of the conventional NIL and the reversal imprinting at a resulting in a 2D corrugated metal film (Fig. 6a and b). This behav-
temperature above Tg. At a temperature around or slightly below ior was similar to the reversal imprinting mode in Fig. 5b and d, in
Tg, the as-fabricated patterns are largely determined by the degree which a negative replica of the mold was obtained. On the other
of planarization of the textured mold. For example, nonplanarized hand, if a hole array mold and low pressure process were applied,
coating of polymer on the textured mold will lead to a negative only the metal film on the protruded areas of mold would contact
replica of the mold on the substrate, this kind of reversal imprint- with the resist layer, and thus a patterned metal film with a posi-
ing mode leads to a positive-replica pattern instead (Fig. 5c). On tive replica of the mold could be obtained (Fig. 6c and d), as similar
the other hand, if a flat coating of polymer is achieved, the entire to the mode in Fig. 5c.
coated polymer layer can be transferred onto the substrate Besides, complicated 3D structures can be fabricated via the
(Fig. 5d). Similar to the imprinting mode in Fig. 5b, a negative rep- RIM process. We demonstrated a 3D crater arrays using the RIM
lica of the textured mold is obtained. process (Fig. 6e and f) [32], with a reversal imprinting mode similar
Reversal imprinting technique can be used to transfer metal to that in Fig. 5b and d. The final morphologies of the as-trans-
patterns as well. Instead of the polymer film in conventional rever- ferred nanostructures depended on the shapes of the molds. For
sal imprinting, a metal film is deposited onto the textured mold in 2D hole arrays, the Si mold with flat top was used. On the other

(a)

(b)

(c)

(d)

Fig. 5. Schematic illustrations of (a) conventional NIL, (b–d) reversal NIL at temperatures (b) higher than Tg, (c) around Tg with nonplanarized coating of polymer layer, and
(d) around Tg with planarized coating of polymer layer.
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 103

(a) (c) (e)

(b) (d) (f)

Fig. 6. SEM images of the (a) pyramid, (c) flat-top hole array, (e) sharp-tip hole array Si molds, and the as-transferred (b) 2D corrugated, (d) 2D hole array, (f) 3D crater array
metal film. Reprinted with permission from Ref. [32] (Copyright 2009 Optical Society of America).

hand, a sharp-tip mold, controlled by RIE etching process, was used To begin the process, a thin metallic film was first deposited onto
to transfer the 3D crater-like structures. Because the UV curable the smooth template surface by thermal evaporation, sputtering
resist could fill into the cavities of the 3D patterns on the mold, deposition or other deposition methods. Subsequently, a thermal-
the transferring process could be carried out much easily. or UV-curable epoxy resin was coated on top of the metallic film
surface and attached to a solid substrate. In the last, the metallic
2.4.3. Template stripping film could be mechanically cleaved from the mold because of the
The template stripping (TS) process is another kind of pattern- weak adhesion in between. Due to the lattice mismatch between
transfer technique that aims to fabricate metallic patterns with metal and the mold material, take Si and Au for example, the cleav-
ultra-smooth surface (Fig. 7) [33–38]. The surface roughness of age will occur at the Si–Au interface, leading to the Au surface fea-
the metallic patterns was typically determined by the metal depo- turing the roughness similar to that of the mold surface. Therefore,
sition process, as those do in most lithographic and NIL process. the TS process presents an ultra-smooth surface on the metal pat-
The surface roughness would greatly influence the plasmonic terns. Additionally, large areas of ultra-smooth metal surfaces can
properties of the as-fabricated metallic patterns, and therefore it be readily produced in a few simple steps, making the process low-
would be an important factor for fabricating plasmonic devices. cost and high-throughput. Notably, although the procedures in the
To prepare metallic patterns with ultra-smooth surface, the TS nTP, RIM, and TS method are similar, there are some important
method was developed accordingly. The flatness of the metallic points in the TS method. Generally, the template stripping method
patterns largely depends on the surface roughness of the mold. focuses more on the smoothness of the transferred metal films.

Fig. 7. Schematic illustration of the template stripping process: (a) A patterned mold with atomically flat surface was prepared. (b) Subsequent metal deposition formed a
smooth interface with the underlying mold, even though the backside of the as-deposited metal is rough. (c) thermal- or UV-curable epoxy resins was coated on top of the
metallic film surface, (d) the metallic film was mechanically cleaved from the mold because of the weak adhesion in between. Now the patterned metal film displayed a
smooth surface comparable to that of the mold. Reprinted with permission from Ref. [33] (Copyright 2011 American Chemical Society).
104 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

Therefore, a textured mold with smooth surface is always used in the antennas were transferred onto transparent substrates accord-
the TS method. Mica is first used as the material for mold in TS, ingly. Since the TS method was high-throughput in production,
for it demonstrated an atomically flat surface of the cleavage plane large-area 3D Au bowties could be obtained in one step process.
[37,39,40]. The problem of using mica as the mold is the delamina- Fig. 8a depicts the two types of bowties having different local cur-
tion of its layer structure. An alternatively and much generally vatures near the gap and the dimer direction, controlling by the
used material is the polished Si wafer. With a comparable, atomi- deposition conditions. Type A bowties had a folded edge parallel
cally flat surface, the outstanding mechanical resistivity of Si wafer to the long axis of the dimer because they were produced along
makes it a good choice in TS. By using a textured mold with an the diagonal of the pyramidal pit (Fig. 8b and d). On the other hand,
ultra-smooth surface to transfer the metal patterns, the metal Type B bowties were formed by dimer on the two opposite Si(1 1 1)
nanostructures will demonstrate a smooth surface comparable to faces of the pyramidal pit template, so the individual pattern were
that of the mold, which will benefit the surface plasmonic flat with no folding (Fig. 8c). Noticeably, the adhesion support sur-
property. rounding the Au bowtie structures filled into the region around the
Yang et al. used a similar TS process to fabricate 3D protruded Au dimers as well as the gap in between.
and recessed hole arrays [41]. They first deposited a metal film at
oblique angles onto a pyramidal Si template, and then applied 2.5. Optical properties and applications of metal nanostructures
the UV curable polyurethane (PU) layer to strip the 3D structures prepared by NIL
from the template, leading to 3D protruding hole arrays. On the
other hand, the recessed hole arrays were obtained by using PU The metallic nanostructures display special plasmonic proper-
replicas. Recessed 3D hole arrays were fabricated by the angled ties. For example, the elliptical Au nanodisks fabricated by NIL
deposition of Au on the PU replicas. First, the pyramidal Si template involving lift-off process demonstrated two resonance peaks, one
was used to shape the poly(dimethylsiloxane) (PDMS). Then the in the visible and the other one in the near-infrared (NIR) regime,
PDMS mold was used to fabricate PU replicas. Recessed hole arrays due to the anisotropic feature [12]. The resonance peak in the vis-
were generated by the further angled deposition of Au on the PU ible regime corresponded to the plasmonic resonance in the short
replicas. Notably, the tapered ends of the 3D hole arrays were axis of the elliptical nanodisks, and the one in NIR regime was the
extremely sharp because of the shadowing by the edges of pyrami- resonance mode in the long axis of the nanodisks. The two LSPR
dal pits during angled deposition of metal film. peaks of the elliptical nanodisks could be utilized in sensing appli-
Besides the crater-like or hole arrays, 3D anisotropic nanostruc- cation. The refractometric sensing has been demonstrated on the
tures can be fabricated by the RIM or TS method as well. Suh et al. nanodisk arrays. The sensitivities of the two resonance modes were
demonstrated 3D bowtie nanoantennas by the TS method [42]. A experimentally determined by the slope of a linear fit on the plot of
Cr nanohole array on anisotropically etched Si(1 0 0) was used as LSPR peak wavelength in response to the bulk refractive index (RI)
the template. The orientation of the bowtie dimer depended on using aqueous solutions containing 0–60% glycerol. Accordingly,
the direction of the angle deposition of metal film. After depositing the sensitivities of the short-axis and long-axis modes were 167
process, the Cr–Au film was etched away, and the 3D bowtie and 327 nm/RIU, respectively. Beside the refractometric sensing,
antennas were left on the Si template. By applying the TS method, the elliptical nanodisk arrays were able to sense biomolecules as

(a) (b) (c)

(d)

Fig. 8. (a) Schematic illustration of template stripping method for the fabrication of 3D bowtie antennas. (b–d) SEM images of (b) Type A and (c) Type B dimer in etched Si
template. (d) Type A dimer embedded in PU. Inset: tilted view. Reprinted with permission from Ref. [42] (Copyright 2011 American Chemical Society).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 105

well. The detection of prostate-specific antigen (PSA) was demon- are very sensitive to the changes in environmental dielectric con-
strated, and the peak-shift of the LSPR band could be amplified by stants, and therefore they can be utilized as a sensing method.
the enzyme-catalyzed 5-bromo-4-chloro-3-indolyl phosphate p- The plasmonic property of the 3D recessed nanohole arrays were
toluidine/nitro blue tetrazolium (BCIP/NBT) precipitation. Also, further characterized by depositing polymer layers with con-
the limit of detection can be further enhanced down to the diag- trolled thickness on top of the structures. By means of this
nostic level. method, the decay lengths of SPPs and LSPs could be verified.
Besides, the SPR can be excited on the interface of periodical As expected, the SPP responded linearly to the thickness of the
metallic structures and dielectric, and induce an extraordinary polymer layers. The SPP decay length (>300 nm) was much longer
transmission (EOT) that overcomes the diffraction limit. The SPR than that of the LSP, whose wavelength-shift saturated at about
phenomena of metallic nanostructures textured by direct NIL have 11 layers (ca. 60 nm).
been studied [20,21]. For example, the corrugated metal film dis- Moreover, the plasmonic property of the 3D bowtie arrays was
played a high EOT in the visible regime. After the flat gold film characterized by transmission spectra [42]. The zero-order trans-
was imprinted and shaped into corrugated morphology, the trans- mission of Type A bowties having an average d = 20 nm showed
mission spectrum of the corrugated gold film displayed two trans- two distinct extinction dips at 660 and 850 nm when the polariza-
mission peaks at 530 and 670 nm those arose from the SPR modes tion of incident light was parallel to the dimer axis. The two extinc-
at the air/gold and substrate (resist)/gold interfaces in the asym- tion dips originated from the plasmonic hybridization in the
metrically corrugated metal film (i.e., air/corrugated gold film/ bowtie dimer as in the case of NP dimer. The short-wavelength
resist), respectively. After coating a resist film as an index match- dip at 660 nm represented the anti-bonding mode while the
ing layer above the corrugated gold film, the transmission of the long-wavelength dip at 850 nm was the bonding mode. Notably,
symmetric structure (i.e., resist/corrugated gold film/resist) the wavelength of bonding LSP mode would blue shift and become
increased dramatically. This index matching effect was presumably weaker if the gap size was increased, and now the bowtie dimer
because of the surface plasmons excited at the two interfaces had would act as two isolated particles. On the other hand, when the
the same wave vector and resonated with one another. In the polarization of incident light was perpendicular to the dimer axis,
index-matched structure, a strong transmission peak of 45% at the resonance centered at 800 nm did not change significantly for
680 nm was observed, and was much higher than that of the flat all gap sizes. Because under this polarization, there was no cou-
gold film. The imprinting pressure largely influenced the morphol- pling between the NPs in the bowtie dimer, and therefore the
ogy of the corrugated metal film and thus its plasmonic property. gap sizes did not influence the resonance wavelength. In contrast
The EOT of corrugated metal film increased dramatically from 3% to Type A dimers, Type B bowties did not perform two extinction
to 50% after increasing the imprinting pressure from 2.5 to dips in the transmission spectra when the polarization of incident
16 MPa. Besides, it was evident that there was no change in the light was parallel to the dimer axis. This was resulted from the
thickness of the corrugated gold films during imprinting under var- structural feature in Type B bowtie dimer could not concentrate
ious imprinting pressures. Besides, as mentioned above, a sharp-tip charges in an asymmetric fashion. Only a bonding mode resonance
cone mold was used to perforate nanohole arrays into the metal at 810 nm was revealed. Moreover, when the polarization of inci-
film. In the asymmetric nanohole arrays structure (air/perforated dent light was perpendicular to the dimer axis, the resonance
gold film/resist), the EOT occurred at around 530 nm, which repre- wavelength did not shift, and was determined only by the particle
sented the SPR mode of the air/gold interface. After an index size, similar to Type A dimer.
matching layer was coated above the perforated gold film, the Besides the photonic devices, these metal nanostructures pre-
SPR mode of the resist–gold at 680 nm in the symmetric structure pared by NIL have been utilized on electronics, mechanics, and
(resist/perforated gold film/resist) increased dramatically to 27%. medical applications. Field effect transistors (FETs) have been
The corrugated metal film has been applied as a refractometric developed by NIL [17,38]. For example, Zhang et al. used NIL to pre-
and biosensor [21]. The corrugated metal film demonstrated two pare 60-nm channel metal–oxide–semiconductor field-effect tran-
sensing criteria based on the SPR wavelength-shift of Au/super- sistors (MOSFET) on whole 4-inch wafers [17]. NIL was used to
strate mode and the intensity change of Au/substrate mode. The define the active areas, gates, and vias of the MOSFET at different
refractometric sensitivity was up to 800 nm/RIU, which was com- steps of the fabrication process. Also, multistep NIL has been pro-
parable or even higher than other types of SPR-based sensors. posed to fabricate bottom-contact, bottom-gate thin-film transis-
Moreover, the 3D nanohole arrays demonstrated unique plas- tor (TFT) on Si and poly(ethylene naphthalate) (PEN) foil [43].
monic properties. The comparison of anisotropic 3D nanohole The NIL was performed to pattern of all layers of metal-insula-
arrays to the 2D one has been made [41]. For example, the 2D tor-metal stacks. The gate, source-drain, and contact hole was
nanohole arrays may support SPR on the Au/substrate interface readily formed by NIL, with an alignment precision of 25–200 nm
and the Au/superstrate interface. As demonstrated by Yang on Si and 50–300 nm on foil substrates. The performance of the
et al., there were five SPR bands in the 2D structure. The (1, 0) as fabricated TFTs, with a channel length of 5 lm, was comparable
modes from Au/substrate and Au/superstrate interfaces shifted with the devices prepared by photolithography. Also, the TFTs with
to longer wavelengths as incident angle (h) increased. The a channel length of 250 nm on Si and 500 nm on PEN foil have been
(1, 1) and (0, ±1) modes from the Au/substrate interface prepared by NIL as well. Moreover, the molecular-electronic cir-
started to emerge at h = 20°. Besides, the (1, 0) mode from the cuits and electrochemical transducer were established by NIL
Au/substrate interface shifted to shorter wavelengths as h [44,45]. The NIL was used to pattern the metal electrodes, with a
increased. On the other hand, the anisotropic 3D nanohole arrays precise control over the electrode widths and spacings. The as-fab-
displayed distinct plasmonic property from the 2D nanohole ricated transducer could be applied on the biochemical sensor,
arrays. For example, the SPR mode at the Au/superstrate interface which showed the sensitivity to the ferrocene dicarboxylic acid
of the 3D protruding nanohole arrays and that at the Au/substrate [45]. Metallic scanning probe microscopy (SPM) tips have been
interface of the 3D recessed nanohole arrays were not observed. prepared by the NIL as well. A cone-shaped template was used to
Also, the 3D nanohole arrays showed regimes with high EOT. imprint Ag-polymer mixtures in order to obtain a metallic tip. After
For protruding hole arrays, regimes of high EOT emerged at high the imprinting process and focus ion beam milling, a high aspect
excitation angles (h > 30°) between 600 and 800 nm whereas the ratio, small-radius Ag tip was readily achieved. And this Ag tip
broad transmission region was centered around 530 nm for could be further applied on the SPM applications. Besides, a novel
recessed 3D hole arrays. As described above, the SPR and LSPR interdigitated cantilever array structure and ultrahigh-frequency
106 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

surface acoustic wave transducers were all demonstrated by NIL formed the etching masks on top of the sapphire substrate, and
fabrication [46,47]. the sapphire substrate was textured by ICP etching. Finally, the
periodical patterns were successfully transferred onto the robust
sapphire substrate.
3. NIL for ceramics

Ceramic is an inorganic material that generally consists of metal 3.2. NIL involving lift-off process
oxides. Ceramic has long been used in human life, and also has
many applications in industry. The physical properties of ceramic For metal materials, lift-off is a common process for fabricating
are well known as high hardness and mechanical resistivity. How- metallic nanostructures. The similar method can also be adopted
ever, the brittle property makes ceramic difficult to shape by exter- on ceramic materials. By means of the lift-off process, ceramic
nal stress. Because NIL relies on the physical deformation of the nanostructures could be readily obtained. For example, Suresh
target materials suffering the imprinting by mold, a brittle material et al. fabricated robust, high-density ZnO nanopillar arrays using
seems an inferior choice in NIL process. To overcome this issue, NIL involving lift-off process [58]. Briefly, a Si pillar mold was pre-
many NIL-based methods have been developed. pared by block copolymer lithography. Then the mold was
imposed into PMMA resist layer followed by a plasma etching to
3.1. NIL involving dry etching process remove the residual resist at the bottom of the holes. ZnO film
was deposited onto the textured resist layer by selected area
To avoid the stress-induced failure on the ceramic materials atomic layer deposition (ALD). Followed by the lift-off process,
during imprinting process, dry etching process is generally used ZnO nanopillar arrays were obtained. These patterns presented a
to fabricate patterns on ceramic materials. The etching masks are uniform array of ZnO nanopillars with sub-100 nm features and
typically made of metal patterns defined by NIL [48,49]. For exam- spatial resolutions. Moreover, these nanopillar arrays exhibited
ple, nano-scaled patterns on epitaxial Ba0.7Sr0.3TiO3 (BST) ceramic narrow distributions in size and separation, and good mechanical
films were fabricated by NIL. As demonstrated by Jim et al. [48], stability.
the BST film was first deposited on substrate by pulsed laser depo- This strategy is general and extendible to other materials that
sition. Then PMMA and imprint resist were spun on the BST film can be grown through not only ALD but also other deposition
subsequently. The patterns were transferred onto the imprint methods. Suzuki et al. presented a method for fabricating a
resist and PMMA. A Cr thin film was then deposited onto the pat- large-area dot array of Fe2.5Mn0.5O4 (FMO) by a combination of
terned resist, and the following lift-off process led to the patterned pulse laser deposition (PLD), NIL, and the Mo lift-off technique
Cr. The Cr acted as the etching mask for the underlying BST film. [59]. In the procedure (Fig. 9a–f), the resist layer was patterned
After carrying out the ICP (inductively coupled plasma) etching by NIL, then following deposition of Mo and lift-off process left pat-
and Cr removal, the 2D BST nanostructures were obtained. The terned Mo films on the substrate. The patterned Mo films were
BST nanostructures with different periods, and Si replicas could used as the resist for the second lift-off process instead of polymer
be fabricated from a single master mold. based resists. FMO film was deposited on the Mo resist at 340 °C by
The same procedure can be applied on other ceramic films like PLD. At this high temperature, polymer-based resists would be
sapphire. Sapphire is a robust substrate that finds many applica- destroyed, and therefore the use of patterned Mo films as the resist
tions in optoelectronic device. For example, sapphire is widely layer was necessary. Thus, if large-area patterned Mo films could
used as the substrate of a GaN-based light emitting diode (LED) be obtained, large-area oxide patterns deposited in dry conditions
[50–52]. Recently, several studies have examined the application would be realized. After the removal of patterned Mo films, FMO
of patterned sapphire substrate [53,54]. While the device perfor- dot arrays were obtained. A FMO dot array was successfully fabri-
mance is enhanced significantly by the patterned sapphire, the pat- cated over a large area. Besides, by means of this method, the FMO
tern shapes are still random. NIL can be applied to fabricate dot arrays with dot sizes ranging from 120 nm to 3 mm with very
sapphire substrates with designed textures [55–57]. For example, few vacancies were readily obtained (Fig. 9g–j).
with the same procedure in the conventional NIL, the patterns
were first transferred by pressing a flexible polyvinylchloride 3.3. NIL involving sol–gel process
(PVC) stamp into the UV curable resist along with UV light expo-
sure [55]. Then the patterns were further transferred to the under- In the conventional NIL, resists (polymer) are generally used as
lying resist layer with O2 plasma etching. Lift-off process of Cr the materials to be imprinted. However, the imprinted resist layer

(g) (h)

10µm 2µm

(i) (j)

2µm 2µm

Fig. 9. (a–f) Schematic illustration of the NIL-based Mo lift-off method. (g–j) SEM images of FMO nanodots having a size of (g) 1 lm, (h) 500 nm, (i) 200 nm, and (j) 120 nm.
Reprinted with permission from Ref. [59] (Copyright 2008 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 107

demonstrates limited thermal and mechanical stability. Therefore, ceramic films. Because the solvent in the ceramic-sol would diffuse
the imprinted resist layer is often used as a mask to transfer the into and be absorbed by the polymeric mold, the ceramic-sol will
patterns either by etching or lift-off into a stable substrate. Besides, convert into the ceramic-gel. The patterned ceramic-gel is not as
the imprinting process is based on the plastic deformations of tar- viscous as the ceramic-sol, and thus it can maintain the deforma-
get materials, therefore it would require high imprinting pressure tion caused by imprinting. ZnO [62,63] and silica [64] patterns
if we attempt to imprint materials with high yield strength. How- were fabricated by means of the sol–gel NIL process. For example,
ever, ceramic materials fracture easily when imposed stress. There- Yang et al. prepared ZnO patterns on Si substrates [62]. In their
fore, the NIL on ceramics is typically carried out along with an ICP study, a PDMS-based mold and ZnO-sol were used. During the
plasma etching to transfer the patterns onto the target ceramics, imprinting process, the organic solvent in the ZnO-sol was
and the direct NIL on ceramics is generally limited. To facilitate absorbed by the PDMS-based mold and the ZnO-sol was thus con-
the direct NIL on ceramics, the sol–gel process was developed verted into ZnO-gel. These patterned ZnO-gel were subsequently
accordingly. The sol–gel process is a method for producing solid annealed to form ZnO patterns. Using this ZnO-sol imprinting
films from precursors. Sol–gel processing is widely used in the for- method, the feature size of ZnO nano-patterns, as small as
mation of ceramic and glass films due to the following reasons. The 50 nm, were fabricated on Si and oxidized Si wafer substrates.
process is mainly a simple reaction that does not require exotic On the other hand, a direct indium tin oxide (ITO) patterning
materials, catalysts, or vacuum deposition process. Typically, the technique using thermal imprint lithography was presented
hydrolysis and condensation reactions take place at room temper- [65,66]. In order to fabricate the patterns on ITO films using NIL,
ature. Further a moderate temperature is applied to cure the gel, the target materials (here ITO) must have sufficient fluidity to fill
removing the water or ethanol generated during the reactions. into the mold and copy its morphology. Therefore, to imprint on
Because sol–gel precursors demonstrate a much higher viscosity ITO, the ITO NP solution was used as the imprinting resist. As dem-
and toughness, they can bear the stress during imprinting process, onstrated by Yang et al. [65], the ITO NP solution was first diluted
and form patterns without failure. By using the ceramic sol–gel, with N0 ,N-dimethylformamide (DMF) to reduce the viscosity and
direct NIL on ceramic films is possible. We have demonstrated a leave sufficient residual solvent in the layer after the spin-coating
low-pressure, low-temperature direct NIL method for patterning process. A PDMS mold was used to imprint on the ITO NP layer. The
ferroelectric PZT [Pb(ZrxTi1x)O3] films [60,61] (Fig. 10). In general, solvent was absorbed by the polymeric mold, and the ITO NP was
direct NIL in a ferroelectric or metal film utilizes ultrahigh pressure condensed. A post annealing sintered the ITO NPs and formed the
or temperature to form patterns on the film. We improved the con- solid ITO structures. The patterned ITO NP layer was directly fabri-
ventional direct NIL processes by using a sharp-tip mold and an cated onto the substrate by NIL. A tapered sidewall of ITO struc-
underlying soft gel film (Fig. 10a). The sharp-tip mold will concen- tures was observed. This was due to the absorption of the DMF
trate stress at the tips, and therefore the imprinting pressure and in the ITO NP solution by the PDMS mold. The diameter and height
temperature can be reduced. Besides, imprinting on the gel film of the ITO structures were ca. 280 and 130 nm, respectively. The
instead of on the bulk film makes the NIL much easily to be carried ITO NPs were sintered together during the post annealing process
out. After the patterns are created on the PZT-gel, a further anneal- and did not affect the dimensions of the ITO patterns.
ing process is required to obtain the patterned PZT film (Fig. 10b
and c). Besides, it was also successfully demonstrated that the 3.4. Reversal NIL
NIL on the metal/ferroelectric bilayer structure (Fig. 10d and e)
would help to overcome the pattern flattened problem in a gel film. Beside the direct NIL on sol–gel ceramic films, the reversal NIL
Moreover, the metal film prevents the mold from directly contact has been used to pattern ceramic films. Similar to the reversal
with the PZT gel. Therefore, no residual or contaminant will be NIL for metals, the reversal NIL also demonstrated the ability for
observed on the mold. Further, the metal layer can also be the constructing 3D ceramic structures, which was hard to achieve in
upper conductive layer in the ferroelectric application. the NIL involving dry etching. In the process [67], the template sur-
The sol–gel process is widely adopted in NIL for ceramic mate- face was first modified using (1H, 1H, 2H, 2H-perfluorooctyl)tri-
rials. Versatile sol–gel ceramic materials can be imprinted directly. chlorosilane to form an anti-stick layer so that the PZT gel could
A polymeric mold is more generally used in the NIL for sol–gel be easily separated from the template. Then the PZT gel was spun

(a)
(b) (d)

(c) (e)

Fig. 10. (a) Schematic illustration of direct NIL for PZT and Au/PZT bilayer structures. (b–e) SEM images of (b and c) PZT and (d and e) Au/PZT bilayer structures fabricated at a
pressure of 20 MPa. (b and d) Top view; (c and e) tilted view. Reprinted with permission from Ref. [60] (Copyright 2006 American Vacuum Society).
108 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

onto the template to copy the morphology of the template. The then washed away by deionized water, leaving the ZnO structures
template with PZT was then pressed onto a substrate at room tem- on the substrate. By repeating the same transfer procedure, 3D
perature. After that, the substrate was directly separated from the multilayer ZnO structures could be obtained. Fig. 11b and c display
template. As PZT on top of the grating lines contacted the sub- the SEM images and schematic structures of the 3D ZnO nanostruc-
strate, it was peeled from template while PZT in trenches stayed tures transferred onto a Si substrate. The cross-sectional SEM
in the template. image and schematic structure of one-layer patterned ZnO nano-
The reversal NIL can be applied on manufacturing multilayer structure on a Si wafer are shown in Fig. 11b. Also, the two-layer
ceramic structures as well. Han et al. demonstrated 3D ZnO struc- structure is displayed in Fig. 11c. UV-curable resist layer was used
tures by reversal NIL (Fig. 11a) [68]. A high-refractive-index ZnO to glue the ZnO layers. As shown in Fig. 11, the UV-curable resist
NP-based resin was used as the imprint resin in their study. The did not fill into the cavities between the patterns and layers, and
ZnO NP-based resin was formed by dispersing 10% of ZnO NPs hav- the ZnO nanostructures were not damaged by the multiple cycles
ing a diameter of 40 nm into a 1:5 mixture of benzylmethacrylate of reversal NIL process. The images also demonstrate that the 3D
(BMA) monomer resin and ethanol. To begin the reversal NIL, the multilayer ZnO nanostructures can be readily fabricated using
ZnO NP-based resin was spin-coated onto a polyvinyl alcohol reversal NIL with a ZnO NP-based resin, polymeric mold, and a
(PVA) transfer template and covered with an imprinting mold transfer template.
made by polymeric PDMS. The PDMS mold would absorb the sol-
vent from the imprint resin. Besides, the PDMS mold would pro- 3.5. Applications of ceramic nanostructures prepared by NIL
vide a better conformal contact with the substrate. Therefore, a
PDMS mold was superior to other polymeric molds for patterning The patterned sapphire can enhance the luminescence effi-
the solution-based resin. The PVA transfer template and the PDMS ciency of LEDs [55,56]. For example, a GaN based LED on a nano-
mold, sandwiched with the imprinted resin, were pressed toward meter-scaled patterned sapphire substrate exhibited a stronger
each other under vacuum with UV exposure to completely cure and sharper photoluminescence (PL) emission peak than that of
the resin. Then the patterned ZnO nanostructures were transferred the unpatterned LED [55]. Since the total internal reflection (TIR)
by pressing the ZnO structures attached to the PVA transfer tem- effect could be reduced by the nanometer-scaled structures,
plate onto the target substrates. The PVA transfer template was stronger PL emission could be obtained. The PL peak of the LED

Fig. 11. (a) Schematic illustration of preparing 3D structures by reversal NIL. (b and c) SEM images and schematic structures of a (b) single-layer and (c) two-layer 3D
structure made by reversal NIL of ZnO NP-based resin. Reprinted with permission from Ref. [68] (Copyright 2013 IOP Publishing).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 109

on patterned sapphire is approximately two times stronger than PMMA film. The stamp was obtained via a two-step copying of
that from the LED grown on the flat sapphire substrate. Further- the original mold made by electron beam lithography. Then the
more, the crystalline quality of the expitaxial GaN was slightly PMMA were spin-coated on glass as the imprinting resin. To fabri-
improved by the patterned sapphire substrate. On the other hand, cate the high-aspect-ratio nanostructures, the demolding process
the GaN LED on patterned sapphire substrate demonstrated a elec- was a critical step. For a failure in the demolding process, the as-
troluminescence (EL) peak intensity larger than that of the LED on fabricated structures might be crushed. For example, the friction
flat substrate by 2.8 times, indicating the light extraction efficiency force generated at the polymer/stamp interface would lead to dif-
could be improved by patterned sapphire substrates. ficulties in demolding. The friction force might be enhanced by the
Besides, the patterned ZnO NP layer could be applied on LEDs as shrinkage and thermal expansion differences between the two
well [68]. If the patterned ZnO layer was fabricated on the ITO elec- materials. The local friction force resulted in a non-uniform
trode of the LED device, it could cause a photon scattering effect demolding, as well as the as-fabricated structures. Dense gratings
and enhance the light extraction efficiency of the LED device. with high aspect ratio structures would exert high demolding
Moreover, the enhancement of light extraction efficiency of the forces on the structures, leading to some distortions or abrasions
LED with dual-side ZnO patterns was confirmed by electrolumines- on the structures. Besides, local ripping of the substrate surface
cence (EL) intensity. The EL emission intensity of the LED with pat- might be presented. On the other hand, the difference between
terned layer showed an increase of 11.7% compared to the flat one. the molding and demolding temperatures would largely influence
Besides, the patterned ZnO layer did not influence the electrical the final structures as well. In this case, the damage might be
property of the LED device. Therefore, the patterned ZnO layer fab- avoided by demolding at temperatures only slightly below the Tg
ricated by reversal NIL showed a useful application on LED devices. of the PMMA. This aimed to reduce the mismatch of thermal
Moreover, the ZnO patterns could be incorporated within a expansion between the PMMA and the stamps. Besides, at this
metal-oxide-semiconductor (MOS) capacitor. For example, as dem- temperature, the PMMA might still be soft enough to be released
onstrated by Suresh [59], the MOS capacitor incorporating ZnO from the stamp. The high-aspect-ratio, two-dimensional photonic
arrays demonstrated a hysteresis of 2.82 V, which was much supe- bandgap structure could be obtained accordingly.
rior to the low hysteresis of ca. 0.4 V for the control substrates Wafer-scale direct NIL using on plastic substrate has been dem-
without ZnO structures. The results showed the good charge stor- onstrated as well. Similar to the NIL on resist layer, the direct NIL
age in the MOS capacitor with ZnO arrays. Besides, capaci- on plastic substrates was performed at an elevated temperature
tancetime measurement indicated that the excellent charge around Tg of the plastic substrate [70]. Polycarbonate (PC) is com-
retention ability in the capacitor, which was resulted from the monly used as a high-transmittance polymer-based substrate, and
well-patterned and spatially isolated ZnO patterns that reduced it is considered as a promising candidate for fabricating optical
leakage through lateral conduction as well as reduced stress components or devices. In our previous study, Si master molds fab-
induced leakage. ricated by electron beam lithography were employed. A dual-side
On the other hand, ITO is widely used as a transparent conduc- direct NIL on a 365-lm-thick PC substrate was demonstrated. First,
tive electrode. A patterned ITO film could further improve the the PC substrate was placed between two Si master molds having a
transmission of incoming light [65]. The transmittances of ITO line width of 180 nm and a period of 400 nm. For the ease of
glass and directly patterned ITO film were compared. The directly imprinting, the PC substrate was softened through a preheating
patterned ITO film demonstrated a higher transmittance than that treatment. Because the PC substrate possessed a Tg of ca. 150 °C,
of the flat ITO glass due to the antireflection effect by the ITO pat- the system, including the PC substrate and the Si master molds,
terns. Moreover, after the annealing process, the sheet resistance of was preheated at a slightly higher temperature of 170 °C. Next,
the ITO NP patterns on the ITO glass was similar to that of the flat an imprinting pressure of 15 MPa was applied for the imprinting
ITO glass. Overall, the transmittance of ITO glass could be enhanced process for 3 min. After releasing the pressure, the system was rap-
while maintaining its conductivity using ITO NP patterns on the idly cooled by immersion into ice water, and then the textured PC
ITO glass. Therefore, it could be applied as a high efficiency trans- substrate was demolded from the two master molds.
parent conductive electrode for light emitting diodes, flat panel The procedure of dual-side nanoimprint on PC substrate takes
displays, and photovoltaic devices. advantages of physical properties of PC. First, like other polymer
materials, the PC substrate performs phase transformations at a
specific temperature. Therefore, the PC substrate will transform
4. NIL for flexible plastic materials
from rigid state to rubber-like state and become much softer at
the Tg. As in the conventional NIL for resist, this phenomenon aids
Plastics are polymer materials based on chains of carbon and
the nanoimprint process because the softened underlying sub-
other side groups or atoms. Depending on the polymer chains,
strate will largely reduce the applied pressure. Besides, the rub-
molecular mass, degree of crystallinity, and many other factors,
ber-like PC substrate is much easily deformed when suffering
the material properties of plastics are diverse. Generally, plastics
external pressure compared to the rigid counterpart. On the other
are flexible and moldable, especially at an elevated temperature.
hand, under the consideration of demolding, a substrate that will
Plastic substrates are typically transparent in visible regime, and
not stick to the molds is much preferred. The polymer will flow
therefore are widely adopted as supports for flexible devices.
and stick to the molds if the cooling rate is too slow during
Besides, due to the low cost and flexibility, plastics are suitable
demolding. Therefore, rapid cooling of the PC substrate after
for large-area patterning by NIL.
imprinting is necessary to maintain the shape of textured PC sub-
strate and ease the demolding process. Fig. 12 displays photo-
4.1. Direct NIL graphic images of an as-fabricated textured PC substrate. A clear
diffraction pattern was evident on the PC substrate, revealing that
Compared to metals and ceramics, plastic materials are highly large-area grating structures were successfully fabricated on the PC
deformable, and therefore the most efficient way to pattern plastic substrate. Moreover, a deliberately mismatch in the upper and bot-
materials is the direct NIL. Schift et al. have fabricated a dense two- tom master molds during the imprinting process allowed a clear
dimensional periodic photonic bandgap structures in PMMA thin observation of the diffraction pattern from the rear side when
films using NIL [69]. A stamp with a high-aspect-ratio pillar array observing from the front side, as in Fig. 12a (white arrow)—direct
was used as the imprinting mold to fabricate nanostructures on evidence that grating structures were readily fabricated on both
110 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

Fig. 12. Photographic images displaying (a) the diffraction pattern of a textured PC sheet and (b) a bent textured PC sheet. (c) AFM images of textured PC sheet. Reprinted
with permission from Ref. [70] (Copyright 2011 The Electrochemical Society).

sides of a PC substrate. Fig. 12b demonstrates the flexibility of the aspect ratios of as-fabricated structures increased to 2.6–3.0, which
textured PC substrate, which was easily bent; the diffraction pat- was much larger than that obtain at 110 °C. Moreover, when the
tern was clearly evident. Such flexibility might lead these textured temperature increased to 160 °C, the aspect ratios of the PMMA
plastic films to have a much wider applicability, relative to typical nanostructures could achieve up to 4–5.3. The heights of the
rigid ones, in flexible optoelectronic devices or systems. Fig. 12c PMMA nanostructures were ca. 480–600 nm, and performed very
presents atomic force microscopy (AFM) images of the dual-side sharp tips. There was almost no distortion in the diameter of
textures on a PC substrate. The top-view image demonstrates the PMMA nanostructures compared to that of the Si and Ni–Co mold.
existence of a uniform periodical grating structure over a large The diameter of the nanostructures were almost the same in the
area. The inset reveals the 3D morphology of the grating structure. above three cases. The depth of the nano-cavities in the Ni–Co
The depths of the trenches of the textured PC substrate were ca. mold was only about half the height of the nanotips in the Si mold.
340 nm. The total trench depth was ca. 600 nm, as expected for On the other hand, the heights of the PMMA nanostructures were
the sum of those of the two sides. Notably, the trench depth at each nearly identical to the depth of the Ni–Co mold when the PMMA
side was around 300 nm, which was shallower than that of the Si sheet was imprinted at a high temperature of 160 °C.
master mold (depth = 600 nm). The trench depth of the textured
PC substrate was highly dependent on the applied pressure during 4.2. Reversal NIL
imprinting process and the viscosity of imprinted substrate. The
trench depth of the textured PC substrate would increase with Besides the direct NIL for plastic or polymer materials, the
the applied pressure. However, due to the moderate viscosity of reversal NIL is applicable for these highly deformable materials
PC substrate, unlike other fluidic materials such as PDMS and as well. The advantage of using reversal NIL to prepare the plas-
SU8, it was difficult to replicate exactly the same the trench depth tic/polymer nanostructures is the 3D construction ability. As
of Si master mold for PC substrate. described in Section 2.4, the reversal NIL of polymer was first pro-
Besides, high-aspect-ratio sub-wavelength structures (SWSs) posed by Huang et al. [31]. Versatile polymer structures could be
have been fabricated on PMMA plate as well, as demonstrated by readily prepared by the reversal NIL. Besides the 2D planer struc-
Ting and co-workers [71]. They applied an electron cyclotron reso- tures, 3D multilayer structures of polymer materials could be
nance (ECR) plasma etching process using gas mixtures of silane, established by the reversal NIL as well. For example, N. Kehagias
methane, argon and hydrogen to directly fabricate the tapered et al. have demonstrated two kinds of reversal UV NIL methods
nanotips on Si substrates in large area. Then a Ni–Co metal mold for constructing 3D multilayer structures. [72–74]. The schematic
was produced through the replication of the Si nanotips by electro- illustrations of the two reversal UV NIL methods are presented in
plating. The as-fabricated Ni–Co metal mold was used to imprint a Fig. 13. In the reversal UV NIL (Fig. 13a), the process was carried
PMMA sheet. The hot-embossing process was performed at various out by first spin coating UV-curable polymer on to a patterned
temperatures. At a low temperature of 110 °C, for example, the mold with surface relief. The polymer-coated mold was then
PMMA had a less deformation because the temperature was just brought into contact with the target substrate, and the polymer
close to the Tg of PMMA (100–110 °C). The PMMA flowed into layer was further transferred onto the target substrate under heat
the cavities of the Ni–Co metal mold with great difficulty at this and pressure. Depending on the imprinting pressure and heating
low temperature. Moreover, the low mobility of PMMA resulted temperature, either whole layer transfer or inking layer would be
in the incomplete filling of the PMMA into the cavities, leading to obtained. The UV exposure was carried out after the imprinting
nanostructures with a low aspect ratio of about 1.2–1.6. On the step, which induced the crosslink of the polymer. By repeating
other hand, if the molding temperature increased to 135 °C, the the same process onto the former layer, 3D multilayer structures
PMMA flowed easily into the cavities of the mold, and thus the could be built up conveniently. On the other hand, in the reversal
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 111

Fig. 13. Schematic illustrations of (a) reversal UV NIL, and (b) reversal contact NIL. In the reversal UV NIL, a master stamp with surface relief was used to pattern polymer
layer. On the other hand, a transparent master stamp with metallic patterns was used to define the UV exposure areas on the polymer layer. By repeating the NIL process,
multilayer polymer structures could be readily constructed onto the previous layer in these two methods.

contact UV NIL method (Fig. 13b), the imprinting step and UV- achieved in low cost and high throughput via the R2R NIL pro-
exposure were performed simultaneously. The master mold in cess. Many studies have been devoted to develop a rapid R2R
the reversal contact UV NIL method was made from a transparent NIL process [75–86]. A general schematic illustration of R2R NIL
substrate with metallic patterns on top. The metallic patterns process is shown in Fig. 14 [75]. The patterning mechanism in
aimed to define areas without UV exposure. Therefore, unlike the the R2R NIL procedure is similar to the conventional imprinting
reversal UV NIL that constructed polymer structures by the surface or embossing process, but the speed is much higher, at least
relief of the master mold, the reversal contact UV NIL constructed one or two orders of magnitude larger than that of the conven-
polymer structures by deliberately defining areas with and without tional NIL. Therefore, large-area patterned plastic film could be
UV exposures. After the simultaneous imprinting and UV exposure, obtained in a relatively short time. Notably, although the R2R
the polymer layer was transferred onto the target substrate. With a NIL produces patterns by imprinting or embossing, there is a
further development process, the polymer structures with main difference between the R2R NIL and conventional NIL. The
designed patterns were obtained. Additionally, the multilayer first difference is area of contact region. In the conventional
structures could be achieved by repeating the process as well. wafer-scale NIL, the contact area between mold and the
Therefore, the reversal NIL method not only provided an efficient imprinted target materials such as polymer or resist is very large
way to establish various kinds of 2D polymer structures but also whereas the contact area in R2R NIL is a narrow region transverse
demonstrated its ability to construct 3D structures with to the web moving direction. It is known that the adhesion force
functionalities. is proportional to the interface areas, and the large contact area in
conventional NIL would lead to a considerable adhesion force
4.3. Roll-to-roll NIL between the mold and the imprinted target material. A large
adhesion force would make the demolding process difficult to
Plastic film possesses outstanding flexibility and deformability, carry out. On the other hand, the contact region is relatively small
and they are widely used as underlying support in versatile flex- in the R2R NIL process; therefore the demolding process should
ible optoelectronic devices. Besides, patterned plastic films pro- be easily performed due to the low adhesion force. Moreover,
vide additional functionalities to the planar one. One great because the R2R NIL applies a roller mold, the demolding process
advantage of plastic film is the compatibility with the roll-to-roll will proceed in a ‘‘peeling’’ fashion, leading to a much lower force
(R2R) process. Therefore, the patterning of plastic film can be required for separation [75].
112 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

Fig. 14. (a) Schematic illustration of the R2RNIL process on plastics. The subsequent metal deposition process is optional and dependent on the final applications. (b) The
coating unit and (c) the imprint unit of the R2RNIL apparatus. Reprinted with permission from Ref. [75] (Copyright 2008 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim).

For plastic films, a direct R2R NIL is desirable. This can be Another way to build nanostructures on a plastic film is to
achieved by performing the R2R NIL process at elevated tempera- transfer patterned UV curable resist onto the plastic film [77].
tures. For example, Lim et al. demonstrated a roller NIL (RNIL) sys- Under this consideration, a UV light exposure was equipped with
tem for patterning plastic films by employing a hard mold [76]. In the R2R NIL system. For example, a RNIL system adopted a soft
this system, a hard Si or quartz stamp was placed on a hot plate in roller and focused UV light was developed. The soft roller aimed
order to heat the plastic film to be imprinted. A roller rolling on the to precisely control the contact pressure between the plastic film
stamp pressed the flexible plastic film into contact with the hard and the stamp. Additionally, a focused UV light was used to cure
stamp line by line. Guide rollers following the press roller aimed the resist coated on plastic film instantaneously. By means of this
to prevent the plastic film from shrinking or stretching during configuration, structures of UV-curable resist could be transferred
the RNIL process. The resolution of the transfer patterns depended onto the plastic film.
on that of the hard stamp. For the demonstration in this study, the Not only flat plastic films but also plastic fibers could be
line width and pitch of the line pattern on the stamp were 180 nm imprinted. Mekaru et al. demonstrated a new-type roller NIL sys-
and 470 nm, respectively. A roller of PC film with a thickness of tem to transfer designed patterns from a plane mold onto the
0.38 mm and a width of 150 mm was installed as a flexible sub- curved surface of a plastic fiber at high throughput (Fig. 15a)
strate. The temperature of the system was heated to 165 °C (above [78]. In this system, a plastic fiber was placed between two plane
the Tg of PC) and was cooled by a jet of compressed air. Notably, a molds and rolls under the traction force of the two sliding plane
higher imprinting temperature would lead to thermal deformation molds traveling in opposite directions. By this new-type roller
of the PC film whereas a lower imprinting temperature would NIL system, 5-lm-width square and 5-lm-diameter circular dot-
result in an incomplete deformation as well as the pattern transfer. ted patterns with 10-lm pitch were successfully transferred onto
In the same manner, higher imprinting speed would lead to incom- a 250-lm-diameter plastic optical fiber (POF). Moreover, Mekaru
plete pattern transfer, and lower imprint speed would lead to a et al. also presented a continuous molding on the entire curved
complete replica of the mold but a low throughput. Therefore, surface of 1.6 m-long POF by a repetition of roller-imprinting for
the imprinting conditions should be optimized in order to obtain 100 times. No significant differences between the shapes and
a good pattern transfer while maintain a high throughput. depths of the imprinted patterns obtained from the first imprinting
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 113

Fig. 15. (a) R2R process on plastic optical fibers. (b) Optical micrographs of mold patterns and imprinted patterns on the surface of plastic optical fibers after the 1st and
100th shots. Reprinted with permission from Ref. [78] (Copyright 2010 Elsevier B.V.).

and that obtained from the 100th imprinting were observed, Therefore, changing the imprint pressure and, thus, the depth of
implying the roller-imprinting was a reliable method for fabricat- the SPGs, readily allowed the phase retardation to be varied for a
ing patterns onto the curved surface of a plastic fiber (Fig. 15b). certain working wavelength. The low-cost and simple fabrication
of the flexible wave plates by direct NIL on plastic substrate, in
4.4. Optical properties and applications of plastic nanostructures conjunction with the stacking of various SPG-based wave plates
prepared by NIL of designed depths, allowed one to readily obtain a versatile phase
retardation systems for operation under a diverse set of selected
The structured plastic materials can be applied as an optical wavelengths.
component due to their intrinsic transparency. For example, the Besides, the plastic nanostructures could be used as the antire-
sub-wavelength periodical gratings (SPGs) prepared by direct NIL flection structures [71,87,88]. For example, the reflection of the
exhibited the birefringence [70]. The SPG structure resulted in dif- underlying substrate could be largely reduced in the wavelengths
ferent degrees of phase retardation of the TE and TM modes of between 400 and 800 nm when incorporated with the PMMA
light. Therefore, artificial wave plates based on SPG structures nanostructures [71,87]. Due to the gradient of refractive index,
would have an optical fast axis perpendicular to the grating line the PMMA nanostructures with high aspect ratio and the shapes
and a slow axis parallel to the grating line. This form birefringence of tapered angles reduced the reflectance dramatically. On the
made SPG-based wave plates promising candidates for use in other hand, this kind of tapered structures also provided an omni-
phase retardation systems. The SPG structures were fabricated by directional antireflection. A low reflectance of the PMMA nano-
direct NIL on PC substrate as describe above, and the correspond- structures was obtained at incident angles from 5° to 40°.
ing phase retardations were measured. The dual-side SPG structure Therefore, the nanostructures can be applied on various photovol-
having a depth of ca. 600 nm demonstrated a phase retardation of taic devices. Moreover, the nanoimprinted structures could help
0.25p, which was identical to a 1/8-wave plates. Moreover, the the light harvesting in photovoltaics. By patterning the hole trans-
degree of phase retardation could be summed by stacking the porting layer in an organic photovoltaic [89] or the active layer [90]
SPG-based wave plates in series. When two SPG-based 1/8-wave in a hybrid photovoltaic, these nanoimprinted structures showed a
plates were stacked together, they provided a total phase retarda- great contribution to enhance the device efficiency. The increment
tion of 0.5p. The result suggested that any degree of phase retarda- of the device efficiency might originate from the superior light
tion could be built into the system using such a stacking approach. scattering performance from the nanoimprinted structures or the
114 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

larger junction area in these nanoimprinted photovoltaics. Overall, the NIL is depicted as an efficient method for replacing the electron
the NIL is proven as an efficiency method for constructing versatile beam lithography and photolithography, it can be used to pattern
plastic optical components and polymer-based photovoltaics with CNT arrays as well. NIL is carried out to define the growing area of
outstanding performance. CNTs. For example, Vieira et al. demonstrated a multiwall CNT
arrays by NIL [96]. The procedure was similar to the NIL for other
5. NIL for nanomaterials functional materials. A simplified schematic illustration of the pro-
cess is depicted in Fig. 16a. Briefly, a hard master with predefined
From the statements above, NIL has been used to prepare versa- patterns pressed into PMMA resist at a temperature above Tg. After
tile micro- and nano-structures on metal, ceramic and polymer the demolding process, RIE was used to remove the remaining res-
materials. Nanomaterials are materials possessing dimensions in idue in the patterned areas. The imprint process was performed
the nanoscale, and they demonstrate distinct properties from their under vacuum to avoid the formation of air bubbles in the resist.
bulk counterparts. The distinct properties are resulted from their After the patterns were successfully transferred onto the resist,
nanoscale features, and thus many unique properties have been the growing areas for CNTs were defined accordingly (areas with-
discovered. Therefore, nanomaterials are a new field in materials out resist). For the CNT growth, a 15 nm-thick ITO diffusion barrier
science. Here, we will describe the NIL for three kinds of potential and a 7 nm-thick Ni catalyst were sputtered onto the substrate
nanomaterials—carbon nanotubes (CNTs), graphene, and metallic with resist patterned by NIL. After the lift-off process, positive-rep-
nanoparticles. lica patterned catalyst dot islands were formed on the substrate.
The growth of aligned MWCNTs was performed by plasma-
5.1. Carbon nanotubes enhanced chemical vapor deposition (PECVD) using acetylene
(C2H5) and ammonia (NH3) at 725 °C. Moreover, the morphology
CNTs are hollow cylindrical nanostructures consist of carbon of the CNTs could be modified by the applied bias during the grow-
atoms on the surface. Depending on the arrangement of carbon ing process. For example, by increasing the bias slightly from the
atoms, armchair, zigzag, and chiral types of CNTs have been grown usual 600–630 V, the CNTs with a smaller tip apex were prepared
as well as single-wall CNTs (SWCNTs) and multi-wall CNTs due to the Ni catalyst being etched away by high-energy ions in the
(MWCNTs) [91,92]. The outstanding physical properties such as plasma as the CNT grows. Therefore, NIL has been proved as a
thermal and electrical conductivity make CNTs a superior material robust method for patterning CNT arrays. Fig. 16b–d display the
in many devices [93–95]. To broaden the applications, selective as-grown CNT arrays arranged in design patterns. It was obvious
growth at certain sites of CNT arrays are desired. To pattern CNT that the CNTs only grew in the area defined by the NIL. The similar
arrays, various lithographic methods are adopted. Moreover, as procedure can be used to fabricate wafer-scale CNT arrays as well.

Fig. 16. (a) Schematic illustration of fabricating CNT arrays by NIL. (b–d) SEM images of CNT arrays patterned in (b) ‘‘NANO IMPRINT’’ letters, (c) square arrays, and (c) the
enlarged area of the square arrays. Reprinted with permission from Ref. [96] (Copyright 2006 American Institute of Physics).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 115

A 2-inch vertically aligned CNT arrays have been achieved, as pro- revealing the underlying conductive substrate as well. The two
posed by Bu et al. [97]. methods both successfully led to patterned conductive substrates.
Besides, Yin et al. demonstrated two imprinting processes, soft To grow CNT arrays, a 100-nm-thick Cr layer was sputtered onto
UV curing NIL with distortion reduction by pre-cure press releasing the patterned conductive substrates. The aligned CNT arrays were
(DRPPR) process (Fig. 17a) and NIL without cured residual resist prepared on the patterned conductive substrates by pyrolysis of
(Fig. 17b), for patterning insulated resist [98]. CNT arrays were iron phthalocyanine, C32H16FeN8, which contained both the metal
grown in the opening space between two insulated resist patterns catalyst and the carbon source required for the CNTs’ growth.
on the conductive substrate by pyrolysis of iron phthalocyanine The aligned CNT arrays grew on the patterned conductive sub-
(FePc) and C32H16FeN8. In the DRPPR process, a PDMS soft mold strates are displayed in Fig. 17c.
was applied to pattern the insulated resist layer. After the PDMS Selective growth of CNT arrays on the substrates can be
mold was imprinted onto the resist layer, the loading force was achieved by using NIL as described above. Moreover, patterned
gradually increased by a precise-controlled loading system. Then CNT arrays can also be obtained by a transferring method. Wang
the force was released to eliminate the mold distortion. In the last et al. demonstrated a method to transfer and form aligned CNT
procedure, the insulation resist layer was baked with a proper arrays using isotropic conductive adhesive (ICA) [99]. The process
loading force and an ICP etching process was used to wipe off was based on two main steps: NIL of the ICA and transfer of CNTs.
the residual resist in order to reveal the underlying conductive A pyramid-cavity Si mold made by anisotropic wet etching was
substrate. On the other hand, a special NIL process without the used to imprint the ICA. Before imprinting into the ICA, the Si mold
residual etching process demonstrates as well. In this process, a was coated with a thin layer of release agent in order to prevent
hard Cr stamp was used to pattern the insulation resist layer. the ICA from sticking to the mold. In the first step, a drop of the
The Cr stamp was made by the PECVD process and the following ICA was placed on a Si substrate and then imprinted by the mold.
EBL and ICP processes. The Cr patterns aimed to absorb exposure The imprinting of the ICA was carried out by two steps. The ICA is
UV light. After the stamp was imprinted on the UV-curable resist, first imprinted under elevated temperature and high pressure.
the Cr patterns could protect the residual from UV exposure. Next, Under the elevated temperature, the ICA became deformable and
the uncured resist could be easily washed out by organic solvent, thus easy to be patterned. The ICA was imprinted under a pressure

Fig. 17. Schematic illustrations of the (a) DRPPR NIL and (b) novel NIL without residual etching process. (c) SEM images of aligned CNT arrays grown in the patterned
conductive areas. Reprinted with permission from Ref. [98] (Copyright 2008 Elsevier Ltd.).
116 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

force of 40 N at 60 °C for 90 s. Then the ICA was cured under 20 N of less than 10 nm, thus a high-resolution patterning method is
at 150 °C for 90 s. After demolding, pyramid-like structures were required. As NIL is demonstrated as a high-resolution lithographic
formed on the ICA. Next, a heat transfer process was applied to method, NIL for patterning graphene has been developed accord-
produce discrete ICA patterns. A target Si substrate sputtered with ingly. In contrast to metal, ceramic or polymer materials, pattern-
a thin titanium/gold layer was brought contact to the patterned ing graphene sheet cannot be achieved by directly imprinting
ICA. After the two substrates are separated, an array of discrete process due to its angstrom-scale thickness. Therefore, other NIL-
ICA patterns was transferred onto on the target substrate. To fabri- based processes were established. As demonstrated by Liang
cate CNT arrays, a substrate with CNT film was pressed onto the et al., graphene nanomeshes (GNM) with sub-10 nm ribbon width
chip carrying the ICA array under a pressure force of 20 N and a was obtained via the NIL [101]. To begin the patterning of graph-
temperature of 150 °C. Then the CNT arrays could be readily trans- ene sheet, graphene was firstly transferred onto a target substrate.
ferred onto the target substrate via the patterned ICA, and leave Next, an imprint resist was subsequently spin-coated on the graph-
cavities on the origin CNT film. Therefore, NIL can be used to fabri- ene. A hard mold with designed patterns pressed into the resist,
cate patterned CNT arrays by means of two methods, one is to pat- and plasma etching was carried out to remove the residual resist
tern the growing areas of CNT arrays, and the other one is to as well as the underlying graphene on the imprinted region. After
transfer CNT arrays via the adhesive layer patterned by NIL. the removal of the resist, a nanostructured graphene with a nega-
tive-replica pattern to the mold was obtained. The plasma etching
5.2. Graphene duration would determine the ribbon width of the GNM, and
therefore the ribbon width as well as the electronic state of the
Nanopatterned graphene demonstrates an open bandgap while GNM could be finely modified.
graphene is originally a zero-bandgap material [100,101]. The Using a pillar mold, graphene nanorings could be fabricated
dimension of the nanostructured graphene is typically on the order by NIL as well [102]. The process is indicated in Fig. 18a–f. A

Fig. 18. Schematic illustration of the process flow for preparing graphene nanorings by NIL: (a) Nanoimprint on a three layer film (resist/PMMA/PVA) by a pillar mold, (b)
transfer of the hole patterns after releasing the mold, (c) plasma etching on the residual layer, (d) formation of Ni nanotubes by Ni deposition and the subsequent Ar plasma
etching, (e) removal of the Ni/resist/PMMA layer, and (f) O2 plasma etching on the PVA layer and graphene. The lift-off process of the PVA layer along with the Ni nanotubes
left the graphene nanorings. (g) SEM images of the as-fabricted graphene nanorings. Reprinted with permission from Ref. [102] (Copyright 2013 WILEY-VCH Verlag GmbH &
Co. KGaA, Weinheim).
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 117

three-layer film (resist/PMMA/PVA) was spin-coated on a graphene the first time of metal NPs self-assembly. Moreover, the imprinting
sheet. After the pillar mold was imprinted into the resist, plasma conditions (e.g., temperature and applied pressure) determined the
etching was carried out to remove the residual resist and transfer final depth of the embedded NPs. Before imprinting, the NPs self-
the hole-array patterns to the underlying layers. As a result, assembled on the glass slide demonstrated a height (thus the
hole-array patterns with a diameter of 300 nm and a height of diameter of NPs) of 61 nm. When the imprinting process was fin-
420 nm were fabricated. A 30 nm-thick PVA residual layer was ished, the depth of the embedded NPs was merely 20 nm under a
deliberately left as a buffer layer, and Ni was deposited onto the small imprinting pressure of 1 MPa. Interestingly, this result indi-
patterned layers subsequently. Then an Ar plasma etching was cated that the NPs could be transferred successfully to the PC sub-
used to convert the deposited Ni nanocups into nanotubes. The strates even when the embedded depth was less than half of the
substrate was then placed in acetone to remove the Ni/resist/ diameter of the NPs. When the pressure was increased to 3 MPa,
PMMA layers, and left the PVA layer with embedded Ni nanotubes. the embedded depth increased gradually to 54 nm. Additionally,
In the last, O2 plasma was used to etch both the PVA layer and the if the imprinting process was carried out at 6 MPa, the NPs
underlying graphene. Subsequently, the lift-off process of the PVA appeared to be completely buried under the PC surface. The tem-
layer along with the Ni nanotubes led to the patterned graphene perature largely influences the imprinting process as well. At
nanorings (Fig. 18g). 140 °C, the NPs were completely buried under the surface, even
On the other hand, UV-NIL was proposed to fabricate large-area when the pressure was only 1 MPa, presumably because of the
micro- and nanostructured graphene in low cost. Bergmair et al. rapid drop in modulus of PC when the temperature reached the
demonstrated that the UV-NIL for patterning exfoliated as well as heat deflection temperature (HDT), which was typically close to
CVD grown graphene that overwhelmed the thermal NIL or hot its value of Tg. The HDT was the temperature at which a polymer
embossing method by much shorter process times [103]. Besides, material deforms under a specified load. For PC, the HDT was
the process was suitable for graphene transferred on versatile sub- 140 °C under a testing pressure of 0.455 MPa. Thus, the depth of
strates such as Ni, Cu, and SiO2 substrates. As the UV-NIL for other the embedded Au NPs into the PC surface could be finely modified
substrates, the UV-NIL process for graphene was carried out by by changing the applied pressure when the temperature was
pressing a mold into a UV-curable resist, and using UV light expo- slightly below the HDT (e.g., 120 °C), and the embedded depth
sure to harden the patterned resist. A following plasma etching was not easily controlled at the HDT (140 °C). Furthermore, the
was applied to remove the residual resist layer and transfer the imprinted PC surface would be too rough when the process was
patterns into the underlying graphene. However, the hardened performed at a temperature above the Tg of PC, as well as for other
resist in UV-NIL was typically not soluble in organic solvents. polymer materials.
Therefore, how to remove the hardened resist without damaging
the underlying graphene layer was an important issue. Bergmair 5.4. Applications of nanostructured nanomaterials
et al. used a two-layer resist in their system. The bottom resist
acted as a transfer layer that prevented the damage of the pat- The CNT arrays have attracted great interests in the application
terned graphene when removing the resist. By means of this of field emission display (FED), sensor devices, electrochemical
method, various patterned graphene structures could be obtained. energy storage and flip-chip bumps. Therefore, CNT arrays pat-
With a designed mold patterns, cruciform graphene with smallest terned by NIL have wide applications. For example, the field emis-
feature sizes down to 20 nm was achieved. sion properties of the aligned CNTs patterned by NIL were tested,
as demonstrated by Ying et al. [98].
5.3. Metallic nanoparticles The nanostructured graphene sheet can be applied on, for
example, field effect transistors (FETs) [101]. Electronic character-
Metallic NP arrays have been achieved by NIL involving lift-off ization of GNM-based FETs was performed. When the average rib-
process. The metallic NPs or nanostructures are formed by the bon-width decreased, the ON/OFF current ratio of devices
NIL process. Here we focus on the NIL for patterning or transferring increased. The ratio would achieve close to 100 when the width
colloidal metallic NPs, where the NIL was used to define the loca- was less than 10 nm. The results implied that an electronic band-
tion of chemically synthesized NPs [104,105]. The advantage of gap was formed in GNMs. Accordingly, the bandgap value inversely
using NIL to define the location of NPs is its high reproducibility. scaled with the average ribbon width of GNMs. Therefore, con-
Besides, the NP arrays could be obtained over a centimeter-scale structing nanostructured graphene would benefit the electronic
area in a single step, which overwhelmed the electron beam lithog- applications of graphene, and determined which superlattices of
raphy or photolithography. graphene structures could be obtained. It has proved that NIL is
For example, we have used the reversal NIL to prepare NP arrays an efficient method to prepare nanostructured graphene sheet
embedded in plastic substrates [104]. The as-prepared NPs were with critical dimensions.
first self-assembling on rigid substrates such as glass or Si. To pre- The NPs embedded in PC plates prepared by reversal NIL could
pare embedded NPs in plastic substrate (PC here), the PC substrates be applied as a SPR scattering sensor [104]. As mentioned above,
were brought into direct contact with the self-assembled metal the imprinting temperature and pressure would influence the
NPs on rigid substrates. The reversal NIL was carried out at various depth of embedded NPs, and thus the scattering ability of the sen-
temperatures near and below the Tg of PC substrates (150 °C) sor. For example, the higher imprinting temperature and applied
under pressures in the range from 0.5 to 6 MPa. Under these pressure would result in a deeply embedded NPs, and more dense
imprinting conditions, the NPs on the rigid substrates could be NP arrays. However, completely embedded NPs were not able to
pressed and embedded into the PC substrates easily due to the bind to the target molecules. Therefore, optimal imprinting condi-
drop in the modulus of PC substrates. The transferring of the NPs tions were necessary to the fabrication of SPR scattering sensors
determined on the adhesion force between the PC/NPs and rigid exhibiting both strong scattering power and large naked surfaces
substrate/NPs. Because the PC substrates were softened at elevated to interact with target molecules. To fabricate the SPR scattering
temperatures, the adhesive force between PC and NPs was sensor, hollow gold NPs (HGN) were transferred on to PC plate at
enhanced and greater than that between the rigid substrates and 110 °C under 0.5 MPa. The scattering wavelength of the HGN-mod-
NPs. After demolding, the rigid substrates could once again adsorb ified PC plate demonstrated a distinguishable red-shift before and
metal NPs and be reused in the imprinting process. Notably the after binding 1-hexadecanethiol, revealing the sensing ability of
surface modification of the substrates was only needed during the SPR scattering sensor. The detection of thiol-containing amino
118 C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119

acids such as cysteine (Cys) has been demonstrated on the SPR [5] S. Link, M.A. El-Sayed, J. Phys. Chem. B 103 (1999) 4212–4217.
[6] C.J. Orendorff, T.K. Sau, C.J. Murphy, Small 2 (2006) 636–639.
scattering sensor. The surfaces of NPs embedded in PC plate were
[7] S. Kubo, A. Diaz, Y. Tang, T.S. Mayer, I.C. Khoo, T.E. Mallouk, Nano Lett. 7
modified with Cys-NP target molecules with a tree-step method. (2007) 3418–3423.
The scattering wavelength of the SPR scattering sensor displayed [8] S.Y. Chou, P.R. Krauss, P.J. Renstrom, Science 272 (1996) 85–87.
a red-shift from 585 nm to 590 nm before and after binding target [9] S.Y. Chou, P.R. Krauss, P.J. Renstrom, J. Vac. Sci. Technol., B 14 (1996) 4129–
4133.
in the presence of 1 mM Cys. Besides, a new SPR scattering peak [10] B. Cui, T. Veres, Microelectron. Eng. 84 (2007) 1544–1547.
was revealed at 745 nm. The long-wavelength SPR scattering peak [11] M. Li, J. Wang, L. Zhuang, S.Y. Chou, Appl. Phys. Lett. 76 (2000) 673–675.
was due to the plasmonic coupling between the NPs embedded in [12] S.W. Lee, K.S. Lee, J. Ahn, J.J. Lee, M.G. Kim, Y.B. Shin, ACS Nano 5 (2011) 897–
904.
PC plate and the NPs on Cys-NP target molecules. By quantifying [13] Y. Hirai, T. Ushiro, T. Kanakugi, T. Matsuura, Proc. SPIE 5220 (2003) 74–81.
the scattering power ratio of 745 nm to 585 nm, a linear detection [14] S. Buzzi, F. Robin, V. Callegari, J.F. Löffler, Microelectron. Eng. 85 (2008) 419–
of Cys concentration from 103 M to 106 M was obtained. 424.
[15] A.I.M. Greer, K. Seunarine, A.Z. Khokhar, X. Li, D.A.J. Moran, N. Gadegaard,
Phys. Status Solidi A 209 (2012) 1721–1725.
6. Conclusions [16] A.I.M. Greer, K. Seunarine, A.Z. Khokhar, I. MacLaren, A.S. Brydone, D.A.J.
Moran, Microelectron. Eng. 112 (2013) 67–73.
[17] W. Zhang, S.Y. Chou, Appl. Phys. Lett. 83 (2003) 1632–1634.
Nanostructured functional materials exhibiting unique proper- [18] H. Mekaru, Microsyst. Technol. 20 (2014) 1103–1109.
ties have been demonstrated many applications on modern [19] H.L. Chen, S.Y. Chuang, H.C. Cheng, C.H. Lin, T.C. Chu, Microelectron. Eng. 83
devices. Traditionally, nanostructured functional materials are pat- (2006) 893–896.
[20] S.Y. Chuang, H.L. Chen, S.S. Kuo, Y.H. Lai, C.C. Lee, Opt. Express 16 (2008)
terned by electron beam lithography or photolithography. To over- 2415–2422.
come the cost and throughput issue in conventional lithography [21] C.C. Yu, K.H. Ho, H.L. Chen, S.Y. Chuang, S.C. Tseng, W.F. Su, Biosens.
methods, NIL is developed as an alternative method for patterning Bioelectron. 33 (2012) 267–273.
[22] K.H. Hsu, P.L. Schultz, P.M. Ferreira, N.X. Fang, Nano Lett. 7 (2007) 446–
various materials. Depending on the target materials, versatile NIL 451.
methods have been proposed and shown a superior texturing abil- [23] A. Kumar, K.H. Hsu, K.E. Jacobs, P.M. Ferreira, N.X. Fang, Nanotechnology 22
ity in nanoscale. NIL based techniques have been carried out to pat- (2011). 155302-1–155302-6.
[24] P.L. Schultz, K.H. Hsu, N.X. Fang, P.M. Ferreira, J. Vac. Sci. Technol., B 25 (2007)
tern metals, ceramics, plastics, and nanomaterials. Since metals are 2419–2424.
ductile materials, the direct patterning of metals by NIL is applica- [25] Y.L. Loo, R.L. Willett, K.W. Baldwin, J.A. Rogers, Appl. Phys. Lett. 81 (2002)
ble. Besides, a solid state electrochemical stamping has been devel- 562–564.
[26] S.Y. Chou, U.S. Patent No. 6309580 (2001).
oped as another choice for patterning metals. Imprinting-based [27] Y.L. Loo, R.L. Willett, K.W. Baldwin, J.A. Rogers, J. Am. Chem. Soc. 124 (2002)
transfer of metallic patterns (nanotransfer printing, reversal NIL, 7654–7655.
and template stripping) are proposed to manufacture versatile [28] Y.L. Loo, D.V. Lang, J.A. Rogers, J.W.P. Hsu, Nano Lett. 3 (2003) 913–917.
[29] Y.L. Loo, J.W.P. Hsu, R.L. Willett, K.W. Baldwin, K.W. West, J.A. Rogers, J. Vac.
3D metallic structures, which reveal unique plasmonic properties.
Sci. Technol., B 20 (2002) 2853–2856.
These metallic nanostructures are potentially adopted in optoelec- [30] J. Zaumseil, M.A. Meitl, J.W.P. Hsu, B.R. Acharya, K.W. Baldwin, Y.L. Loo, J.A.
tronic devices. Based on previous literatures, these devices showed Rogers, Nano Lett. 3 (2003) 1223–1227.
a comparable or ever better performance than that made by con- [31] X.D. Huang, L.R. Bao, X. Cheng, L.J. Guo, S.W. Pang, A.F. Yee, J. Vac. Sci.
Technol., B 20 (2002) 2872–2876.
ventional lithographic methods. On the other hand, NIL for brittle [32] H.L. Chen, S.Y. Chuang, W.H. Lee, S.S. Kuo, W.F. Su, S.L. Kua, Y.F. Chou, Opt.
ceramic materials is fulfilled. Besides using dry etching, a sol–gel Express 17 (2009) 1636–1645.
process is widely adopted in NIL. Various ceramic patterns are [33] N.C. Lindquist, T.W. Johnson, D.J. Norris, S.H. Oh, Nano Lett. 11 (2011) 3526–
3530.
developed, and these nanostructured ceramic can act as the sup- [34] X. Zhu, Y. Zhang, J. Zhang, J. Xu, Y. Ma, Z. Li, D. Yu, Adv. Mater. 22 (2010)
ports for optoelectronic devices such as LEDs. Moreover, reversal 4345–4349.
NIL for pattering ceramic has been proposed as well. By repeating [35] P. Nagpal, N.C. Lindquist, S.H. Oh, D.J. Norris, Science 325 (2009) 594–597.
[36] N.C. Lindquist, P. Nagpal, A. Lesuffleur, D.J. Norris, S.H. Oh, Nano Lett. 10
the imprinting process, multilayered 3D ceramic structures are (2010) 1369–1373.
obtained. On the other hand, flexible plastic materials are suitable [37] N. Vogel, J. Zieleniecki, I. Köper, Nanoscale 4 (2012) 3820–3832.
for R2R NIL process. Due to the material property of plastic, the [38] H. Cai, W. Ren, K. Zhang, Y. Tian, N. Pan, Y. Luo, X. Wang, Opt. Express 21
(2013) 32417–32424.
temperature is a critical factor in the NIL for plastics. By finely con- [39] W. Frey, C.K. Woods, A. Chilkot, Adv. Mater. 12 (2000) 1515–1519.
trolling the imprinting parameters, patterning plastic materials is [40] P. Cacciafesta, K.R. Hallam, C.A. Oyedepo, A.D.L. Humphris, M.J. Miles, K.D.
achieved in high throughput. Designs of the roller systems and Jand, Chem. Mater. 14 (2002) 777–789.
[41] J.C. Yang, H. Gao, J.Y. Suh, W. Zhou, M.H. Lee, T.W. Odom, Nano Lett. 10 (2010)
the transporting speed of the plastic film also influence the final
3173–3178.
features of imprinted plastic. In the last, nanomaterials including [42] J.Y. Suh, M.D. Huntington, C.H. Kim, W. Zhou, M.R. Wasielewski, T.W. Odom,
carbon nanotubes, graphene, and metallic nanoparticles are pat- Nano Lett. 12 (2012) 269–274.
terned by NIL. These patterned nanomaterials find superior proper- [43] P.F. Moonen, B. Vratzov, W.T.T. Smaal, B.K. Charlotte, J. Huskens, Org.
Electron. 13 (2012) 3004–3013.
ties on field emission devices, field effect transistors, and sensors. [44] G.Y. Jung, S. Ganapathiappan, X. Li, D.A.A. Ohlberg, D.L. Olynick, Y. Chen, W.M.
Overall, we hope this review of NIL for functional materials will Tong, R.S. Williams, Appl. Phys. A 78 (2004) 1169–1173.
inspire more next-generation devices to be developed by NIL, with [45] M. Beck, F. Persson, P. Carlberg, M. Graczyk, I. Maximov, T.G.I. Ling, L.
Montelius, Microelectron. Eng. 73–74 (2004) 837–842.
versatile choices of imprinting processes and materials. [46] G. Luo, I. Maximov, D. Adolph, M. Graczyk, P. Carlberg, S. Ghatnekar-Nilsson,
D. Hessman, T. Zhu, Z. Liu, H.Q. Xu, L. Montelius, Nanotechnology 17 (2006)
Acknowledgements 1906–1910.
[47] S. Büyükköse, B. Vratzov, Nanotechnology 23 (2012). 315303-1–315303-10.
[48] K.L. Jim, F.K. Lee, J.Z. Xin, C.W. Leung, H.L.W. Chan, Y. Chen, Microelectron.
We thank the Ministry of Science and Technology, Taiwan, for Eng. 87 (2010) 959–962.
supporting this study under contracts 103-2221-E-002-041-MY3 [49] E.C. Wang, S. Mokkapati, T.P. White, T. Soderstrom, S. Varlamov, K.R.
Catchpole, Prog. Photovoltaics Res. Appl. 22 (2014) 587–592.
and 103-2221-E-002-092-MY3. [50] F.A. Ponce, D.B. Bour, Science 386 (1997) 351–359.
[51] J.W. Ortony, C.T. Foxon, Rep. Prog. Phys. 61 (1998) 1–75.
References [52] D.H. Kim, Appl. Phys. Lett. 87 (2005). 203508-1–203508-3.
[53] Y.J. Lee, J.M. Hwang, T.C. Hsu, M.H. Hsieh, M.J. Jou, B.J. Lee, T.C. Lu, H.C. Kuo,
S.C. Wang, IEEE Photonics Technol. Lett. 18 (2006) 1152–1154.
[1] S.Y. Chou, P.R. Krauss, P.J. Renstrom, Appl. Phys. Lett. 67 (1995) 3114–3116.
[54] Z. Lin, H. Yang, S. Zhou, H. Wang, X. Hong, G. Li, Cryst. Growth Des. 12 (2012)
[2] T.W. Ebbesen, H.J. Lezec, H.F. Ghaemi, T. Thio, P.A. Wolff, Nature 391 (2005)
2836–2841.
667–669.
[55] H. Park, K.J. Byeon, J.J. Jang, O. Nam, Microelectron. Eng. 88 (2011) 3207–
[3] W.L. Barnes, A. Dereux, T.W. Ebbesen, Nature 424 (2003) 824–830.
3213.
[4] H.W. Gao, J. Henzie, T.W. Odom, Nano Lett. 6 (2006) 2104–2108.
C.-C. Yu, H.-L. Chen / Microelectronic Engineering 132 (2015) 98–119 119

[56] N. Okada, T. Egami, S. Miyoshi, R. Inomoto, K. Yamane, K. Tadatomo, T. [80] L.P. Yeo, S.H. Ng, Z. Wang, Z. Wang, N.F. de Rooij, Microelectron. Eng. 86
Nishimiya, M. Hiramoto, S. Motoyama, Jpn. J. Appl. Phys. 52 (2013). 11NG01– (2009) 933–936.
11NG05. [81] N. Kooy, K. Mohamed, K. Ibrahim, J. Eng. Sci. 9 (2013) 71–78.
[57] L. Cui, J.C. Han, G.G. Wang, H.Y. Zhang, R. Sun, L.H. Li, Nanoscale Res. Lett. 8 [82] T. Mäkelä, T. Haatainen, J. Ahopelto, Microelectron. Eng. 88 (2011) 2045–
(2013). 472-1–472-6. 2047.
[58] V. Suresh, M.S. Huang, M.P. Srinivasan, C. Guan, H.J. Fan, S. Krishnamoorthy, J. [83] P. Maury, D. Turkenburg, N. Stroeks, P. Giesen, I. Barbu, E. Meinders, A. van
Phys. Chem. C 116 (2012) 23729–23734. Bremen, N. Iosad, R. van der Werf, H. Onvlee, Microelectron. Eng. 88 (2011)
[59] N. Suzuki, H. Tanaka, S. Yamanaka, M. Kanai, B.K. Lee, H.Y. Lee, T. Kawai, Small 2052–2055.
4 (2008) 1661–1665. [84] N. Kooy, K. Mohamed, L.T. Pin, O.S. Guan, Nanoscale Res. Lett. 9 (2014) (2013).
[60] K.C. Hsieh, H.L. Chen, C.H. Lin, C.Y. Lee, J. Vac. Sci. Technol., B 24 (2006) 3234– 320-1–320-13.
3238. [85] H. Yoshikawa, J. Taniguchi, G. Tazaki, T. Zento, Microelectron. Eng. 112 (2013)
[61] H.L. Chen, K.C. Hsieh, C.H. Lin, S.H. Chen, Nanotechnology 19 (2008). 435304- 273–277.
1–435304-6. [86] J.G. Ok, M.K. Kwak, C.M. Huard, H.S. Youn, L.J. Guo, Adv. Mater. 25 (2013)
[62] K.Y. Yang, K.M. Yoon, K.W. Choi, H. Lee, Microelectron. Eng. 86 (2009) 2228– 6554–6561.
2231. [87] J. Li, J. Zhu, X. Gao, Small 10 (2014) 2578–2582.
[63] C.Y. Kuan, J.M. Chou, I.C. Leu, M.H. Hon, J. Am. Ceram. Soc. 91 (2008) 3160– [88] P. Wangyang, Y. Gan, Q. Wang, X. Jiang, J. Mater. Chem. C 2 (2014) 6140–
3166. 6147.
[64] C. Peroz, V. Chauveau, E. Barthel, E. Søndergård, Adv. Mater. 21 (2009) 555– [89] J.H. Choi, H.J. Choi, J.H. Shin, H.P. Kim, J. Jang, H. Lee, Org. Electron. 14 (2013)
558. 3180–3185.
[65] K.Y. Yang, K.M. Yoon, S.W. Lim, H. Lee, J. Vac. Sci. Technol., B 27 (2009) 2786– [90] S. Dunst, T. Rath, A. Radivo, E. Sovernigo, M. Tormen, H. Amenitsch, B.
2789. Marmiroli, B. Sartori, A. Reichmann, A.C. Knall, G. Trimmel, ACS Appl. Mater.
[66] L. Brigo, G. Mattei, N. Michieli, G. Brusatin, Microelectron. Eng. 97 (2012) Interfaces 6 (2014) 7633–7642.
193–196. [91] Q. Wang, Int. J. Solids Struct. 41 (2004) 5451–5461.
[67] Z.D. Li, Z.K. Shen, W.Y. Hui, Z.J. Qiu, X.P. Qua, Y.F. Chen, R. Liu, Microelectron. [92] R.H. Baughman, A.A. Zakhidov, W.A. de Heer, Science 297 (2002) 787–792.
Eng. 88 (2011) 2037–2040. [93] W.B. Choi, D.S. Chung, J.H. Kang, H.Y. Kim, Y.W. Jin, I.T. Han, Y.H. Lee, J.E. Jung,
[68] K.S. Han, S.H. Hong, K.I. Kim, J.Y. Cho, K.W. Choi, H. Lee, Nanotechnology 24 N.S. Lee, G.S. Park, J.M. Kim, Appl. Phys. Lett. 75 (1999) 3129–3131.
(2013). 045304-1–0045304-8. [94] P. Avouris, M. Freitag, V. Perebeinos, Nat. Photonics 2 (2008) 341–350.
[69] H. Schift, S. Park, B. Jung, C.G. Choi, C.S. Kee, S.P. Han, K.B. Yoon, J. Gobrecht, [95] J.M. Kim, W.B. Choi, N.S. Lee, J.E. Jung, Diamond Relat. Mater. 9 (2000) 1184–
Nanotechnology 16 (2005) S261–S265. 1189.
[70] C.C. Yu, Y.T. Chen, D.H. Wan, H.L. Chen, S.L. Ku, Y.F. Chou, J. Electrochem. Soc. [96] S.M.C. Vieira, K.B.K. Teo, W.I. Milne, O. Gröning, L. Gangloff, E. Minoux, P.
158 (2011) J195–J199. Legagneux, Appl. Phys. Lett. 89 (2006). 022111-1–022111-3.
[71] C.J. Ting, M.C. Huang, H.Y. Tsai, C.P. Chou, C.C. Fu, Nanotechnology 19 (2008). [97] I.Y.Y. Bu, V. Eichhorn, K. Carlson, P. Boggild, S. Fatikow, Phys. Status Solidi A
205301-1–205301-5. 208 (2011) 2352–2356.
[72] V. Reboud, P. Lovera, N. Kehagias, M. Zelsmann, C. Schuster, F. Reuther, G. [98] L. Yin, H. Liu, Y. Ding, H. Lan, B. Lu, Microelectron. J. 40 (2009) 604–607.
Gruetzner, G. Redmond, C.M. Sotomayor Torres, Appl. Phys. Lett. 91 (1013) [99] T. Wang, B. Carlberg, M. Jönsson, G.H. Jeong, E.E.B. Campbell, J. Liu, Appl. Phys.
(2007). 151101–151101-3. Lett. 91 (2007). 093123-1–093123-3.
[73] N. Kehagias, M. Zelsmann, C.M. Sotomayor Torres, K. Pfeiffer, G. Ahrens, G. [100] J.W. Bai, X. Zhong, S. Jiang, Y. Huang, X.F. Duan, Nat. Nanotechnol. 5 (2010)
Gruetzner, J. Vac. Sci. Technol., B 23 (2005) 2954–2957. 190–194.
[74] N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, F. Reuther, C. [101] X. Liang, Y.S. Jung, S. Wu, A. Ismach, D.L. Olynick, S. Cabrini, J. Bokor, Nano
Schuster, M. Kubenz, G. Gruetzner, C.M. Sotomayor Torres, J. Vac. Sci. Lett. 10 (2010) 2454–2460.
Technol., B 24 (2006) 3002–3005. [102] Y. Pak, H. Jeong, K.H. Lee, H. Song, T. Kwon, J. Park, W. Park, M.S. Jeong, T. Lee,
[75] S.H. Ahn, L.J. Guo, Adv. Mater. 20 (2008) 2044–2049. S. Seo, G.Y. Jung, Adv. Mater. 25 (2013) 199–204.
[76] H.J. Lim, K.B. Choi, G.H. Kim, S.Y. Park, J.H. Ryu, J.J. Lee, Microelectron. Eng. 88 [103] I. Bergmair, W. Hackl, M. Losurdo, C. Helgert, G. Isic, M. Rohn,
(2011) 2017–2020. Nanotechnology 23 (2012). 335301-1–335301-6.
[77] H.J. Lim, G.H. Kim, K.B. Choi, M. Jeong, J.H. Ryu, J.J. Lee, Microelectron. Eng. 98 [104] D. Wan, H.L. Chen, Y.T. Lai, C.C. Yu, K.F. Lin, Adv. Funct. Mater. 20 (2010)
(2012) 279–283. 1742–1749.
[78] H. Mekaru, A. Ohtomo, H. Takagi, M. Kokubo, H. Goto, Microelectron. Eng. 88 [105] X. Yu, J.T. Pham, C. Subramani, B. Creran, Y.C. Yeh, K. Du, D. Patra, O.R.
(2011) 2059–2062. Miranda, A.J. Crosby, V.M. Rotello, Adv. Mater. 24 (2012) 6330–6334.
[79] K. Metwally, S. Queste, L. Robert, R. Salut, C. Khan-malek, Microelectron. Eng.
88 (2011) 2679–2682.

You might also like