Professional Documents
Culture Documents
Configuracion de La Plataforma
Configuracion de La Plataforma
CIRCUITO VHDL
---------------------------------------------------
-----------------------------
-- Nombre: FUNCIÓN LÓGICA
-- Documento: JMPL
-- Fecha:6/06/2020
-- Proyecto:Nro_2
---------------------------------------------------
-----------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity FUNCION_LOGICA is
Port (
A : in STD_LOGIC;
B : in STD_LOGIC;
C : in STD_LOGIC;
F : out STD_LOGIC -- la ultima
línea no lleva ;
);
end FUNCION_LOGICA;
architecture Behavioral of
FUNCION_LOGICA is
begin
F<= (A and B and C) OR ( A and (not B))
OR (B and (not C)) ;
end Behavioral;
SIMULACION
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Simulacion is
--
end Simulacion;
component FUNCION_LOGICA
Port (
A : in STD_LOGIC;
B : in STD_LOGIC;
C : in STD_LOGIC;
F : out STD_LOGIC -- la ultima
lineano lleva ;
);
end component;
-- Señales de salidas
signal F_s : STD_LOGIC;
begin
A => A_s,
B => B_s,
C => C_s,
F => F_s
);
process begin
--- Estímulos de la simulación wait for
100 ns;
wait;
end process;
end Behavioral;