Download as pdf or txt
Download as pdf or txt
You are on page 1of 68

CONTENTS

March • April 2017 DEPARTMENTS


Volume 21, Number 2 Challenges and opportunities for OSATS in today’s
Executive
semiconductor market 6
Briefing Scott Sikorski STATS ChipPAC
Packaging news from SEMICON Korea
Industry Events Steffen Kroehnert NANIUM S.A
52

22nd Annual SMTA Pan Pacific Microelectronics Symposium


Tanya Martin SMTA
ECTC preview
Mark Poliks Binghamton University

Industry News CSR Staff 56

The cover shows a tool operator measuring the


total thickness variation of an adhesive interlayer
of a temporary bonded wafer by taking 280,000
measurement points. For thickness measurements, FEATURE ARTICLES
a high number of measurement points is needed
to achieve proper accuracy. Local deviations, High-accuracy metrology for advanced packaging applications
such as particles within the bond interface, have Elisabeth Brandl, Markus Heilig, Thomas Uhrmann, 10
a significant effect on the subsequent thinning Thomas Wagenleitner EV Group
process, which can lead to wafer breakage and
tool downtime.
Core capabilities of a thermocompression bonder 14
Cover image courtesy of EV Group Hugo Pristauz, Alastair Attard, Andreas Mayr Besi Austria GmbH

Envision.
Innovate.
Deliver.
Amkor Everywhere
As one of the world’s largest suppliers
of outsourced semiconductor packaging,
design, assembly and test services;
Amkor helps make “next generation”
products a reality.
www.amkor.com

CONNECTING
People and Technology

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 1


CONTENTS
Volume 21, Number 2
FEATURE ARTICLES (continued)
The International Magazine for Device and Wafer-level Test,
Assembly, and Packaging Addressing Future of embedding and fan-out technologies
High-density Interconnection of Microelectronic IC's including Rao R. Tummala, Venky Sundaram, P.M. Raj, Vanessa Smet, Tailong Shi 20
3D packages, MEMS, MOEMS, Georgia Institute of Technology
RF/Wireless, Optoelectronic and Other
Wafer-fabricated Devices for the 21st Century. Temporary bonding material with high sensitivity for laser release in
advanced packaging processing 31
Kenzo Ohkita, Yooichiroh Maruyama, Hikaru Mizuno, Takashi Mori, Hiroyuki
STAFF Ishii, Koichi Hasegawa JSR Corporation
Kim Newman Publisher
knewman@chipscalereview.com Optimization of die attach to surface-enhanced lead frames for MSL-1
Lawrence Michaels Managing Director/Editor performance of QFN packages (part 1) 35
lmichaels@chipscalereview.com Senthil Kanagavel, Dan Hart MacDermid Performance Solutions
Debra Vogler Senior Technical Editor
dvogler@chipscalereview.com 3D integration technology for high-density/high-performance ICs
Séverine Cheramy, Maud Vinet, Olivier Faynot Leti
39
CONTRIBUTING EDITORS
Roger H. Grace - MEMS Inkjet-based additive manufacturing addresses challenges in
rgrace@rgrace.com semiconductor packaging 42
Dr. Ephraim Suhir - Reliability Wouter Brok, Henk Goossens, Klaus Ruhmer Meyer Burger
suhire@aol.com
Steffen Kröhnert - Advanced Packaging
Chip over-test: are ICs tested too much? 46
Steffen.Kroehnert@nanium.com Dale Ohmart Texas Instruments

EDITORIAL ADVISORS
Dr. Andy Mackie (Chair) Indium Corporation MEMS sensor testing challenges and requirements 50
Dr. Rolf Aschenbrenner Fraunhofer Institute Andreas Bursian Xcerra
Joseph Fjelstad Verdant Electronics
Dr. Arun Gowda GE Global Research Glass-based SiP solutions for high-performance/high-frequency RF filters 60
Dr. John Lau ASM Pacific Technology Jeb Flemming, Roger Cook, Tim Mezel, Kyle McWethy 3D Glass Solutions
Dr. Leon Lin Tingyu National Center for Advanced Packaging
(NCAP China)

SUBSCRIPTION--INQUIRIES
Chip Scale Review
All subscription changes, additions, deletions to any and
all subscriptions should be made by email only to
subs@chipscalereview.com

Advertising Production Inquiries:


Lawrence Michaels
lmichaels@chipscalereview.com

Copyright © 2016 Haley Publishing Inc.


Chip Scale Review (ISSN 1526-1344) is a registered trademark of
Haley Publishing Inc. All rights reserved.

Subscriptions in the U.S. are available without charge to qualified individuals in


the electronics industry. In the U.S. subscriptions by first class mail are $125
per year. Subscriptions outside of the United States are $225 per year to other
countries.

Chip Scale Review, (ISSN 1526-1344), is published six times a


year with issues in January-February, March-April, May-June, July-
August, September-October and November-December. Periodical
postage paid at Los Angeles, Calif., and additional offices.

POSTMASTER: Send address changes to Chip Scale Review


magazine, P.O. Box 9522, San Jose, CA 95157-0522

Printed in the United States

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 3


EXECUTIVE BRIEFING
Challenges and opportunities for OSATS in
today’s semiconductor market
By Scott Sikorski [STATS ChipPAC]

T he maturation of the
semiconductor industry
is evident from its recent
anemic growth—averaging
2.1% per year from 2010-2015 and
certain diversity of OSAT supplier base
that weighs having enough suppliers
to ensure vigorous competition on
pricing, but not an unmanageable
number of suppliers with small
potentially be required, it is the
larger OSATS that offer a very broad
spectrum of services that are best
situated for these semiconductor
companies, and so are best positioned
2016 producing a similar result. volumes and higher pricing. However, for success. With advanced capital
While the analyst community is ever through M&A, a company may find intensive technologies such as wafer-
optimistic about better growth numbers that they suddenly have 10-20 OSATS level packaging, the barrier to entry
for 2017 and beyond, there are no major supporting them and the long slow becomes very high. Smaller OSATS
electronics platforms with enough short process of streamlining the supply are not usually in a position to sustain
term growth prospects to really fuel the chain to a more optimal number begins, this type of ongoing investment.
semiconductor market beyond its recent but with important implications for the While there have been a number
norms. Semiconductor companies OSATS. The OSATS best positioned of through-silicon via (TSV)-enabled
are keenly aware of the stagnant are those with the scale and diversity of 3D IC approaches designed and
growth environment in which they capability to service a broad spectrum discussed by both front- and back-
are operating, and are reacting in two of the semiconductor company’s end service providers, it is important
fundamental ways: 1) consolidating and business. Smaller OSATS with more to separate the hype from the reality
2) pursuing higher level solutions. limited offerings have a tough time in chip integration in terms of real
creating a value proposition. OSAT revenue impact. The challenge
Industry consolidation is to provide 2.5D or 3D solutions that
Industry consolidation is occurring at a Integration trend can deliver the promised performance
faster pace throughout the semiconductor Looking at the messaging to Wall at a cost-effective price. Today, there
supply chain and is reshaping the entire Street of many top semiconductor is very little OSAT revenue or high-
landscape. Fabless and integrated device companies, a recurrent theme is volume demand for TSV-enabled 2.5D
manufacturers (IDMs) alike have utilized providing higher level solutions or 3D IC approaches relative to the
mergers and acquisitions (M&A) to to their customers. Packaging is a $25B OSAT market.
strengthen their position in the market. strategic enabler and companies are OSATS with the ability to invest
Because by definition they outsource increasingly looking to their supply in advanced integration technology
all of their manufacturing needs, chain partners to enable highly such as fan-out wafer-level packaging
fabless semiconductor companies are heterogeneous and differentiated (FOWLP) and other advanced system-
especially important to the outsourced integrated solutions for their new end in-package (SiP) platforms are able
semiconductor assembly and test products. The Internet of Things (IoT) to provide customers with a 2.5D/3D
suppliers (OSATS). In 2010, the top is an example of a segment many solution that is more cost effective and
10 fabless semiconductor companies companies are looking to service with infrastructure-friendly than specialized
represented approximately 61% of more integrated solutions as a way TSV approaches. In fact, we see
the total fabless segment. By 2015, of differentiating their offerings to integration platforms like FOWLP and
the top 10 accounted for 68% of the boost top line revenue, market share SiP as the new growth engines for the
total, representing tremendous market and profit margin gain, otherwise OSAT industry based on three major
concentration for the OSAT community difficult to do in a low-growth market market drivers: 1) mobile devices
with important ramifications to pricing environment. (such as power amplifiers, digital
and technology roadmap – either you’re The OSAT implication here is that baseband, WLAN, GPS modules
aligned with these top 10 or you’re these higher level solutions often and Bluetooth®); 2) organic growth
in trouble! involve multiple heterogeneous in traditional SiP applications such
Semiconductor industry devices creating the need for more as RF front-end modules; and 3)
consolidation has another impact on integrated packaging-level solutions. emerging market segments such as IoT,
the OSATS. Most companies have a Because of the diversity of underlying wearables, MEMS, sensory modules
well-disciplined strategy involving a packaging technologies that could and infotainment.

6 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


FOWLP
FOWLP — also known as
e m b e d d e d w a f e r- l e v e l b a l l g r i d
array (eWLB) — delivers product
advantages to customers in terms
o f h i g h e r r e l i a b i l i t y, h i g h e r
performance, better high-frequency
performance, higher bandwidth and
thinner package profiles. No other
integration packaging technology
in high-volume production today
provides the flexibility and
i n t e g r a t i o n d e n s i t y, w i t h d i e - t o -
die, die-to-passives, and passives-
to-passives placement as eWLB.
Figure 1 shows the flexibility of
Figure 1: Flexible integration platform.
eWLB as a packaging platform that
can extend into 2.5D/3D designs. We the horizon. All tier 1 OSATS are recently opened a dedicated advanced
have been in high-volume production working on a panel-based process, SiP facility in South Korea to
with eWLB for over 7 years now, although no two are exactly alike. exploit the burgeoning market need
delivering over 1.3 billion units to an Lack of processing commonality, for such technology. Only OSATS
increasingly diverse customer base. even down to the lack of a panel size that have strong FOWLP and SiP
NANIUM S.A. is also in high-volume standard, hinders establishment of capabilities can expect robust top line
production of eWLB and Nepes is an equipment supplier base because revenue growth given the stagnant
in production with an ex-Freescale there will be limited production scale underlying semiconductor market.
RCP technology. ASE is reported for any given equipment set. For These technology trends may then
to now be shipping eWLB product example, while STATS ChipPAC has accelerate the concentration of OSAT
too. These are today’s main OSAT a proven panel-level process today, revenues in the top three OSATS
options and several other companies we anticipate that the lack of an – Holding Company (ASE/SPIL),
are now working on various fan-out equipment supplier base, or industry AMKOR, and JCET Group – which
approaches, but are not in volume standards in general, will delay all have the technology and resources
production yet. panel-level processing from being to maintain the pace of capability and
FOWLP manufacturing lends mainstream for several years. capacity expansion demanded by the
itself to the use of high-density top semiconductor players.
wafer carriers as well as panel-level Investment It is not clear, however, that this
processing. Today, all high-volume FOWLP is a capital-intensive will necessarily drive near or even
manufacturing, including the TSMC manufacturing process, requiring mid-term OSAT consolidation. Most
InFO approach, is on 300mm or access to financial resources that OSATS other than the top three are
greater round carriers, which will are often difficult for smaller OSAT heavily dependent on wire bond
likely continue to be the dominant p l a y e r s . We m i t i g a t e t h e t y p i c a l technology and, while not growing
process for the foreseeable future. high costs through our FlexLine™ much, wire bond remains by far the
For applications that would require method where we can produce dominant interconnect technology
larger body sizes and/or relaxed both fan-out and fan-in wafer-level used and will continue to be so
ground rules, panel-level processing packages on the same manufacturing for years, if not decades. Smaller
is an option. There will, however, be line. This loading flexibility is OSATS with modern wire bonder
a package body size below which the critical to ensuring very high fleets and some wafer-level chip-
industry will not be able to produce equipment utilization rates—the key scale packaging (WLCSP) and test
favorable economics for panel-level to maintaining a cost advantage in capability may survive, if not thrive,
processing. The cross-over point will the market. for a long time. Also, there is limited
be dependent on the specific panel- Advanced SiP technology is also r a t i o n a l e f o r t h e Ti e r 1 O S AT S
level technology in question. capital intensive and requires a to acquire these smaller players.
Unlike the current round carriers highly integrated and automated Whereas, there may be the rare case
that are the OSAT industry de facto manufacturing line. Leading OSATS of a Tier 1 OSAT acquiring a small
standard, there is no such panel- have made significant investments player for technology access (e.g.,
level processing standard evident on in SiP capability. For example, we Amkor acquiring NANIUM S.A.), it

8 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


will mostly not make sense to acquire a smaller competitor
with manufacturing sites diverse from the acquirer because
it doesn’t necessarily help your scale, which is really
measured at the site level more than the corporate level.
For these reasons, major OSAT consolidation may lag that
which we are seeing in the semiconductor space.

China
With the maturation of the semiconductor industry, there
has been little growth in the supply chain in most regions.
China, however, is the exception and a key market for the
vast majority of semiconductor companies. Historically,
the Chinese market has required somewhat less complex
technologies than some of the established markets. Today,
we see Chinese customers driving advanced technology
requirements that are similar to other developed geographies.
OSATS expecting to see meaningful top line growth need to
be well-positioned with strong technology offerings to locally
service the Chinese eco-system. A major part of the rationale
behind the JCET Group acquisition of STATS ChipPAC was
to enable servicing the local Chinese market. The market
WS-575-C-RT
reaction 1.5 years into the merger has been exceedingly
positive, supporting the idea behind the combination. Ball-Attach
What does it mean for OSATS?
Industry consolidation will continue to reshape the
semiconductor supply chain. OSATS must be able to
Flux
provide a full range of offerings including the latest
advanced integration technology. With increasing demand
• Eliminates missing balls
for integrated packaging solutions, we expect revenue
growth in the OSAT industry is likely to exceed the overall
• Eliminates the need for
semiconductor industry growth for the next several years. pre-fluxing
This represents a major opportunity for a select few
OSATS with FOWLP and SiP capabilities and capacity.
The OSAT leaders need to be in a strong financial position
• Cleans with room temperature
to continually invest in R&D and capacity expansion for D.I. water
integration solutions, primarily in SiP and FOWLP, but
also for test. From a regional standpoint, they must have
a strong strategy in China. Beyond the ASE-SPIL merger,
the OSAT industry may see some on-going consolidation
but not anything like at the dizzying pace we’ve seen in the
semiconductor industry. However, we anticipate important
milestones to be evidenced by STATS ChipPAC as well as Contact our technical engineers today:
other OSAT providers over the coming year. techsupport@indium.com

Biography Learn more: www.indium.com/fluxes/CSR


Scott Sikorski received his Bachelor of Science degree from
Columbia U. and a Master’s degree and PhD in Materials
Engineering from Massachusetts Institute of Technology; he is
VP, Product Technology Marketing at STATS ChipPAC; email
scott.sikorski@statschippac.com.

©2017 Indium Corporation

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 9


High-accuracy metrology for advanced
packaging applications
By Elisabeth Brandl, Markus Heilig, Thomas Uhrmann, Thomas Wagenleitner [EV Group]

T he semiconductor industry is witnessing a


trend toward total control and monitoring of
all production processes. Mid-end-of-line
(MEOL) and back-end packaging processes face tighter
process constraints at levels previously seen only in front-
end-of-line (FEOL) wafer processing. With every process
step there is a risk of error, affecting the whole wafer or
individual dies on it. This is creating an urgent need for
highly accurate metrology both in stand-alone equipment as Figure 1: Direct feedback loop for process parameter optimization.
well as integrated into process equipment that can provide
critical process data quickly and cost-effectively.
For example, before nonreworkable processes, such as
wafer thinning after temporary bonding, high-accuracy
metrology with a feedback loop leads to an increase
in yield through more accurate thinning and process
optimization decisions. In this case, non-destructive and
high-throughput infrared (IR) metrology integrated into
process equipment is ideal for permanent and temporary
bonding applications as it offers thickness measurements,
verification of homogenous bond lines and void detection.
For chip or wafer stacking, as well as for lithography
applications, alignment verification implemented in
stand-alone equipment is useful in generating correction
parameters for the alignment process itself.

Process control
Metrology tools can be versatile and enable inspection
both before and after a given process. Therefore, the Figure 2: Integrating a direct feedback loop leads to significant alignment improvement
influence of a given process on the overall production flow within 5 wafers.
can be determined, which leads to a greater understanding One example of a direct feedback loop
of the process and allows improved process control accordingly. Process control is a is using the EVG®40NT metrology tool
key criterion for reproducibility, especially for high-volume manufacturing (HVM). for alignment verification in combination
For bonding and lithography in advanced packaging, wafer alignment and thickness with the SmartView ® bond aligner.
uniformity are critical parameters in the production line. Optical inspection methods The requirement for the alignment in
offer high accuracy and wide measurement range by employing different wavelengths, this case is ±100nm, which requires a
making it a suitable measurement method for alignment verification and thickness measurement accuracy of 10nm. With the
measurements. To enable sufficient verification, the measurement accuracy must be feedback loop from the metrology tool
ten times better than the needed accuracy of the parameter. As an example, verification to the aligner, it is possible to achieve
of 100nm alignment accuracy requires a measurement accuracy of 10nm. significant alignment improvement
within five wafers (Figure 2).
Direct feedback loop for process parameter optimization Another example for this type of
Process parameter optimization, like alignment improvement, is an effective way to feedback loop is spin coating parameter
enhance the yield in production lines and can be realized with a direct feedback loop optimization. Some coating materials
(Figure 1). Metrology consisting of a suitable inspection and analysis is done after the change their viscosity slowly over time on
production process step. Correction factors are calculated from the metrology output, account of solvent evaporation and other
which improve the process for subsequent wafers. With this method, the process factors. If this solvent evaporation can’t be
parameters are constantly improved, which leads to an optimized process. This prevented, metrology can help to achieve
feedback loop is limited by the metrology accuracy and the precision of the process reproducible coating thicknesses. The
parameter adjustment. thickness of the coated wafers is measured

10 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


and by adjusting the rotation speed of the from the metrology and require sufficient
spin coater accordingly, the next wafer is metrology accuracy and resolution to keep
coated with the optimized rotation speed. measurement uncertainties at bay. The
required metrology accuracy depends on
Indirect feedback loop enabling the parameter specifications needed for
rework a successful process. Higher metrology
If it is not possible to directly influence accuracy is better but often comes with
the process parameters, or the capability the tradeoff of higher measurement time.
This is the reason why HVM metrology Figure 3: Feedback loop for pass and fail criteria.
to influence these parameters is not
sufficiently precise, there is still an option
to avoid unnecessary wafer scrap. Before
nonreworkable processes like wafer
thinning or etching, a simple pass or fail
decision for subsequent process steps can
enhance the yield.
In Figure 3, an example of an indirect
feedback loop for thinning after temporary
bonding is described. During temporary
bonding, the device wafer is bonded to a
handler wafer with the help of an adhesive
interlayer. The uniformity of the interlayer
has an effect on the thickness uniformity
of the device wafer after thinning. This
thickness uniformity must be within Figure 4: Three TTV measurement systems are pictured. The higher number of measurement points leads to a
defined specifications or the wafer ends better picture of the measured wafer, which therefore makes the feedback decision more accurate.
up in scrap. In this case, a rework process
before thinning can be used to enhance the more thickness deviations were observed
yield for temporary bonding. The value tools have been introduced that offer high simply by increasing the measurement
of the total thickness variation (TTV) accuracy within reasonable times. point density. Because the TTV was
can be used to make the correct decision. I n F i g u re 4 , t h e i m p o r t a n c e o f higher than 3µm, the wafer was sent for
A standalone metrology tool providing metrology accuracy on making correct rework. For the measurement systems
multilayer thickness measurement can decisions is pictured. The TTV of an with 8,000 points the TTV was 2.1µm,
be programmed to sort the wafers after adhesive interlayer of a temporary while for the system with 52 measurement
metrology between wafers that comply bonded wafer was inspected by three points a TTV of 1.5µm was measured. In
with the tight process requirements and different tools that take different amounts both cases the measured TTV would have
those destined for rework. of measurement points. The metrology resulted in the decision to further process
A different example of an indirect was conducted before thinning, where a the temporary bonded wafer, which in this
feedback loop is fusion bonding in strong TTV deviation would lead to wafer case would be nonreworkable thinning.
combination with void detection. Directly breakage. For thickness measurements As the real TTV is higher than what was
after the pre-bond in the fusion bonding in particular, it is essential to have a reported with the low-resolution systems,
process, the bond strength is relatively low, high number of measurement points in this decision would have led to wafer
which enables rework by simple mechanical order to achieve proper accuracy. Local breakage. High measurement point density
debonding similar to the debonding process deviations like particles within the bond has a higher probability of identifying
for temporary bonding. By inspecting the interface have a significant effect on the local deviations like small particles. In
bond interface for voids, one can determine subsequent thinning process, which leads wafer thinning, where the trend leads to
the need for rework. If no rework is needed, to the worst case of wafer breakage. even thinner wafers, small defects have a
the pre-bonded wafers can stay in the This not only results in yield loss of the particularly strong effect.
production line and be annealed where one wafer, but also tool downtime for
the bond strength is drastically increased, cleaning. In the example in Figure 4, the Throughput requirements
thereby preventing further rework. decision to undergo rework was based Because metrology tools help enable
on a total thickness variation of at least cost savings and improve fab profitability
Influence of the measurement 3µm, because the purpose of the thinning by increasing semiconductor yields, the
accuracy was TSV revelation. The number of throughput of metrology tools must at least
Making correct decisions either for measurement points for the three systems match the throughput of process tools.
process parameter improvement, or was 280,000 points, 8,000 points and 52 Metrology cannot be the bottleneck of
for a pass/fail decision with the help points respectively. one’s process. The throughput requirement
of metrology, is the main goal of its When the wafer was measured with differs depending on whether the metrology
implementation into production as well 280,000 measurement points on an step is integrated into the process tool, or
as pilot lines and R&D applications. EVG®50 stand-alone metrology system, implemented in a stand-alone system. If
These decisions are driven by the output a TTV of 3.6µm was acquired because integrated within the production tool, the

12 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


metrology throughput should be the same not rely on process parameter optimization the feedback loop can occur throughout
as for the production tool. Putting the in the upstream processes. For a direct the host’s network—making it possible to
metrology outside of the production tool in a feedback loop, however, it can be important influence multiple production tools in the
stand-alone system provides the opportunity to implement the changes immediately. same feedback loop.
for the metrology tool to monitor several Besides the delay, the other influencing factor
production tools if necessary, and therefore on the choice of integration is the throughput. Conclusion
would require higher throughput than the If the metrology tool is integrated within Successful metrology not only consists of
process tool accordingly. the production tool, the throughput of the a highly accurate measurement system but
The throughput of the metrology metrology tool is limited by the throughput of also includes a way to influence and improve
tool comprises the handling time the production tool. If the integration is done the process. Today, some processes have been
and measurement time, which is with stand-alone equipment, one can use the pushed to their limits, making metrology
u su ally s tr o n g ly d ependent on the full throughput potential. an enabler to achieving high yields. In this
measurement method and resolution. article we demonstrated that a yield increase
Using nondestructive and non- Yield enhancement pays off fast for even already high-yielding processes is
contact measurement methods is a High production yields are already a significant cost saver, and introduced two
necessity. Optical inspection systems well established in the semiconductor efficient ways to implement metrology within
offer this advantage combined with industry. But as the processes become more a feedback loop for process improvement.
a high throughput, which is typically sophisticated, the value of semiconductor Both the described indirect feedback loop
only limited by the stage movement. wafers is increasing. This gain in value enabling rework and the direct feedback loop
Another advantage of using optical makes yield loss an even more important for process parameter optimization provide
inspection is its versatile nature due to topic. As shown in Figure 5, annual yield solutions for increasing yields.
the fact that different wavelengths can losses are calculated for $5000 wafers
measure different material properties. and $2000 wafers. By enhancing the yield Biographies
By implementing multiple sensors with from 99% to 99.9% through metrology at a Elisabeth Brandl holds a Master ’s
different wavelengths, one can enable a throughput of 10wph, it is possible to save degree (DI) in Technical Physics from the
highly flexible metrology tool. $1.3 million annually for a $2000 wafer. Johannes Kepler U. in Linz and is Business
Even this annual saving justifies taking Development Manager at EV Group for
Metrology integrated in process tool necessary actions toward yield enhancement. temporary and adhesive bonding; e-mail
or as stand alone For $5000 wafers this effect is logically E.Brandl@EVGroup.com
Having the metrology integrated within even higher leading to annual savings of $17 Markus Heilig graduated in Mechatronic
a process tool or as a stand-alone system million for a throughput of 50wph. Engineering at the U. of Karlsruhe and
depends on the manufacturing conditions As previously stated, a feedback loop is Product Manager for inspection and
and requirements. Integrating the metrology integrated within the process is one way metrology systems at EV Group.
tool into the processing equipment has the to optimize process parameters. There Thomas Uhrmann holds an Engineering
advantage that the feedback loop has a very are basically two options for combining degree in Mechatronics from the U. of
small delay, and therefore the corrective metrology with a feedback loop to enable Applied Sciences in Regensburg, and a PhD
actions can immediately affect the next successful process integration with enhanced in Semiconductor Physics from Vienna U.
processed wafer. By implementing metrology yield. One is to have the feedback loop of Technology. He is Director of Business
outside the production tool, the feedback directly influence the process parameters Development at EV Group.
loop is delayed by at least one wafer like alignment accuracy or spin coated Thomas Wagenleitner graduated in
cassette. These delays have no influence layer thickness. The other is to create an Mechatronic Engineering at the Johannes
on the process if an indirect feedback automatized action that either leads to Kepler U. in Linz and is Head of Product
loop as previously described is used. The rework or further processing. By using Management for EV Group.
effectiveness of the pass or fail decision does metrology tools with SECS/GEM interface,

Figure 5: The annual yield loss is pictured for $5000 and $2000 wafers calculated for 10 and 50wph manufactured by the monitored process.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 13


Core capabilities of a thermocompression bonder
By Hugo Pristauz, Alastair Attard, Andreas Mayr [Besi Austria GmbH]

T hermocompression bonding
(TCB) is now a well-established
interconnection technology for
2.5D- and 3D-integrated devices that are built
NCP from between the bump's solder caps and
the substrate pads, and, furthermore, slightly
deforms the bumps to establish good thermal
die-substrate contact. In parallel, a temperature
other methods such as upstream spray fluxing
onto the substrate also exist. After the die is
dipped in flux, it is bonded onto the substrate
again with the use of force and temperature
on chip-to-substrate (C2S), chip-to-chip (C2C), profile is applied in order to melt the solder profiles. The absence of underfill during
or chip-to-wafer (C2W) levels. The maturity and to cure the NCP. solder reflow comes with serious challenges
of TCB has progressed over recent years, with Initially, the NCP is cured sufficiently for bond control, which will be explained
yield levels greater than 99.8% per attached before solder reflow, allowing the NCP to later in detail. It is worth mentioning that TC-
die. Though industrial interconnect pitches provide a sufficient reaction force to prevent CUF is the most widely deployed TC bonding
are still found above the 30µm level, the new a sudden collapse of the die once the solder process on the market with an estimated 200
paradigms of heterogeneous integration are cap melts. After a certain dwell time, the TC bond heads running TC-CUF for high-
driving pitches down to 5µm for stacked ICs solder melts and forms the joint to the pad on volume manufacturing of hybrid memory
(SICs) and down to 1µm for system-on-chip the substrate side, while the NCP continues cubes and computing/server logic.
(SoC) devices. In order to leverage TCB for to cure completely. At this point, the bond is The application space for TC-CUF is
such advanced interconnection pitches, it is complete and the bond tool can immediately considerably wider than that for TC-NCP. TC-
essential to understand the core capabilities release the die, cooling down in parallel while CUF is capable of memory cube production,
of a TCB, which directly contributes to the fetching and aligning the next die. Throughout and compatible CUF materials are readily
required high-yield levels. In particular, the the process, the stage heating is controlled to available at high maturity levels. However,
complexity of these core capabilities need to maintain it always at a constant temperature. TC-CUF has one big disadvantage: it cannot
be well understood in order to further improve Keeping these few principles in mind, the be used as a basis for collective TC bonding
them for high-yield bonding of 2.5D and 3D bond control for TC-NCP is quite simple. The processes, which promise a TC bonding cost
devices with scaled pitch. selection of NCP is critical for the achievement reduction by a factor of five [4].
Today, 2.5D and 3D integrated stacked of a reliable interconnect [3]. With long-term The requirements for collective TC
ICs (SICs) are widely adopted, with the availability of suitable NCP materials (more bonding are supported by a nonconductive
main applications being high-performance than 6 years), the TC-NCP process is robustly film (TC-NCF) (Figure 1c). In this process,
computing, graphics processors and 3D applicable in many areas. a non-conductive film-based underfill
through-silicon via (TSV) memory [1]. In For ultra-thin (e.g., 20-50µm) die, however, (NCF, sometimes called WLUF, i.e., wafer-
the case of 3D system-on-chip (SoC) large the NCP bleed-out is very hard to control level underfill) is applied at wafer level [5].
volumes have been driven in the image sensor in order to avoid NCP
area for camera applications [2]. In contrast climbing up the die edges
to 3D-SoCs, where the interconnection is and contaminating the bond
achieved by wafer-to-wafer (W2W) bonding, tool. This is essentially the
the assembly of 3D-SICs utilizes C2S, C2C reason why TC-NCP has
and C2W methods, mostly based on TCB, not been considered for
which enjoys advantages such as known-good- 3D memory production,
die (KGD) yield benefits as well as enabling besides the lack of available
greater heterogeneous integration. NCP that is compatible
with extra low-k (ELK)
TCB process flows dielectrics used in advanced
Three process variants of TC bonding have CMOS nodes.
been established for high-volume production. These drawbacks of TC-
Thermocompression nonconductive paste NCP were the main reason
bonding (TC-NCP) (Figure 1a) is the pioneer why thermocompression
of TC bonding [3]. The TC bonder receives capillary underfill (TC-
a substrate with pre-applied underfill (i.e., CUF) has been introduced Figure 1: Different TCB process flows.
the nonconductive paste) that is dispensed for high-volume TCB
upstream of the TC process. The TC bonder production (Figure 1b). With the TC-CUF The basic process runs similar to TC-NCP,
picks and flips a die and, after alignment, process, CUF is applied downstream from although underfill is present on the die side
presses the die into the paste. At this point, the the TC bonding step, therefore, no underfill rather than on the substrate side. This means
actual thermocompression phase starts. A force is present during bonding. In most cases, that the NCF is directly exposed to the TC
ramp is applied in order to squeeze out the flux dipping is applied after die flip, although bonder tool temperature, and therefore, die

14 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


fetch and die transport have to happen at a moderate temperature
in order to avoid NCF pre-curing. Bond control itself is a simple
force control with parallel temperature control, similar to TC-NCP
control. Because of its simplicity, detailed TC-NCF bond control is
not considered in this context.

Challenges to be mastered
Realizing that the cost of a TC bonder is twice the cost of a
mass reflow flip-chip bonder, and TCB throughput is a factor five
lower, one might ask in what way a ten times cost increase of TCB
is affordable. To understand the justification of TCB, it therefore
makes sense to appreciate which kind of packaging challenges are
being solved by this bonding method (Figure 2).
One of the major strengths of TCB comes into the scene when
warped dies or warped substrates are involved, which in both cases
are yield killers for mass reflow processes, leaving non-wetted
joints in the interface. Clearly the TCB process solves this issue Figure 2: Challenges being mastered by TCB.
by running a local reflow per bond while clamping the warped
substrate flat on the stage and keeping the die by vacuum flat on the
bond tool until completion of solidification at the end of the local
reflow process. It should be obvious, however, that this process can
only work for coplanar bond tool and stage.
The warped die challenge dominates in 3D TSV-memory
production: when the hybrid memory cube was introduced the
die thickness stood at 50µm, and roadmaps indicate die thickness
scaling down to 20µm in the short term. The production of
mobile application processors, however, are also exhibiting a Figure 3: Cross section of an ultra-fine pitch bond.
trend for reducing die thickness. This can be observed through the
packaging challenges currently being faced to overcome thickness
restrictions of PoP packages, which is partly addressed by thinning
down the application processor die.
Another challenge that brings TC bonding into the scene is
related to ultra-fine interconnection pitch. Besides the obvious
logic that finer pitches require higher placement accuracy, another
key challenge is based on the fact that the solder cap volume of a
copper pillar bump scales down with the third power of the pitch.
As a consequence, the available solder volume to make up for the
unevenness of the surfaces due to warpage is greatly reduced and
the process windows for co-planarity requirements get drastically
smaller (Figure 3).
Another effect observed with ultra-fine pitch is solder bridging Figure 4: Imec's fine-pitch roadmap.
that occurs when bond control is not capable to keep solder joint
thickness reliably above a minimum level. This occurrence is particularly predominant if a traditional reflow oven, the same level
bond control of a TC-CUF process is not accurate enough. In such a case, upon solder reflow, of temperature is applied to both die and
the bond head is too slow to maintain the correct gap between the die and substrate, resulting substrate, which results in high stress due
in a rapid bump collapse causing bad solder joints, which can be categorized into three types to different thermal expansions, i.e., CTE
of defect (Figure 2): mismatch. TC bonding has two approaches
to master thermally-induced stress issues.
1. The solder of two neighboring bumps is squeezed out and forms a solder bridge, thereby First, a TC-NCP or TC-NCF process can
resulting in a shorted connection. be selected, which comes with the help of
2. The solder is squeezed out of the joint and climbs up the copper pillar, leading to a lack of pre-applied underfill (NCP or NCF) that at
solder volume in the joint, which leads to reliability issues. the end of the reflow phase is sufficiently
3. The solder is squished asymmetrically out of the joint, which ends up in a lack of solder cured to absorb mechanical stress during
volume at one position of the joint, leading again to reliability issues. solidification and cooling. Second, die and
substrate temperatures are set separately in
A major reason to introduce TC bonding for C2S packaging was to avoid cracking of a TC bonding process, which is a means
ELK dielectrics, which are more brittle and less resistant to mechanical stress. For mass to minimize thermal expansion mismatch-
reflow processes, such materials are facing two kinds of issues. First, because of the absence induced stress.
of underfill, the whole mechanical stress induced by CTE mismatch during cool down If form factor of a side-by-side multi-chip
has to be managed by the localized region of ELK dielectric under the bumps. Second, in module (MCM) is of importance, and design
rules for flip-chip placement prohibit narrow

16 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


die-to-die gaps, then with a TC-NCF approach, pitch, TC-NCF is still
the gaps can be minimized down to 50µm a feasible technology,
because of better bleed-out control of the NCF. however for applications
To summarize, there are a couple of requiring finer bump
challenges mainly driven by warped die/ pitches from 10µm down
substrate, ultra-fine pitch, brittleness of to 1µm, a direct bonding
ELK materials and bleed-out limited form approach is proposed.
factor, which can be overcome by utilizing A similar approach
TC bonding. is proposed by UCLA
without claim of proper
Heterogeneous integration roadmap interconnection technology,
To understand future semiconductor but mentioning that for
requirements, a common roadmap such the pitch range of 2µm
as the ITRS roadmap would be helpful to 10µm a sweet spot
to provide critical information about the can be expected, further
next-generation of semiconductor devices. commenting that the
The ITRS roadmap, however, has been interconnection will be the
stopped with the final edition published key challenge. Figure 5: Current imec focus on 3D SICs with 10µm pitch.
in July 2016, and now we ponder about
which key enabling technologies will TCB core capabilities References
1. B. Black, “Die stacking is happening,”
drive the next wave of semiconductor As of yet, it is not entirely clear whether
European 3D TSV Summit, Grenoble
advancement. Visionaries throughout the TCB is a suitable bonding method for next-
2015; pp.: 1-27.
whole semiconductor industry agree that generation pitch targets. A better understanding
2. T. Nomoto, “Image sensor technology
new paradigms have to be established of the TC process is needed to determine if it
evolution for sensing era,” 3D ASIP
where heterogeneous integration based can be realistically adopted for high-volume
Conf., 2016; pp.: 16-23.
on 2.5D/3D-SIC and 2.5D/3D-SoC and high-yield C2W- and C2C-based stacking
3. M . L e e , e t a l . , “ S t u d y o f
technologies will play the key role [6]. of fine-pitch SICs and SoCs.
interconnection process for fine-pitch
One of the key challenges of heterogeneous Facing such challenges, one is urged to
flip-chip,” 2009 Elect. Comp. and
integration is related to the d ev ice think about the following: 1) What are the core
Tech. Conf. pp.: 721-722.
interconnection, where ever finer pitches need capabilities of a TC bonder, specifically those
4. A. Attard, "Productivity improvements
to be managed. This can be seen in imec’s capabilities that are responsible for creating
on thermo-compression bonding,”
roadmap (Figure 4), which is published in the a high yield process? And 2) What are the
European 3D Summit, Grenoble 2017,
framework of its 3D program [7]. While the approaches, and the associated difficulties, to
pp.: 13-16.
industrial pitch is still above the 30µm level, improve these capabilities in order to be ready
5. T. Wang, et al., “Thermal compression
the imec roadmap for 3D-SICs starts at 40µm for the next step of the pitch scaling roadmap?
bonding of 20μm pitch micro bumps
going down to 5µm. Current TC bonding Studying the introduction carefully, one
with pre-applied underfill — process
activities related to imec’s 3D program comes to the following conclusions: 1) On
and reliability,” IEEE 17th EPTC Conf.
are using test chips supporting 10µm pitch account of pitch scaling, higher placement
2015; pp.: 791-796.
structures, requiring a placement accuracy of accuracy is needed; and 2) On account
6. W. R. Bottoms, (IEEE CPMT):
2µm at the 3σ level (Figure 5). of the smaller solder volumes (even total
“Innovations in packaging will enable
The next steps will tackle 5µm pitch bump absence of solder for direct Cu-Cu bonds),
the IoT world of the future,” IEEE 18th
structures with a C2W accuracy requirement the coplanarity requirements are crucial; and
EPTC Conf. 2016; p. 1.
of 1µm at 3σ. For the 3D-SoC roadmap, the 3) For avoiding solder squeeze-outs, solder
7. P. Absil, “Overview of the 3D landscape
challenges are even greater, where pitches climbing and solder squishing, a proper bond
and challenges,” Semicon Korea Conf.
start at 5µm and go down to 1µm. Current control is required. Additionally, an excellent
2016; p. 23.
production of heterogeneous integrated SoCs is uniformity of the tool temperature distribution
based on W2W bonding methods, with CMOS is necessary to avoid the possibility that some
image sensors being a good example of where joints are not formed because of localized Biographies
this technology is already applied in mass cold spots, which therefore meant that solder Hugo Pristauz received his PhD in Control
production based on a W2W oxide bonding reflow is not occurring at these locations. Engineering at the Technical U. of Graz and
process [2]. For yield reasons and known- In fact, the following four capabilities have is VP Technical Development Advanced
good-die aspects, the target is to implement been identified to be the core capabilities Technology DA at Besi Austria GmbH; email
SoCs also at the C2W and C2C levels with of a thermocompression bonder: 1) hugo.pristauz@besi.com
pitch roadmap targets down to 1µm. Accuracy; 2) Coplanarity; 3) Bond control; Alastair Attard has a Bachelor degree in
A means to implement heterogeneous and 4) Temperature uniformity. These are Mechanical Engineering and received an MBA
integration is the chiplet- (or dielet-) based the capabilities that are responsible for from the U. of Malta; he is Manager, Process
approach, which makes extensive use of a maintaining a high yield, which as mentioned Development at Besi Austria GmbH.
C2W-level 2.5D assembly of standardized earlier, is expected to be greater than 99.8% Andreas Mayr received his Bachelor of
chiplets on an interposer. CEA-Leti proposed per TC bond in high-volume production. Industrial Engineering at the Technical U. of
such an approach and claims that for a 20µm Graz and is a Director, Technical Program
Management at Besi Austria GmbH.

18 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Future of embedding and fan-out technologies
By Rao R. Tummala, Venky Sundaram, P.M. Raj, Vanessa Smet, Tailong Shi [Georgia Institute of Technology]

This paper was originally published in the proceedings of the SMTA Pan Pacific Microelectronics Symposium, Kauai, Hawaii, February 6-9, 2017.

E mbedding and fan-out are two


different technologies, but
they can be combined into
one at either wafer-level, panel- or board-
300mm round wafers by molding them
with epoxy-based molding compounds.
Embedding means the chip is embedded
or buried inside the package or board and
level. All the packaging technologies can the interconnections are made to and from
be classified into four types as shown in these buried ICs using either wafer BEOL
Figure 1: a) wafer-level packaging, b) tools or package tools. The new trend,
embedded packaging, c) fan-out packaging, often referred to as wafer fan-out (WFO),
and d) embedded and fan-out packaging. includes both of these technologies at wafer-
level. It should really be called embedded
Embedding and fan-out technologies wafer fan-out (eWFO) as is done in this
Wafer-based packaging is emerging article. Such a concept of a combined fan-
as a strategic and dominant packaging out and embedding, as shown in Figure
technology because of its many benefits. 1d was originally developed in the 1980s
It started as a wafer-level packaging by GE for military applications, and then
technology by simply redistributing the followed by many others including Intel as
back-end-of-line (BEOL) wiring on wafers bumpless build-up-layer (BBUL), Freescale
in the wafer fab and placing solder bumps as redistributed chip packaging (RCP), and
on the entire wafer, and then singulating the more recently, further developed by Infineon
packaged ICs, ready for board assembly. as embedded wafer-level packaging
This WLP is a single unit with a continuum (e-WLP), and manufactured by STATS Figure 1: Four types of packages: a) wafer-level
of interconnections from transistors to ChipPAC, NANIUM S.A., and others. package (WLP); b) embedded package; c) fan-out
BEOL, to redistribution layer (RDL), and eWFO technology, however, is not package; and d) embedded and fan-out package.
to solder bumps. All WLPs are chip-scale wafer-level packaging, as described above.
It is packaging of singulated ICs that are RDL dielectric loss;
packages with chip and package sizes
reconstituted back into 300mm wafers • Outgassing of RDL polymers during
nearly the same. This is the best package
and addressing the I/O limitation of WLPs sputtering;
electrically. But it is limited to small ICs
at board level. It is also an embedded • Board-level reliability;
and to small packages, typically below
packaging technology with more benefits – Limited to small-to-medium size
5mm. As such, it is limited in external
than simply fan-out, such as reduced ICs and packages;
I/Os to connect to the board, typically at
package thickness, and not requiring – Difficulty in IC removal and
400 microns in pitch.
assembly because the wiring is deposited repairability of high-value single
To eliminate the board-level I/O limitation
directly on the surface bond pads of ICs. chips or multi-chips; and
of WLPs, wafer fan-out technology was
It has high I/O density at chip level, the – High cost for large size packages
developed. The fan-out means fanning out
shortest interconnections between IC and the beyond 20mm in size.
of I/Os beyond the footprint of the IC in the
package. Fan-out technology, by itself, is not RDL wiring, and is an ultra-thin package.
eWFO, however, has many challenges in The concepts of embedding and fan-
new; in fact, most of the billions of packages
applying it to next-generation needs, as out have many applications, as described
since the 1970s are manufactured annually
summarized below: below. All applications benefit from
as fan-out packages. Figure 1c, a ball grid
embedding while fan-out benefits
array (BGA) package – one of the more
• Die placement accuracy, die shift and mostly higher I/O applications such as
recent packages – is an example of a fan-out
die pad coplanarity; packaging of processors and other logic
package. These are manufactured, however,
• Molding compound shrinkage and devices. Independent of eWFO, panel-
not as round wafers in the wafer fabs, but
wafer warpage due to molding and board-based embedding is emerging
as strips, panels or boards, in package
compounds; as a very high throughput and lower
and board foundries. The “embedding”
– Limited RDL scaling in contrast to cost technology that is bound to move
technology, as shown in Figure 1b, began to
the potential of BEOL scaling and up to higher I/O fan-out capabilities, as
emerge as another paradigm in packaging.
pitch; described in this article.
In this technology, RDL wiring is directly
deposited on reconstituted ICs into 200 or – High electrical loss of EMC and

20 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Figure 3: Infineon’s eWFO for 77GHz automotive radar.

Figure 2: a) LTCC WLAN module (EPCOS); b) embedded actives in


TDK’s SESUB; and c) Georgia Tech’s embedded chip-last actives and Figure 4: Georgia Tech’s approach to embedding and fan-out with glass fan-out (GFO) at wafer-
passives (EMAP) in an organic package. or panel-level.

Embedding and fan-out of RF and The substrates, with embedded 50µm stringent for mm-wave than for RF
millimeter wave modules thin ICs, are as thin as 300µm or less, applications. The primary reason for this
The primary motivation for embedding, on top of which discrete components are is the sensitivity of mm-wave performance
fan-out, or both for RF packaging, is to assembled. Georgia Tech further advanced to dielectric loss, circuit precision, and
reduce the package footprint, thickness, this concept as shown in Figure 2c with substrate parasitics. This situation led to
and shorten the interconnection length chip-last embedded and fan-out for power the shift from traditional organic packages
for improved electrical performance. and RF modules. In this approach, the to eWFO ball grid array packages to lower
Embedding started with low-temperature core and build-up layers of the substrates the interconnection length and enhance
co-fired ceramic (LTCC) substrates. RF are also used to embed thin-film passives the performance [5]. One such example is
components such as capacitors, inductors, such as filters, along with RDLs and Infineon’s transceiver bare die for 77GHz
filters, diplexers and impedance matching transmission lines. Build-up layers with automotive radar application [6] as shown
networks have been embedded into ceramic laser-ablated cavities are laminated onto in Figure 3 [5].
substrates. EPCOS demonstrated dual- these core layers. ICs were assembled Georgia Tech is developing the next-
band WLAN front-end modules in LTCC into these cavities with low-temperature generation of radar with advances in
substrates, as shown in Figure 2a, with Cu-to-Cu bonding at a temperature of both SiGe devices, by including LNA to
substrate-embedded receiver (Rx) and 160°C with ultra-short copper bumps improve linearity, and in embedded fan-
transmitter (Tx) diplexers. The single-pole (<10µm). This is one of the first low- out by pioneering inorganic fan-out using
double-throw (SPDT) switch in this module temperature Cu-Cu interconnections and ultra-thin glass. The glass fan-out (GFO)
was assembled on top of LTCC substrates to assembly processes in the industry [3]. approach has many superior attributes
reduce package size, and improve loss with The low-noise amplifier (LNA), power over current eWFO technologies described
high rejection attributes [1]. amplifier (PA) and switch were embedded above. A schematic of a GFO mm-wave
The second-generation of embedding is inside prefabricated cavities in organic package, shown in Figure 4, is a hermetic
with organic laminates. TDK developed substrates [4] to form RF modules and glass-on-glass structure without molding
a leading-edge module technology power modules with embedded power compound and its problems, listed above. It
called semiconductor embedded in management ICs (PMIC), benefiting achieves BEOL-like precision RDL ground
substrate (SESUB), which enabled multi- from the high current handling of copper rules, currently at 2 microns lithography.
functional and miniaturized solutions interconnections without solder. Georgia Figure 4 shows other benefits of GFO that
for RF applications [2], as shown in Tech’s embedded active and passive include excellent temperature coefficient
Figure 2b. Multiple semiconductor chips (EMAP) concept of such an embedded RF of expansion (TCE) matching throughout
are embedded side-by-side in a fully- module is shown in Figure 2c. the structure, and therefore, high reliability
molded laminate, but with backside Millimeter wave applications. even with large ICs, low electrical loss, and
device surfaces accessible for cooling. Performance requirements and associated directly-attachable to the board, without a
substrate design challenges are more BGA package. Georgia Tech demonstrated

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 21


Figure 5: a) TSMC InFO package for processor packaging; b) TSMC
processor-memory stacking. SOURCES: System Plus and Yole.

Figure 7: Power embedding of actives in laminate panels: a) TI MicroSiP™ DC-DC


converter package with AT&S’ ECP® in-PWB embedding process [11, 12]; b) GaN Systems
and AT&S 650V/30A GaN-on-Si HEMT transistors package [13]; and c) TDK’s SESUB [14].

reduces the package height low power and wide band gap GaN and
Figure 6: Process for Silicon Wafer Integrated Fan-out Technology to less than 0.4mm. The SiC devices for high power. Among other
(SWIFT™) by Amkor. package-on-package (PoP) benefits, embedding of these devices
form factor can be reduced provides the lowest-inductance by
the industry’s first 20µm pitch assembly
to 0.8mm in thickness. elimination of bond wires [8].
at panel level with GFO. Because the
A major concern of eWFO with chip- The concept of die embedding into
RDL in GFO is made up of ultra-low loss
first embedding of high value-add and laminates was first explored in the
dielectrics, along with through-vias, unique
high-I/O CPUs and GPUs is the yield loss “HERMES” EU project for power
low-loss transmission lines and embedded
of ICs during RDL fabrication. The same applications. Texas Instruments (TI)
passives, GFO becomes one of the most
concern applies to high-value multi-chip was the first to use this technology in
leading-edge and thinnest 5G and mm-
modules that can’t be thrown away, if not high volume in its MicroSiP™ DC-DC
wave packages in the industry.
yielded. Georgia Tech and Amkor addressed converter package, shown in Figure
this concern by chip-last approaches but 7a. In this approach, both the power
Digital applications with eWFO benefits. Amkor developed IC switch and the microcontroller IC
Digital applications are driving advances S i l i c o n Wa f e r I n t e g r a t e d F a n - o u t are embedded in ultra-large boards and
in both embedding and fan-out to benefit Technology (SWIFT™) [7], as shown then singulated into BGA packages [9],
from ultra-short interconnections by in Figure 6, by first depositing RDLs on [10] using the Embedded Component
embedding and highest I/O density, silicon wafers, followed by IC assembly at Packaging (ECP®) process developed by
from fan-out at chip level, using BEOL fine pitch, followed by molding the entire AT&S. The AT&S ECP® process has also
RDL tools. Although the first embedded assembled wafer and releasing the silicon been applied recently by GaN Systems
packages were developed by GE in the carrier to form the final thin embedded to package its new 650V/30A GaN-on-
1980s for the military, and Intel in the early fan-out structure. This method has been Si HEMT transistors, as shown in Figure
2000s for high-performance computing demonstrated with RDL lines to 2µm. 7b. In this process, GaN devices were
applications, the first high volume of In Georgia Tech’s GFO packages – in embedded in laminate boards to eliminate
embedded fan-out packages (eWFO) was both chip-last and chip-first configurations bond wires to create a near chip-scale
not produced until TSMC for the Apple – 50µm thick glass with up to six RDL package with reduced inductance and
iPhone 7 in 2016, using integrated fan-out layers with 2µm lines and 5µm vias at enhanced heat dissipation.
(InFO), as shown in Figure 5. 20µm bump pitch are demonstrated with TDK’s SESUB in Figure 7c is another
eWFO packages have advantages about 4x reduction in warpage, compared panel-based embedded IC-in-laminate
over flip-chip BGA packages due to the to low CTE organic laminate substrates. technology resulting in ultra-thin packages
elimination of the substrate and the solder- with surface mount device (SMD) passive
based assembly processes. By eliminating components on the top layers and with
the high-temperature substrate processing
Low- and high-power applications
Embedding of actives and passives molding and shielding [8].
and high-temperature assembly processes, Integrated voltage regulators (IVRs) are
is becoming very important in power
the overall warpage of the eWFO package another example illustrating the benefits
applications to increase power density
is reduced from over 100µm to less than of embedding in high-performance
and efficiency along with miniaturization
60µm. Elimination of the substrate also processors for improved efficiency, higher
of power modules using Si devices for

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 23


Figure 8: a) Evolution of IVRs from discrete components to b) embedded L, and to c) IVRs with embedded ICs
and passives.

Figure 9: a) Examples of embedded power


Figure 10: Schweizer Electronics p2 Pack power embedding technology: a) process; b) cross section of inductors [18], and b) embedded Ta capacitor on
embedded power die; and c) example of application in a 40kW e-motor with embedded IGBTs and diodes. silicon substrates [19].

switching frequency, lower power losses, These thin-film inductors are now being first assembled on electrically-insulated
increased reliability and even lower co-packaged with high-density capacitors baseplates, and are then embedded in
cost. Figure 8 shows how embedding using a 3D package architecture being cavities. A three-layer build-up is then
evolved to form IVRs from discrete pioneered by Georgia Tech. An example created using standard PWB processing
components to embedded passives and to of such a high-density capacitor film on a to interconnect the power devices where
embedded passives and actives. In IVRs, silicon substrate is shown in Figure 9b [19]. bond wires are replaced by direct galvanic
the focus is on embedding of switches, Such inductors and capacitors are projected contacts with Cu-filled vias to minimize the
microcontrollers and passive components to result in modules with efficiency >90% package inductance. This substrate is then
for power conversion inside the processor and power handling above 2A/mm2. further embedded into PWBs of 575mm
package, as illustrated in Figure 8. This Large board-like, panel embedding x 583mm in size for direct integration of
leads to better power conversion efficiency has gained momentum in mid- to high- drivers and control systems. Schweizer’s
and miniaturization. Intel utilized IVRs power electronics to minimize the package p2 Pack power module is one of the first
in its Haswell microprocessor packages inductance and enable higher switching packages to enable co-integration of
where air core inductors are embedded [15]. frequencies at lower cost. High-power power, control and driver ICs in a single
Similarly, ferrite inductor-embedded power modules are traditionally packaged package in a thickness of less than 1.4mm.
modules are being developed [16]. by integrating all power switches on The p 2 Pack technology as well as its
Virginia Tech recently proposed a new insulated metal-ceramic substrates such as implementation in a 40kW e-motor with
approach to embedding of power inductors direct bonding to ceramic (DBC), while embedded IGBTs and diodes is illustrated
in point-of-load (POL) converters using control and drive systems are generally in Figure 10. Other commercial power
an innovative LTCC process. This new assembled separately on standard PWBs embedding technologies include Infineon’s
method combines GaN devices for and connected to the power module using DrBlade, Siemens’ SiPLIT, Schweizer’s
higher switching frequencies and to press contacts [20]. Fraunhofer IZM has i2 Board®, and General Electric’s Power
increase power density up to 1000W/in³ recently developed a panel-based power die OverLay (POL) technology.
as compared to the typical <300W/in³ embedding process using panel laminates of Development of power embedding
achieved for 20A converters [17]. 18” x 24” size for low- to medium-power, technologies at >50kW is expected, with
Georgia Tech, in collaboration with and 5” x 7” for high power where the base advances in SiC-based power modules. To
its industry partners, is developing IVRs substrate is a DBC. This process was applied fully benefit from the performance of SiC
using magnetic composite materials for to single-chip power MOSFET packages, devices, operating temperatures of 250°C
higher power handling at high efficiency system-in-packages with MOSFET and are highly desirable to minimize the size
using panel-based embedding for low driver co-integration, and IGBT high- of the cooling systems. Georgia Tech is
cost. A new class of layered magnetic power modules using multi-level wiring to developing many new technologies that
composite films with high permeability interconnect power, control and driver. include: 1) DC-DC converters with GaN; 2)
of up to 900, low coercivity <1 Oe, high Schweizer Electronics also pioneered an high-temperature hybrid organic-inorganic
saturation magnetization >1 Tesla, and innovative power embedding concept using dielectrics and molding compounds; and
high-frequency stability up to 800MHz large board-like panel embedding involving 3) low-CTE, high electrical and thermal
are being developed. An example of different substrate technologies for DC- conductivity conductors for Hi-Rel CTE-
integrated inductor with such films is DC and AC-DC converters in the 1-50kW matched packaging.
shown in Figure 9a [18]. range. In this approach, the power dies are

24 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Future of embedding and fan-out
technologies
There are four future directions the
industry could take in terms of embedding
and fan-out technologies. Figure 11 shows
the evolution of embedding and fan-out
technologies, starting with WLP, that led
to wafer-based embedding and fan-out
technologies called eWFO. In this process,
embedding by itself became a highly
strategic technology, even when fan-out
and high I/Os are not needed. It is now
becoming clear that there are four strategic
directions for embedding and fan-out
technologies; two at wafer level and two at Figure 11: Evolution of embedding and fan-out packaging from 1st-generation WLP in 1990s to 4th
panel level. All four require embedding. generation inorganic PFO in 2020.
eWFO for highest I/O density and
small ICs—digital devices. One strategic
direction for eWFO is for high chip-level
I/O density to package logic devices such
as processors. This is best achieved with
wafer BEOL tools, materials and processes.
So eWFO is best for packaging digital
devices such as processor and server ICs
and for logic-to-memory interconnections
with highest I/Os that can’t be produced
in any other way. TSMC’s InFO is an
excellent example. But achieving scaling
and high I/O density by eWFO is limited
by the process for eWFO with molding
compounds. The eWFO is also limited to Figure 12: Three types of manufacturing infrastructures for panel embedding.
smaller to medium size ICs and packages
because of the high cost of producing small
number of larger fan-out packages from
300mm wafers. Application of eWFO
for multi-chip heterogeneous packaging
presents another major challenge because
this technology doesn't provide a path for
repairability or reworkability. Georgia Tech
is addressing the challenge noted above
by its inorganic, mold compound-free Figure 13: a) Concept of via on line with nearly the same dimensions; and b) Actual via on line enabled by GFO.
GFO in large panel form that is capable big way for analog products, starting and 3) built-up RDL manufacturing
of Si-like BEOL dimensions, as described with low power, then high power, and infrastructure by OSATS. All these
below. This is in development and not in the future many others that include manufacturing infrastructures exist today
manufacturing ready. integration of many devices. These and with appropriate modifications, they
eWFO for medium I/O density and p a n e l s d o n ’t r e q u i r e B E O L t o o l s , are capable of handling high-volume
small ICs—RF and millimeter wave materials and processes. The panels can manufacturing of all embedded and low-
devices. In contrast to the use of expensive be any and all that are not round wafers to-medium I/O products other than those
BEOL technologies for the highest density and manufactured outside the IC foundry. that require BEOL infrastructure for
of I/Os, non-wafer fab facilities such as by Embedding by AT&S for low power, and ultra-high I/O density.
outsourced semiconductor assembly and by Schweizer and Fraunhofer for high Inorganic panel embedding for
test suppliers (OSATS) have been, and power, both in board-like large panels, very high I/Os and large packages.
continue to be used for embedding and fan- are examples of ePFO. This is referred Georgia Tech and its 50 industry partners
out by interconnecting medium I/O density to as embedded panel fan-out (ePFO- are developing the 4th-generation of
and small-size ICs. These 300mm facilities organic) technology in Figure 11. Figure embedding and fan-out technology, as
are appropriate for packaging small RF, 12 shows three different manufacturing shown in Figure 4, Figure 11 and Figure
5G, and millimeter devices. infrastructures to address this market: 1) 13, that is ultra-thin, has very high electrical
ePFO for low I/Os and small-to-large the traditional PWBs such as those used performance, very high I/O density, and
packages—power devices. Panel-based by AT&S; 2) the new LCD-based ultra- high reliability in both chip-first and chip-
embedding has begun to emerge in a large panel process, such as by Samsung; last scenarios using ultra-thin and large

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 25


panels of glass for low cost. In contrast to were then laminated
the wafer fan-out that is limited by molding and cured on both
compounds, and laminate-embedding sides to minimize
that is limited in I/O density, reliability, the warpage of the
and thermal stability, the Georgia Tech ultra-thin package.
approach referred to as embedded glass A surface planar
fan-out (eGFO) has many attributes listed tool by Disco was
below. Figure 13 shows Si-like, same via used to planarize
and line dimensions for the first time in the the surface of the
packaging world. Some of the attributes of panel to expose the
eGFO are as follows: copper micro-bumps
on the die, followed
• Si-like BEOL to close interconnect gap; by a standard semi-
• Ultra-miniaturized; a d d i t i v e p r o c e s s Figure 14: Interconnect gap with current eWFOs.
• High-temperature stability; (SAP) for the fan-
• Hermetic reliability; out RDL layer. The
• Ultra-low loss and ultra-high die shift and warpage
resistivity; were characterized
• Minimum warpage; s y s t e m a t i c a l l y.
• Excellent surface smoothness; Initial modeling and
• High moisture resistance; and measured results
• Large panels up to 510mm in size. indicated less than
5µm die shift and less Figure 15: a) Ultra-thin glass fan-out (GFO) package for high I/O digital
The GFO approach addresses the than 15µm warpage applications; and b) 20µm pitch assembly on a glass substrate.
limitations of current embedding and fan- across a 300mm
out technologies. It begins to address panel in the Georgia
the interconnect gap that exists between Tech pilot line. The
current fan-out and Si BEOL lithographic first demonstration
dimensions, as shown in Figure 14. of a fully integrated
The silicon-like dimensional stability of GFO package, the
glass in large-panel manufacturing enables thinnest package at
an unparalleled combination of ultra-high highest I/O pitch
I/O density, high electrical performance, to-date of 20µm, is
high reliability and low cost, not possible in shown in Figure 15.
molding compound-based wafer or laminate-
based fan-out technologies. Unlike high- Summary
density fan-out packages that require another Embedding is
package such as an organic BGA package the most important
to connect to boards for large package sizes, strategic technology
GFO packages are designed to be directly that is applicable Figure 16: Summary of wafer, panel and board embedding and fan-out
SMT-attachable to the board. Lastly, the f o r a l l d i g i t a l , technologies as a function of applications and lithographic ground rules.
ultra-smooth surface and high-dimensional RF and power
They don’t require high I/Os, but they need
applications. When combined with fan-
stability of glass enables silicon-like RDL to be manufactured at very low cost. Ultra-
out at chip- and board-levels, it enables
wiring and BEOL-like I/Os but on large large panels such as boards from the printed
higher I/O applications. eWFO packaging
panels, for the first time, with less than 2µm wiring board (PWB) industry or the liquid
can be fabricated in either wafer fabs using
critical dimensions (CD) for high-density fan- crystal display (LCD) industry are best suited
BEOL tools, materials and processes, or
out applications. to embed these devices.
OSATS’ built-up fabs and tools. The eWFO
There is a need to couple ultra-high
The Georgia Tech team is developing technology from 300mm wafer fabs is
density of interconnections such as from
GFO using daisy chain test dies from Intel capable of the ultimate I/O density, but at
wafer-based foundries with high-throughput
to emulate an embedded device of 6.469mm high cost for large ICs requiring large eWFO
panel manufacturing so as to end up with the
x 5.902mm size in 75µm thickness with a packages. This technology is best suited
highest performance at lowest cost, even for
pad pitch of 65µm. GFO was fabricated with for high-value logic devices requiring very
larger ICs and packages and with very high
high I/O density. It is currently limited by
these devices using 70µm-thick glass panels I/O density. There are two solutions that are
molding compounds and others, in many
with through-glass cavities fabricated with coming to the fore. Panel-based embedded
ways. The eWFOs from OSATs are capable
dimensional accuracy below ±5µm. This and fan-out manufacturing infrastructure
of handling high I/Os from their 300mm fabs
cavity-containing panel is then bonded with are emerging as described in this article and
and the technology is extendable to panels.
an adhesive to a 50µm-thick glass panel with advances in lithographic ground rules
This technology is suitable for small devices
by new advanced large-area lithographic
and the test dies were then placed in these such as RF and some logic devices, but as the
tools; and in advanced laminates, panel-
cavities using a high-speed placement tool device size goes up, the cost of packaging this
based embedded fan-out is bound to evolve
from Kulicke and Soffa. The RDL polymers device goes up. Embedding with or without
to serve not only power, but also RF and
fan-out is most suitable for power devices.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 27


mm-wave markets. The second path that 8. E. Parker, B. Narveson, A. Alderman, LTCC inductor substrate for a
is emerging has to do with glass fan-out, L. Burgyan, "Embedding active three-dimensional integrated DC/
such as by Georgia Tech with its GFO, with and passive components in PCBs DC converter," IEEE Trans. on
Si-like ground rules to 2µm and below in and inorganic substrates for power Power Electr., vol. 28, pp. 4354-
lithography, leading to 20µm bump pitch electronics," 2015 IEEE Inter. 4364, Sept. 2013.
and below in interconnections and assembly Workshop on Integrated Power 18. T. Sun, P. M. Raj, J. Min, Z. Wu, H.
on large panels. This technology is capable Packaging (IWIPP), pp. 107-110, 2015. Sharma, T. Takahashi, et al., "Magnetic
of closing the interconnections gap between
9. J. Moss, U. Chaudhry, S. Kummerl, materials and design trade-offs for high-
BEOL and the package foundry, as shown
in Figure 14. Figure 16 shows the relative C. DeVries, "Increase power density inductance density, high-Q and low-cost
regimes of embedding and fan-out at wafer-, and simplify designs with 3D SiP power and EMI filter inductors," IEEE
panel- and board-levels for digital, RF and modules," 2016 Inter. Symp. on 3D 66th Elect. Comp. and Tech. Conf.
power applications as a function of relative Power Electronics Integration and Mfg. (ECTC), 2016, pp. 374-379.
size and cost. (3D-PEIM), 2016. 19. P. Chakraborti, H. Sharma, M.
10. L. J. Smith, "3D SiP with embedded R. Pulugurtha, K.-P. Rataj, C.
References chip providing integration solutions Schnitter, N. Neuhart, et al., “Ultra-
1. S. Sakhnenko, D. Orlenko, B. for power applications," in 3D thin, substrate-integrated, and self-
Vo r o t n i k o v, O . A l e k s i e i e v, P. Power Electronics Integration and healing nanocapacitors with low-
Komakha, P. Heide, et al., "Ultra- Manufacturing (3D-PEIM), Inter. Symp. leakage currents and high-operating
low-profile small-size LTCC front- on, 2016, pp. 1-17. frequencies," 2016.
end module (FEM) for WLAN 11. TI Microsip. Available: https://www. 20. C. Neeb, J. Teichrib, R. W. De Doncker,
applications based on a novel diplexer google.com/search?q=TI+MicroSiP L. Boettcher, A. Ostmann, "A 50kW
design approach," 2009 IEEE/Mtt-S %E2%84%A2+Package&espv=2&b IGBT power module for automotive
International Microwave Symposium, iw=1130&bih=648&source=lnms&t applications with extremely low DC-
vols. 1-3, pp. 609-612, 2009. bm=isch&sa=X&ved=0ahUKEwit8t- link inductance," 2014 16th European
2. SESUB (semiconductor embedded in cwKzRAhWMOCYKHVkqAO8Q_ Conf. on Power Elect. and Applications
substrate) module technology Available: AUIBygC#tbm=isch&q=TI+MicroSip (Epe'14-Ecce Europe), 2014.
https://en.tdk.eu/tdk-en/374108/tech- &imgrc=LwetbDn37-ZVeM%3A
library/articles/products---technologies/ 12. Ti Microsip cross section. Available: Biographies
products---technologies/very-small-and- http://www.systemplus.fr/wp-content/ Rao R. Tummala received his PhD in
extremely-flat/171672. uploads/2013/05/Micronews_2012_03_ Materials Science and Engineering at the
3. N. Kumbhat, A. Choudhury, G. N125_systemplus.pdf U. of Illinois. He is the Joseph M. Pettit
Mehrotra, P. M. Raj, V. Sundaram, 13. GaN Systems and AT&S GaN Package. Endowed Chair in Electrical and Computer
R. Tummala, "Highly reliable and Available: http://www.apec-conf.org/ Engineering and in Materials Science and
wp-content/uploads/IS-8.5-Maximizing- Engineering at the Georgia Institute of
manufacturable ultra-fine pitch Cu–Cu
GaN-Power-Transistor-Performance- Technology; he is also the Director of the
interconnections for chip-last embedding Packaging Research Center (PRC) at the
with chip-first benefits," IEEE Trans. on with-Embedded-Packaging.pdf and
http://www.psma.com/sites/default/ Georgia Institute of Technology; email
Comp., Packaging and Mfg. Tech., vol. rao.tummala@ece.gatech.edu
2, pp. 1434-1441, 2012. files/uploads/tech-forums-packaging/
Venky Sundaram received his BS in
4. S. Sitaraman, Y. Suzuki, C. White, V. presentations/is83-significant- Metallurgical Engineering at the Indian
Nair, T. Kamgaing, F. Juskey, et al., developments-and-trends-3d-packaging. Institute of Technology, Bombay; an MS in
"Modeling, design and demonstration of pdf Ceramic Engineering and a PhD in Materials
multi-die embedded WLAN RF front- 14. TDK SESUB cross section. Available: Science Engineering at the Georgia Institute
end module with ultra-miniaturized and http://www.chipscalereview.com/ of Technology; he is Associate Research
high-performance passives," 2014 IEEE tb1505-01.html and http://www. Faculty and Principal Research Engineer at
64th Elect. Comp. and Tech. Conf. apec-conf.org/Portals/0/Industry%20 the Georgia Institute of Technology.
(ECTC), pp. 1264-1271, 2014. Session%20Presentations/1.5/IS1-5-1. P.M . Raj received his B. Tech in
5. J. Bock, R. Lachner, "SiGe BiCMOS pdf Metallurgy and Materials Engineering at the
15. E. A. Burton, G. Schrom, F. Paillet, Indian Institute of Technology, Kanpur; an
and eWLB packaging technologies
J. Douglas, W. J. Lambert, K. MS in Metallurgy, from the Indian Institute
for automotive radar solutions," in of Science, Bangalore; and a PhD in Ceramic
Microwaves for Intelligent Mobility Radhakrishnan, et al., "FIVR—Fully
and Materials Engineering from Rutgers U.;
(ICMIM), 2015 IEEE MTT-S Inter. integrated voltage regulators on 4th
he is Associate Research Faculty and Senior
Conf., 2015, pp. 1-4. generation Intel® Core™ SoCs," in Research Engineer at the Georgia Institute of
6. G. Haubner, W. Hartner, S. Pahlke, 2014 IEEE Applied Power Elec. Conf. Technology.
M. Niessner, "77GHz automotive and Exposition-APEC 2014, 2014, pp. Vanessa Smet received her BS in Applied
RADAR in eWLB package: from 432-439. Physics from Paris XI U., an MS in Applied
consumer to automotive packaging," 16. T. Mikura, K. Nakahara, K. Ikeda, Physics and PhD in Electronics from
Microelectronics Reliability, vol. 64, pp. K. Furukuwa, K. Onitsuka, "New Montpellier 2 U., France; she is Associate
699-704, Sept. 2016. substrate for micro DC-DC converter," Research Faculty and Research Engineer at
7. R. Huemoeller, C. Zwenger, "Silicon in 56th Elec. Comp. and Tech. Conf. the Georgia Institute of Technology.
wafer integrated fan-out technology," 2006, p. 5. Tailong Shi is a PhD student at Georgia
17. Y. P. Su, Q. Li, F. C. Lee, "Design Tech advised by Prof. Tummala.
Chip Scale Review, vol. 19, pp. 10-
13, 2015. and evaluation of a high-frequency

28 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Temporary bonding material with high sensitivity for
laser release in advanced packaging processing
By Kenzo Ohkita, Yooichiroh Maruyama, Hikaru Mizuno, Takashi Mori, Hiroyuki Ishii, Koichi Hasegawa [JSR Corporation]

D riven by exponentially
increasing demand in big
data for the Internet of Things
(IoT), powerful and multifunctional devices
systems, and the development
of TBDB materials for UV
laser debonding.

with low energy consumption have been TBDB process flow and
developed. As Moore’s law is reaching its system
limitations, innovative evolutions of advanced The process flow of
electronic packages are required. Devices temporary bonding, including
that deploy 2.5D/3D integration and fan- the device wafer thinning
out wafer-level packages (FOWLPs) have process followed by backside
progressed to satisfy these requirements in the processing for 3D packages,
recent decade [1-5]. The 2.5D/3D structures is shown in Figure 1a. Wafer
consist of stacked chips with thinned silicon thinning is carried out by
wafers and through-silicon vias (TSVs), grinding until the thickness
while FOWLPs include device chips (dies) reaches 100μm or thinner. Figure 1: Schematic drawing of the TBDB process: a) Device wafer thinning
embedded in a mold compound. Even though On the other hand, FOWLPs, and backside processing; b) Mold wafer build-up for fan-out packages.
their designs are different, the basic concept which comprise molded
for improving device performance tends to be compound embedding dies,
focused on two directions, one is to achieve also require a wafer support
3D packaging by stacking thinned wafers, system. As a representative
and the other is to increase I/O density by f l o w o f F O W L P, a
constructing fan-out packaging designs. redistribution layer (RDL)-first
In order to handle thin and fragile process is shown in Figure
substrates, temporary bonding/debonding 1b. In this process, a TBDB
(TBDB) technologies have been utilized. material is coated on the
In this technology, the device wafers are support wafer first, followed
rigidly fixed onto a carrier while processing by building up of RDL layers
and then released. To release the substrate using photoresist, dielectrics,
from the carrier, four predominant copper plating materials, and
debonding systems – thermal slide, epoxy molding compounds Table 1: Laser release system comparison.
mechanical release, solvent release, and (EMCs). It should be noted
laser release – have been reported. Some that the bonded wafer must withstand the in terms of lower heat generation. The
of the systems have already been put into high-temperature conditions, chemical ablation reaction induced by a high energy
practical use. However, the requirements exposure and subsequent release without density ultraviolet (UV) laser is speculated to
damage to the device. have photochemical characteristics including
for TBDB materials are broad and depend
A laser release system has advantages in a two-photon absorption mechanism.
on the target application. This is one of the
terms of debonding temperature, mechanical This results in direct activation followed
reasons that development and optimization
stress and throughput, compared with the by cleavage of the covalent bonds of the
of each TBDB system is still underway.
other conventional debonding systems such TBDB material. In contrast, an infrared (IR)
Among the options listed above, the
as thermal slide, mechanical and solvent laser is speculated to have a photothermal
laser release system has advantages in very release. For applications where glass carriers mechanism that results only in the thermal
high-throughput debonding specifically are acceptable, a laser release system is a decomposition of molecules.
with respect to low mechanical and thermal promising procedure for debonding. There are several sources for oscillating
stresses. The temporary bonding layer is Laser release systems are classified by UV lasers. In this study, we focused on UV
designed to absorb the laser beam to be the laser wavelengths as shown in Table laser release systems with 308nm and 355nm
decomposed. As a result, the TB layer loses 1. These are different in terms of the laser- wavelengths because there are numerous
adhesion immediately and completely thereby induced reaction mechanism and debonding advanced packaging manufacturers that can
allowing the device a force-free release from equipment cost, while all the systems listed in handle glass carriers and also because of the
the carrier. In this paper, we will outline the table require a glass carrier. Generally, the trend to decrease operation temperatures to
TBDB technology, features of laser TBDB UV laser debonding system has advantages minimize thermal damage to packages.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 31


TBDB materials for laser organic solvent, acidic plating solution, or
release alkaline solution such as a TMAH developer.
To enable handling, device wafers
are bonded onto a glass carrier wafer Material design for adhesive layer
with TBDB materials that comprise The adhesive material is designed based
two layers, a release layer and an on an aromatic thermoplastic resin that has
adhesive layer. The release layer is hydroxyl groups. From a material point of
applied onto the carrier. The device view, spin coating thickness, uniformity, melt
substrate is then bonded with adhesive viscosity during temporary bonding, thermal
to the release layer side of the carrier stability, adhesion strength, chemical stability,
by a thermocompressive procedure. and wet cleaning properties must be taken
The release layer is designed to have into account.
a high absorbance of the UV laser One of the most important requirements of
beam to induce ablation selectively the adhesive layer is good bonding without
Figure 2: UV-VIS spectra of the release layer material through the glass carrier. On the other creating any voids inside the bonded pair.
(Release-1) with 300nm and 500nm in thickness. hand, the role of the adhesive layer For this purpose, low variation of thickness
is to hold the device wafer on the uniformity and appropriate shear viscosity at
glass support wafer correctly, without the bonding temperature, are quite necessary.
creating any issues such as void Thickness uniformity of the developed
generation or delamination during the adhesive – Adhesive-1 – with 20μm thickness
device fabrication process. after coating on a 300mm Si wafer (Figure
3) shows that its variation is within 0.2μm.
Material design for release layer A peak and a cave at the center of the wafer,
In order to achieve optimal or hump at the wafer edge, which sometimes
sensitivity for UV laser release, the happens in spin-coated films, are acceptable
release layer is designed to have high for wafer bonding.
absorbance of UV light to induce The rheological properties of the adhesives
photonic cleavage of covalent bonds. against temperature are shown in Figure 4.
Figure 3: Film thickness uniformity of the 20μm thick adhesive The lower absorbance material needs Optimization of the molecular weight of the
layer (Adhesive-1) on a 300mm silicon wafer. a thicker film, which requires higher base polymer can easily control the shear
energy to release completely. The viscosity to achieve both bonding and thermal
UV-VIS spectra of the developed stability simultaneously. To achieve good
release layer material, Release-1, bonding, the shear viscosity is preferably
with different film thicknesses, are 3,000Pa∙s or lower. This indicates that the
shown in Figure 2. Transmittance of bonding temperature needed for Adhesive-1
308nm and 355nm are low enough at has to be 210°C or higher. However, the
both thicknesses. Such optical design maximum process temperature allowed for
enables debonding with minimal laser some next-generation packaging processes,
irradiation, which prevents damage to especially for FOWLP applications, is
the device surface.’ sometimes lower than 200°C to avoid
To g e t t h e d e s i r e d o p t i c a l wafer bowing and warping. An adhesive
properties, a polymer with a specific layer material with lower shear viscosity
chromophore in the molecule was (Adhesive-2) is also shown in Figure 5. In
Figure 4: Shear viscosity of adhesive materials against temperature. this case, shear viscosity of 3,000Pa∙s could
used as a main component. This
release material does not contain be achieved at 195°C with a 12% lower
any additional laser-absorbing molecular weight than Adhesive-1.
additives, such as dye or pigment, as Sufficient thermal stability of the
components. As a result, this material adhesive layer helps to ensure void-free
is free from precipitation in solution or adhesion during the entire TBDB process.
bleeding out of the coated film, which Thermal degradation of Adhesive-1 was
can be caused by the extremely high determined by thermogravimetric analysis
concentration of additive required to (TGA) and found that a 1% weight loss
get high absorbance. temperature in a nitrogen atmosphere was
The thermoset character of 380°C, which indicates that stable void-
Release-1 gives good chemical free temporary bonding is expected at
resistance. The bonded wafer elevated temperatures. Adhesion strength
should be exposed by various is determined by the hydroxyl groups
Figure 5: Photograph of a bonded glass support wafer and bare chemicals through photolithography, in the polymer structure. As a result,
silicon wafer with Adhesive-1. The thickness of the adhesive electroplating, and etching processes. sufficient adhesion to various materials
layer is 20μm. Bonding was carried out by a thermo-compressive The cured release layer exhibits such as silicon, dielectric, metals, and
procedure under vacuum, 200°C for 5 min, and 0.8MPa good resistance against any common EMC were obtained.
compressive pressure.

32 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Bonding/debonding properties of TBDB materials
A bonding demonstration using a 300mm bare silicon wafer
on a glass carrier was carried out with a 20μm-thick Adhesive-1
Low-Stress
Bonding &
as the adhesive layer. Thanks to excellent coating uniformity and
appropriate shear viscosity, bonding at 200°C was completed with
no remarkable voids or defects (Figure 5).
The adhesive strengths of TBDB materials to various

Debonding
surface materials were evaluated by a die shear test with
bonded and diced samples. Release-1 was coated onto the
glass carriers with a thickness of 0.5μm. Silicon wafers,
which have a surface comprising silicon, silicon oxide, silicon
nitride, copper, titanium, and organic insulator, were coated
at 20μm thickness with Adhesive-1, then bonded onto the
release layer side of the glass carrier. All die shear strength
results of samples diced into 10mm squares are higher than
200N/cm2 and are beyond the measurement limit. In most runs, a
glass wafer fracture mode was observed. These results imply that THERMOCURE SYSTEM
Adhesive-1 has excellent adhesion strength against a wide variety
of device wafer surface materials.
CONFORMAL
The chemical resistance of TBDB materials was evaluated by THIN DEVICE WAFER BONDING MATERIAL
soaking bonded pairs in various chemicals. The bonded pairs
of a glass carrier with a release layer (Release-1) and a silicon
wafer with an adhesive layer (Adhesive-1) were examined in
the evaluation. The results are summarized in Table 2. Only the CURABLE BONDING MATERIAL
bonded pair soaked in an NMP/EG mixture failed with a slight
wrinkling on account of swelling of the adhesive layer. However, CARRIER WAFER

the bonded pairs were stable in all other chemicals to indicate


that the TBDB materials described in this paper are promising for
practical applications. PATENT PENDING

n Low-stress bonding and


debonding

n Low-temp bonding with high-


temp process survivability

n Dual bonding materials


optimized for conformality and
low total thickness variation

n Ideal for wafer- and panel-level


packaging processes

Table 2: Chemical stability of adhesive layer.

Laser debonding was performed using a bonded pair of a glass


support wafer coated with a release layer (Release-1) and a 300mm
bare silicon wafer coated with an adhesive layer (Adhesive-1). As a
UV laser source, a third harmonic wave of Nd-doped YAG, which
emits 355nm light with 50kHz oscillation frequency, and equipped
with a galvanic scanner, was used. Debonding was carried out by
laser irradiation by scanning with a 50kHz repetition frequency www.brewerscience.com
across the entire wafers. The irradiation pitch, which is defined by
the frequency and the scan speed, can be appropriately adjusted to
not produce any un-irradiated area.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 33


layer materials developed have very high UV
absorption properties, which help to reduce
laser irradiation energy and shorten the laser
release process time. Temporary bonding
technology is being developed in parallel to
packaging structure advancements. Laser
debonding systems will drive evolutionary
progress in packaging technology for
advanced applications.

Figure 6: a) A debonded pair of wafers: the left is a silicon wafer thinned down to 50μm and the right is a glass Biographies
carrier. b) A silicon wafer after solvent cleaning. The diced wafer on the whole wafer is the corresponding debonded Kenzo Ohkita received his PhD
wafer before cleaning. in Chemistry from Osaka U. and is
Manager of 3D Packaging Material R&D
may bring about in the Advanced Electronic Materials
device surface Laboratory at JSR Corporation; email
damage, and finer kenzou_ookita@jsr.co.jp
irradiation pitch Yooichiroh Maruyama received his PhD in
increases process Chemistry from Waseda U. and is Manager
throughput time. of Temporary Bonding Material R&D at JSR
The laser irradiation Corporation.
conditions and Hikaru Mizuno received his Master’s
the release layer degree in Engineering from Japan Advanced
thickness should be Institute of Science and Technology and is in
optimized to balance the Temporary Bonding Material R&D group
product quality at JSR Corporation.
and production Takashi Mori received his Master’s
efficiency for the degree in Chemistry from Kyoto Institute of
targeted electronic Technology and is in the Business Dept. of
packages. Packaging Material at JSR Corporation.
The residue of Hiroyuki Ishii received his Master’s degree
TBDB materials in Chemistry from Kyoto U. and is in the
after laser release Temporary Bonding Material R&D group at
should be easily JSR Corporation.
cleaned from both Koichi Hasegawa received his PhD in
debonded surfaces. Engineering from Osaka Pref. U. and is
Table 3: Optical micrographs of glass and silicon surfaces after 355nm laser debond. In our material General Manager of the Advanced Electronic
design, the residue Materials Laboratory at JSR Corporation.
Optical micrographs of the glass and on the device wafer side is removed by wet
silicon surface after laser irradiation are chemicals, while on the glass support wafer References
summarized in Table 3. Dots observed in side, it is removed by plasma cleaning. Figure 1. G. J. Jung, B. Y. Jeon, I. S. Kang,
these micrographs are the laser marks that 6 shows a silicon wafer after laser debonding “Structure and process development of
indicate the occurrence of laser ablation. In and cleaning. The small diced piece of wafer wafer-level embedded SiP (system-in-
the case where the laser power is 2.0W and on the whole wafer is the reference before package) for mobile applications,” Proc.
the irradiation pitch is 160μm, the laser marks cleaning. The residue on both wafers was EPTC 2009, 191 (2009).
are relatively small compared with the other confirmed to be removed completely. 2. Y. Kurita, S. Matsui, N. Takahashi, K.
conditions. Also, a large part of the release Soejima, M. Komuro, M. Itou, “A 3D
layer remains un-irradiated. As a result, this stacked memory integrated on a logic
bonded sample could not be released from
Summary
Recently developed laser-releasable TBDB device using SMAFTI technology,”
the glass. Proc. ECTC 2007, 821 (2007).
materials were described. The laser TBDB
To achieve laser release, two methods 3. M. Santarini, “Stacked and loaded:
materials comprise two parts: adhesive layer
were attempted: increasing the laser power materials and release layer materials. Adhesive Xilinx SSI, 28-Gbps I/O yield amazing
and decreasing the laser irradiation pitch. layer materials, which are required to hold FPGAs,” Xcell Journal, 74, 8 (2011).
Under higher laser power conditions (2.5W device wafers correctly on rigid support 4. M. Murugesan, H. Kino, H. Nohira, J.
or higher), bonded pairs were successfully wafers, showed good adhesion properties C. Bea, A. Horibe, F. Yamada, “Wafer
debonded because the ablated area of each against each material, such as metals and thinning, bonding, and interconnects
irradiated spot got wider and overlapped insulators. Excellent thermal and chemical induced local strain/stress in 3D-LSIs
adjacent spots. In the same way, decreasing stability of the materials were also described. with fine-pitch high-density micro-
the laser irradiation pitch (120μm or Release layer materials, which are essential for bumps and through-Si vias,” IEDM
narrower) was also able to achieve laser the laser TBDB process, were also introduced. Tech. Digest, 30 (2010).
release. However, excess laser power and They were designed to absorb UV lasers such 5. C.-F. Tseng, C.-S. Liu, C.-H. Wu, D. Yu,
finer irradiation pitch have disadvantages in as 308nm or 355nm wavelengths. The release “InFO (wafer-level integrated fan-out)
practical applications. Higher laser power technology,” Proc. ECTC 2016, 1 (2016).

34 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Optimization of die attach to surface-enhanced lead
frames for MSL-1 performance of QFN packages (part 1)
By Senthil Kanagavel, Dan Hart [MacDermid Performance Solutions]
This article is part 1 of a two-part series. Part 2 focuses on MSL-1 evaluation of the material combination.

Q uad flat no-leads (QFN)


semiconductor packages
represent one of the steadiest
growing types of chip carriers, and they are
properties (surface tension, percent solids,
viscosity, etc.) that impact the interaction
with the anti-bleed coatings. Consequently,
the selection of die attach adhesive can
cohesive failure mode. So, it is very critical
for the die attach to not cause any significant
bleed out on the lead frame surface.
With the challenges driven by the move
predicted to continue growing as original be critical to package performance. This to lead-free electronics components,
equipment manufacturers (OEMs) strive article examines the appropriate methods for reflow temperatures have increased
to put more signal handling into a smaller optimizing both die attach adhesive chemistry significantly. This move triggered
space. Owing to their low-profile, condensed with state-of-the-art lead frame technology. a reduction in reliability at MSL-1,
form factor, high I/O and high thermal specifically delamination of epoxy molding
dissipation, they are popular choices for chip Performance attributes for compounds (EMCs) and die attachment
set consolidation, miniaturization, and chips achieving MSL-1 from the lead frame surface. To improve
with high power density, especially for the MSL-1 performance is typically MSL performance, many semiconductor
automotive and RF markets. As with any attributed to a number of factors in the packagers have turned to different methods
package, reliability is critical, and due to their semiconductor package. The various for adhesion improvement. The most
widespread acceptance, OEMs, integrated materials such as epoxy molding popular of these is generically termed
device manufacturers (IDMs) and outsourced compound, die attach material, lead “brown oxide” or “alternative oxide,”
semiconductor assembly and test suppliers frame alloy type and surface chemistries, which roughens the copper lead frame
(OSATS) demand continued improvements as well as the die type and size, all surface while concurrently applying an
in the reliability of QFNs. influence the performance of the package organometallic coating.
Chemical processes that treat the as a whole. The performance and The brown oxide mechanism comprises
surface of copper lead frames to enhance interaction of the individual materials is an intergranular etching process that
mold compound adhesion and reduce important in preventing delamination in selectively etches small gaps between
delamination in chip packages deliver the package during MSL-1 testing. This copper grains of the lead frame alloy. The
improved reliability in QFNs. These article focuses on the key material etching composition includes organic
chemical processes result in micro- interactions and their effects on
roughening of the copper surfaces, while MSL-1 performance.
concurrently depositing a thermally robust Conductive die attach typically
film that enhances the chemical bond will undergo stress during the
between the epoxy encapsulants and the MSL-1 exposure and reflow so it is
lead frame surface. Typically, this type important it maintains its properties
of process can reliably provide JEDEC and does not initiate delamination
MSL-1 performance. with the lead frame surface or die
While this chemical pretreatment process back side (Figure 1). The other key Figure 1: Typical construction of a QFN package showing EBO
provides improved performance with respect factor that contributes to the failures from die attach material.
to delamination, it can create other challenges is epoxy bleed out or resin bleed out.
for the lead frame packager. Increased surface The resin from the epoxy will bleed
roughness magnifies the tendency for die onto the lead frame surface. This
attach adhesives to bleed (epoxy bleed out, can cause loss of adhesion to epoxy
or EBO), causing the silver-filled adhesive molding compound and result in
to separate and negatively impact package delamination during MSL-1 (Figure
quality and reliability. Additionally, any 2). In addition, as the epoxy bleeds
epoxy resin that bleeds onto the lead frame onto the lead frame, the composition
surface can interfere with other downstream of the adhesive under the die
processes, such as down-bonding or mold changes—less epoxy and more
compound adhesion (Figure 1). silver. This can impact the adhesion
Anti-bleed or anti-EBO coatings have been of the die attach to the lead frame
developed to control the amount of bleed, but or the die, and result in an adhesive
different adhesives can have different physical failure, as opposed to the desired Figure 2: Delamination observed due to EBO from die attach.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 35


The second method uses compatible
anti-bleed coatings on the lead frame
to match the chemistry of the die attach
and minimize the EBO. From a surface
treatment perspective, the key to limiting
EBO is to control the surface energy
on the lead frame. Application of a
coating to the lead frame that reduces
the surface energy will reduce the degree
of capillary action and reduce/eliminate
EBO. Theoretically, this can be seen from
Young’s contact angle equation (Eq. 1;
see Figure 4 for additional details): Figure 4: Relationship between the parameters in
Young’s contact angle equation.
ϒSV – ϒSL – ϒLG (cos θ) = 0 Eq. 1

Where: ϒSV = Surface energy


ϒSL = Interfacial energy between
surface and liquid
ϒLV = Surface tension of the liquid
(droplet), and
Θ = Contact angle between the
liquid and surface
Figure 3: SEM image of a lead frame surface
comparison before and after treatment. Rearranging the equation, we find the
additives to help define the surface following observations. As the surface
morphology. During the process, etched energy (ϒ SV) decreases, the numerator
copper ions react with organic components increases and the term cos θ decreases.
to form an organocopper coating that is This situation occurs when the contact
Table 1: DOE layout for EBO and adhesion testing.
deposited onto the alloy surface. It has angle θ increases. So decreasing the
been demonstrated that the roughened surface energy increases the contact angle, anti-bleed coating as shown in Table 1.
surface morphology produces improved thereby decreasing wetting of the surface. Tw o AT R O X e p o x y d i e a t t a c h
adhesion, and that the coating is necessary This can also be accomplished without products were evaluated with an external
to minimize loss of adhesion during post- adjusting the surface energy by increasing benchmark die attach product. The
mold heating excursions (e.g., reflow) the surface tension (ϒLV) of the liquid. die attach adhesive was dispensed in a
(Figure 3) [1]. On the contrary, if the surface energy standard asterisk pattern and then staged
A disadvantage of the roughening is reduced too much, the resin will resist for four hours before measuring the EBO
process is that it leads to an increase in wetting the surface and can “shrink” away on the different surfaces (Figure 5).
resin bleed out (RBO), sometimes referred or dewet from the surface. In a worst case,
to as epoxy bleed out (EBO). The sponge- the adhesive will not wet the surface.
like morphology of the alloy surface after Therefore, optimization to control EBO
treatment produces a capillary action that while maintaining the enhanced adhesion
triggers a leaching or bleeding of the fluids and thermal resistance properties is critical.
in the die attach adhesives away from the The combination of surface anti-bleed
adhesive deposit. coatings on the lead frame and their
compatibility with specific anti-bleed
agents in die attach is studied and hereby
Methods to control epoxy bleed out presented as a compatible combination for
There are two key methods to control
delamination-free MSL-1 performance.
the EBO on a lead frame surface. The
first is to tailor the die attach adhesive
to the lead frame surface. The die attach
Experiments
The alloy surfaces were treated
formulation has added anti-bleed agents
with MacDermid Enthone’s standard
that minimize the flow out of resin and
PackageBond HT process: acid cleaner,
other organics onto lead frame surfaces.
mild micro-etch, PackageBond Predip,
Each anti-bleed agent has a different
PackageBond HT coating, and alkaline
response to the surface chemistry of the
Postdip. Etch rate was maintained in
individual lead frame surface, thereby
the 1.50-2.00µm/min range in order to
necessitating a compatible combination
maintain a consistent surface morphology.
that will have delamination-free Figure 5: EBO results
The surfaces were then treated with the
performance during MSL-1 testing.

36 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


All die attach products showed EBO
on the roughened lead frame surface as
expected. The two ATROX die attach
products showed minimal epoxy bleed out
on the lead frame surfaces treated with
an anti-bleed coating, indicating good
compatibility with the surfaces. Table 2
compares the die attach materials in terms
of key properties.

Experiment results for adhesion Table 2: Comparison of die attach materials in terms of key properties.
Different die attach materials are tested
on copper lead frames with different
coatings to evaluate the adhesion strength
and failure modes to determine the most
compatible combination. Adhesion
strength is measured by die shear at
elevated temperature (260°C) (Figure
6). The failure mode is evaluated by
inspecting both the die and lead frame
surfaces after shear. The desired failure
mode — cohesive — is indicated by
adhesive remaining on both die and lead
frame surfaces.
The benchmark product showed
significantly lower adhesion on all
conditions evaluated, indicating that the
material does not possess high adhesion
strength at high temperature. However,
the samples treated with the brown oxide
process exhibited improved adhesion
strength for all adhesives, including
the benchmark. The other important
finding was that the two ATROX die
attach products showed a very low drop
in adhesion with untreated lead frames
while using 5% of PackageBond Anti-
Bleed 4. This demonstrates that both
improved EBO resistance, in addition
to increased adhesion strength at high
temperatures, can be achieved with the
proper combination of EBO reduction
techniques (Figure 7).

Summary
The key finding from this study was that
the use of roughening processes is critical
for enhancing adhesion strength to lead
frame surfaces; however, it is also critical
to choose a compatible anti-bleed material
that reduces/eliminates EBO on the lead
frame surface and doesn’t interfere with
adhesion of die to the lead frame. This
combination of treatments maintains the
joint integrity during high stress such as
MSL1 performance followed by a 260°C
reflow process.
The two ATROX die attach materials,
although different in properties, are shown
to be compatible with the MacDermid

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 37


Figure 6: High-temperature adhesion results. Figure 7: Failure modes of die shear adhesion results.

Enthone PackageBond HT roughening and Reference Product Manager-Electronic Polymers, at


PackageBond Anti-Bleed surface treatments, 1. D. Hart, B. Lee, J. Ganjei, “Increasing Alpha Advanced Materials, a MacDermid
which lead to high MSL reliability. IC lead frame package reliability,” Performance Solutions Business; email
41st International Symp. on senthil.kanagavel@alphaassembly.com
Acknowledgment Microelectronics 2008, IMAPS Conf. Dan Hart received his BS in Chemistry
This study is the result of a collaboration Proc., 533, 2008. from the U. of Maryland, Baltimore County.
between Alpha Advanced Materials and He is Applications Development Manager
MacDermid Enthone Electronics Solutions. – Electronics Materials, at MacDermid
Biographies Enthone Electronics Solutions.
Both are businesses of MacDermid Senthil Kanagavel received his MS
Performance Solutions, a Platform Specialty in Industrial Engineering at State U. of
Products company. New York at Binghamton; he is Global

CONQUER
COMPLEXITY
High Aspect Ratio Load
Board Drilling & Plating 5.309 mil

1.012 mil
• 0.4mm pitch
• 4.75mm thick
• 37:1 Aspect Ratio
• 1 mil plating

CONTACT US | csr@rdaltanova.com

R&D Altanova 3601 So. Clinton Ave. South Plainfield, NJ 07080


rdaltanova.com

RDA_Ad_CSR_Apr14_M.indd 1 4/8/14 1:00 PM


38 Chip Scale Review March • April • 2017 [ChipScaleReview.com]
3D integration technology for high-density/high-
performance ICs
By Séverine Cheramy, Maud Vinet, Olivier Faynot [Leti]

D enser integration techniques


have begun attracting more
interest following many years
during which the evolution of packaging
layers, including metal pads (in
our integration, copper is used)
surrounded by a dielectric layer
(SiO2).
was the main industrial driver for 3D Surface preparation is key
integration. Efforts to maintain Moore’s Law to success for this integration:
while meeting demand for high performance roughness and cleanliness are
and/or low power consumption – and a critical factors for a successful
combination of performance and small form bonding. Here, the chemical
factor – spurred innovation and alternative mechanical polishing (CMP) Figure 1: Cross section of a copper pad after bonding.
solutions to packaging for power-efficient process is finely tuned so that Courtesy: STMicroelectronics and CEA-LETI
3D integration. the copper pad shows very
Additionally, the difficulty of associating low dishing (typically a few
in one 2D wafer heterogeneous processes, nanometers). Additionally, to
such as combining CMOS devices with reach finer pitches, an alignment
flash memories or with “exotic” materials, between both prepared surfaces
including low-temperature dielectric film, also must be achieved, using EVG
also points the way to a 3D approach rather tools, for instance. After bonding
than a 2D sequential one. and final curing, electrical and
For example, back-side-illuminated mechanical links are performed
(BSI) imager vendors and their customers without any additional material,
have recently achieved 3D density as shown in Figure 1.
approaches with pitches in the range of 5 Integration. Both wafer-
to 10µm. It is no longer a dream to think to-wafer and die-to-wafer
about a 3D industrial integration within integration flows are possible.
the range of a few micrometers pitch. This The wafer-to-wafer approach has
specific application may prompt interest in been adopted widely for backside Figure 2: Scanning electron microscopy (SEM) images (3D view) of
other architectures, such as memory denser illumination (BSI) imagers for the 3D assembly including all metal levels of the BSI imager structure.
stacking or partitioning of a large system- many years, using the top layers
on-chip (SoC). for photodiodes and the bottom
This article describes two complementary layers for digital devices. This
technologies developed at Leti that address partitioning is a natural evolution
such high density of 3D integration: 1) A of standard 2D BSI imagers [1,2].
back-end-of-line (BEOL)-type technology, Figure 2 is a cross section of a
based on hybrid bonding in the range of a 3D BSI imager structure.
few micrometers; and 2) A front-end-of-line The approach described
(FEOL)-type technology, named Coolcube™, above has proven to be reliable
in the range of a few 100e of nanometers. and robust and some products
already on the market use
3D stacking using hybrid bonding a similar approach, which
We begin this section by introducing demonstrates that the hybrid
the back-end-of-line (BEOL)-type 3D bonding concept is compliant
technology, based on hybrid bonding. with integration of all BEOL
Principle. The hybrid bonding approach layers. A deep morphological
combines the excellent advantages of a characterization plan led to a
room-temperature, no-pressure process that nearly perfect interface, with Figure 3: Cross section of the bonding interface after electromigration.
does not require underfill [1]. It consists of no defects or delamination, and
planarization in a chemical-mechanical- with pad integrity similar to what has been large matrix of electrical data is available:
polishing (CMP) process of damascene shown in the R&D phase. Furthermore, a stability of the process is undoubtedly
achievable. Finally, reliability tests,

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 39


particularly electromigration [3] complete the introduction of 3D contact
the characterization plan (Figure 3). at the device level (up to 100
Electromigration results indeed prove million vias per mm² with
that a hybrid bonding architecture does not 14nm ground rules). 3D VLSI
induce additional failure in comparison devices can be routed either at
to the usual tests in BEOL layers: voids the gate or transistor levels. The
appear in the last metal level connected partitioning at the gate level
to the hybrid bonding interconnect. If, as allows IC performance gain
noted, this wafer-to-wafer integration is without resorting to scaling,
near or already in production (3D BSI), thanks to wire length reduction.
it naturally raises some questions about Partitioning at the transistor
yield. Cross yield loss when stacking two level by stacking NFET over
wafers together may erase all possible PFET, or vice versa, enables
advantages of the integration, especially the independent optimization
when considering insufficient yield from of both types of transistors.
at least one of two stacked wafers. A chip- This includes customized
Figure 4: Chip-to-wafer approach using hybrid bonding.
to-wafer approach is an alternative. The implementation of performance
advantage of this approach is stacking boosters: channel material/
known good dies on known good dies. substrate orientation/channel
Consequently, a presumed 100% yield and raised sources and drains
wafer may be “rebuilt.” strain, etc. [5], with reduced
Nevertheless, additional difficulties of process complexity compared
the approach outlined above lead to a less to a planar co-integration.
mature integration. For example, proper The ultimate example of
handling of the dies is necessary, i.e., high-performance CMOS at
compatible with further copper-copper low process cost is the stacking
bonding: there can be no degradation or of III-V NFETs above SiGe
contamination of the die surface after PFETs [6]. These high-mobility
dicing. The feasibility of this die handling, transistors are well suited for Figure 5: CoolCube™ principle and transverse electromagnetic (TEM)
which is far more challenging than wafer 3D VLSI because their process cross section of processed devices.
handling, has nevertheless been proven temperatures are intrinsically
[4], as shown in Figure 4. This feasibility low. 3D VLSI, with its high
paves the way for a promising industrial contact density, can also be
chip-to-wafer integration flow. seen as a powerful solution for
A pitch of 10µm has been reached. In heterogeneous co-integrations
addition to proving that the bonding interface requiring high 3D-via densities,
is morphologically similar to the one such as nanoelectromechanical
achieved with a wafer-level approach, the systems (NEMS) with CMOS
measured contact resistance is similar to the for gas sensing applications
one achieved in the wafer-to-wafer approach [7] or highly miniaturized
and consequently, to the monolithic copper imagers [8].
pad resistance. Nevertheless, to obtain such Integration. By resorting
results, the pick-and-place equipment must to a unique alignment flow Figure 6: Top thermal budget analysis and solutions to bring the
achieve an accuracy of about +/-1µm, and throughout the whole process, process temperature of the critical thermal steps in the 500ºC range.
the handling of the die and the bonding itself layers are stacked on top of
must not contaminate the surface. SET, a each other within a lithographic alignment hydrogen implantation and splitting.
French equipment supplier, is developing precision, as shown in Figure 5. Top-layer lithography relies on a single-
such a machine with Leti in an IRT The bottom layer is first processed up s t r e a m a l i g n m e n t f l o w, l e a d i n g t o
Nanoelec program. to a few metal layers (typically two or excellent alignment precision between
four depending on the technology) in an top and bottom transistors. Top devices
3D monolithic: CoolCube™ almost standard CMOS flow. It can be are subsequently fabricated with a low
This section discusses the principle any CMOS technology, from bulk planar thermal budget to preserve the bottom
behind an alternative approach to a 3D to FinFET or fully-depleted silicon-on- layer’s metal oxide semiconductor field-
integration scheme. insulator (FDSOI). The process can be effect transistor (MOSFET) performance.
Principle. An alternative approach tweaked slightly to increase the silicide Figure 6 shows how, in order to design
using high-density 3D integration is to rely thermal stability, if needed [9]. A blanket the low thermal budget process flow, the
on a monolithic 3D integration scheme wafer is subsequently transferred at low hot modules are engineered to lower their
(3D VLSI). Monolithic technology offers temperature on top of the bottom layer, thermal budget and match it with the
the possibility of stacking devices with a using direct bonding either of a silicon- bottom layer thermal stability.
on-insulator (SOI) wafer, or by using Results. Thanks to solid phase epitaxy
lithographic alignment precision, enabling
regrowth, high-performance/low-

40 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


common work of the IRT Conf. (ECTC), 31 May–5 June 2016,
Nanoelec program, with Las Vegas, NV USA.
SET’s new equipment 2. L. Benaissa, et al., “Next-generation
platform SET1. This image sensor via direct hybrid
target, scheduled by bonding,” 2015 IEEE 17th EPTC
2018, could open the Dec. 2–4 2015, Singapore.
door for a chip-to-wafer 3. S. Moreau et al., “Mass transport-
integration with a pitch induced failure of hybrid bonding-
of a few micrometers. based integration for advanced image
CoolCube™ allows the sensor applications,” 2016 IEEE 66th
same range of 3D-contact ECTC, May 31 – June 5, 2016, Las
density, i.e., in between Vegas, NV USA.
the layers of devices, as 4. Y. Beillard et al., “Chip to wafer
planar-contact density. copper direct bonding electrical
This high via density is characterization and thermal cycling,”
needed for two reasons. IEEE International Conference on 3D
Figure 7: 3D integration pitch roadmap.
First, because lithography System Integration (3D IC), 2013.
temperature junctions have been designed strategy relies on a 5. P. Batude, et al., “GeOI and SOI 3D
to match standard process flow, where single-stream alignment flow, the alignment monolithic cell integrations for high-
junctions are activated using rapid thermal precision between the layers only depends density applications,” Symp. on VLSI
annealing at 1,050°C. Low-temperature on the lithographic alignment capability Technology Digest of Technical
epitaxy has been demonstrated with the of the stepper, and for state-of-the-art Papers, A9-1, p.166-167, VLSI 2009.
use of disilane and Cl2 as precursor and lithographic tools it is in the 5nm range. 6. T. Irisawa, et al., “Demonstration
etching gas. Low-k spacers based on SiCO Second, the 3D contact process is close to of InGaAs/Ge dual-channel CMOS
deposited at 400°C have demonstrated a standard 2D tungsten contact plug: the inverters with high electron and
similar DC performance as SiN, but with 3D contact is a simple contact in an oxide hole mobility using stacked 3D
improved ring oscillator performance [10]. and its aspect ratio is kept very similar to integration,” IEEE VLSI (2013).
Finally, compatibility with production the one in a 2D integration; as an additional 7. I. Ouerghi, et al., “High-performance
line environment has been demonstrated, consequence, there is no keep-out zone. polysilicon nanowire NEMS for
thanks to a dedicated contamination- CMOS embedded nanosensors,”
containment protocol. Summary Sect. 22.4, pp. 1-4, IEDM 2014.
This paper describes the process 8. P. Coudrain, et al., “Setting up 3D
Pitch roadmap flows for very high 3D integration: 3D sequential integration for back-
As described before, the pitch roadmap stacking, (wafer-to-wafer and chip- illuminated CMOS image sensors
of hybrid bonding both at wafer-level to-wafer), as well as 3D monolithic with highly miniaturized pixels with
and at chip-level strongly depends on CoolCube™ technologies are considered low-temperature fully-depleted SOI
equipment accuracy, whereas in the case of as complementary approaches to transistors,” IEDM 2008.
monolithic 3D integration it depends only produce high-performance devices. 9. L. Brunet, et al., “Direct bonding:
on lithographic alignment precision of the CoolCube™ and 3D stacking are a key enabler for 3D monolithic
stepper (Figure 7). The capability of high considered for performance, cost and integration,” Electrochemical Soc.
throughput is also key at chip level. form factor reduction. The promising ECS, 2014.
So far, wafer-level bonder equipment results reached for both integrations 10. L. Pasini, “High-performance CMOS
suppliers have reached alignment of give high confidence for their use in FDSOI devices activated at low
a few hundreds of nanometers. Going fabricating products in the near future temperature,” VLSI 2016.
further is no longer a pure equipment for a wide range of applications.
challenge. A complete process Biographies
understanding is necessary, including Acknowledgments Séverine Chéramy received her
previous steps of the wafers (BEOL). Part of this work was funded by the Engineering degree with a specialization in
Close collaboration between equipment French Programme d’Investissements Material Science from École d'ingénieurs
suppliers and process experts is required d ’ Av e n i r, I RT N a n o e l e c A N R - 1 0 - Polytech in Orléans, France. She
to improve final alignment. AIRT-05. The authors would like to thank heads Leti’s 3D Integration Lab; email
In the frame of IRT Nanoelec, and STMicroelectronics, EVG and SET for severine.cheramy@cea.fr
thanks to the collaboration between EVG their support in this work, as well as Leti’s Maud Vinet received her PhD in
and Leti, a perspective of a pitch of 1-2µm 3D and CoolCube™ teams. Physics from Joseph Fourier U. in
is scheduled in 2017, and when taking Grenoble, France and is Leti’s Advanced
into account perspectives of equipment References CMOS Manager.
suppliers (+/-40nm), a pitch of 500nm 1. S. Lhostis et al., “Reliable 300mm Olivier Faynot received his MSc and
or less could be reachable in the very wafer-level hybrid bonding for 3D PhD degrees from the Institut National
near future. For a chip-to-wafer process, stacked CMOS image sensors,” 2016 Polytechnique in Grenoble, France and is
a target of +/-1µm is scheduled in the IEEE 66th Elect. Comp. and Tech. Leti’s Microelectronics Section Manager.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 41


Inkjet-based additive manufacturing addresses
challenges in semiconductor packaging
By Wouter Brok, Henk Goossens, Klaus Ruhmer [Meyer Burger]

G rowing demand for


semiconductors in
wearable electronics,
automotive and Internet of Things
materials and highlight two
application examples of
the technology.

(IoT) applications, drive the Basics of functional inkjet


development of miniaturized and printing
lower cost semiconductor devices. To d a y, e v e r y b o d y i s
Additive manufacturing and printed familiar with inkjet printing
electronics technologies like inkjet because it is widely used
printing of functional materials have for graphics printing in
a number of unique benefits over home and office printers,
traditional semiconductor technologies i n l a rg e - s c a l e p r o d u c t i o n Figure 1: An inkjet droplet in flight in the first 200μs after the
that enable further miniaturization and of magazines, bill boards, piezoelectric excitation of a nozzle.
process cost reduction. and even for applying a
Just like traditional dispense design onto ceramic tiles.
technology, inkjet printing deposits T ha t sa i d, it is important
small droplets of functional liquid to highlight some basics
materials onto a substrate. What of the technology and
makes inkjet unique is that droplets the differences between
are much smaller (picoliter volume), graphics printing and
are jetted by thousands of individually functional inkjet printing
addressable nozzles at the same as applied in electronics
time, and are deposited in a non- and semiconductor
contact manner. With this technique, manufacturing. All inkjet
patterned and homogeneous layers print heads are based on
can be produced, also on 3D surfaces. the principle of pushing a
Even 3D structures can be printed Figure 2: Example of a functional inkjet printer for semiconductor
low viscosity liquid out of fabrication.
directly. These benefits are recognized a number of individually
in many industries such as printed addressable tiny channels, or diversity of applications and inks to
circuit board, photovoltaics, display, nozzles. The very small droplets that be processed have a large impact on
3D printing, and even pharmaceutics are created in this way eventually form the machine design of a functional
where inkjet technology finds its way the pattern on the substrate. In home printer. These specifications ask for
into industrial manufacturing. and office printers, a thermal pulse is a h i g h - p r e c i s i o n m o t i o n p l a t f o r m ,
Where the feature size of inkjet used to invoke a droplet. However, for precise pattern rendering, modular
printing is not compatible with most the application of printing electronic design, adequate head inspection and
semiconductor front-end processes materials, a much higher accuracy and maintenance functionality, as well as
because sub-micron patterning is reliability is needed, which therefore substrate handling.
r e q u i r e d , m a n y w a f e r- b a s e d b a c k - restricts the choice of print heads
end-of-line (BEOL) and strip-based to piezo-driven high-quality heads Comparison between inkjet and
packaging process steps need features a s u s e d i n i n d u s t r i a l , l a r g e - s c a l e traditional technologies
of tens of microns up to millimeters, graphics printers. Figure 1 provides an The cost reduction potential of
and can benefit from inkjet technology. illustration of a droplet in flight. inkjet printing becomes apparent when
Therefore, inkjet printing is being Although they share the basic print comparing it to lithography. The ability
adopted by some of the largest head technology, it should be noted, of inkjet printing to directly produce
semiconductor producers in the that printing equipment for graphical patterned layers from a digital file avoids
world. In this article we will review and for functional applications (such as the need for expensive lithography tools
the advantages of inkjet printing in the example shown in Figure 2) also and associated processes such as resist
comparison to traditional technologies, have a lot of differences. The accuracy coating, mask production, development
give an overview of inkjet-compatible and reliability requirements and the and etching. All these steps are typically

42 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


replaced by only two steps: this approach has many advantages
printing and curing. This not too: a high level of compatibility with
only saves cost, it also adds existing circuit etching and plating
flexibility. Avoiding a mask technology, quick product changeover
enables a fast changeover with digital printing, and still a
between different products. significant cost reduction.
The additional benefit of inkjet The small drop volume (down to 1
printing is the efficient use picoliter) and accurate drop placement
of material. Spin coating and (down to a few microns) gives inkjet
spray coating technologies printing a miniaturization benefit over
waste between 70% and dispense technology. It especially offers
90% of the applied material, the possibility to reduce keep-out zones,
Figure 3: Example of precise inkjet printed dams for compared to virtually no waste for example by printing dam structures
dam-and-fill applications. for inkjet printing. Overall for dam-and-fill applications (as
cost savings as high as 90% demonstrated in Figure 3) or dispensing
for certain process steps have adhesives. Moreover, thousands of
been reported. parallel nozzles in an inkjet printer yield
As will be explained in the a throughput advantage over single-
next section, inkjet printing nozzle dispense.
can also be applied to produce Compared to the more well-known
a direct printed mask. With s c r e e n p r i n t i n g t e c h n o l o g y, i n k j e t
such a process, the production avoids the cost of screens by directly
of a hard mask, lithography printing from a digital layout, it
and development are avoided improves on feature size and especially
and a digitally printed mask is enhances registration on large
directly used in a subsequent substrates. In general, inkjet is well
etching or metallization step. suited for large rectangular substrates
Table 1: Characteristics of the inkjet process compared to other methods. Although not fully additive, (for example in panel-based packaging),

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 43


also for blanket coatings. Both spin baking, and UV sources and hotplates M o r e g e n e r a l l y, t h e o n g o i n g
and spray coating technologies struggle are of ten included in pr inting innovation in nanoparticle, nanowire,
to create homogeneous layers on such equipment in order to minimize and polymer science will yield many
large substrates. operator handling. more interesting inkjet materials.
It is worth highlighting the 3D Another important class of materials
capability of inkjet printing. With a is the group of resists. As explained Examples of inkjet applications
typical jetting distance of 0.5 to 1mm, above, these can be used for direct A typical example of inkjet enabled
inkjet is a contactless manufacturing printing of etch or plating masks. Hot miniaturization is routed quad flat
technology. Therefore, it is better suited melt or wax-based mater ials have a no-leads (QFN) packaging. Routed-
to deal with 3D topology than other high chemical resistance against wet QFN combines the advantages of
coating and printing technologies. chemical etchi ng and met allization traditional QFN with those of organic
One can jet material into vias, cavities baths. For a large thermal window, they substrates. By applying a copper
and trenches, cover heights of 100s of are especially formulated in a mixture lead frame with an advanced fan-
microns and even print onto the edges with UV curing components. Such inks out structure, it offers higher I/O pin
of wafers or dies. Furthermore, fast also have high mechanical resistance, counts with respect to traditional QFN,
curing (e.g., UV curing) materials can t h e r m a l s t a b i l i t y, a n d v e r y g o o d combined with excellent thermal and
be used for 3D printing applications. adhesion proper ties. Their excellent electrical properties. Because the more
Although the third dimension in most printing proper ties make them ver y complicated fan-out structure would
semiconductor applications is limited to suitable for etching copper circuits and lead to free-standing lead fingers, the
hundreds of microns, such features need plated interconnects. Glass and silicon manufacturing process for routed-
3D printing capability, which includes have also been successf ully etched QFN lead frames involves a dual-etch
multi-layer printing of slices with good using such printed resists. Furthermore, procedure as shown in Figure 4.
layer-to-layer overlay accuracy. Table 1 traditional acrylate-based photoresists
provides an overview of characteristics a nd i mpr i nt resists ca n be pr i nted.
of inkjet printing in comparison to other Some spi n coati ng for mulations
manufacturing methods. can be made jet t able with m i n i mal
m o d i f i c a t io n s . B o t h h o t m el t a n d
Inkjet materials photoresist materials can be stripped
Fo r a r e l i a b l e a n d h i g h q u a l i t y with well-k now n low concent ration
inkjet process, materials need hydroxide or amine-based chemicals.
t o b e s p e c i f i c a l l y fo r m u l a t e d fo r However, some applications require a
in kjet applications. First of all, the more permanent resist. For such cases,
viscosity needs to be in the range of epoxy-based SU-8 resist or polyimide
2 –20 c e nt i Poise (cP). Ad d it ion a l ly, can be used.
aspects like surface tension, Conductive inks are a third class of
p a r t icle si z e a n d p a r t icle lo a d i n g i mpor t ant mater ials. A mai nst ream
h ave t o b e o p t i m i z e d . A g r ow i n g m at e r ia l for p r i nt e d ele c t ron ics is
nu mber of chemical suppliers, silver nanopar ticle in k. Sub-micron
b o t h l a r g e c o m p a n i e s l i k e D o w, silver nanoparticles are suspended in
Dupont, Taiyo, and J NC, to name a a solvent and upon pr i nt i ng can be Figure 4: Manufacturing procedure of a routed-
few, a nd spe cial i zed st a r t-ups, a re sintered at low temperatures (<150°C) QFN package.
commercializing inkjet formulations reaching up to 40–50% of bulk silver
and have a range of appealing new and conductivity. A number of ink suppliers First, the lead fingers are etched
advanced materials under development, have ma de sig n if ica nt a dva nces i n halfway through the lead frame.
often based on a reformulation of spin copper nanoparticle inks as well. For After die attach, wire bonding and
or s p r ay c oat i ng ve r sion s of wel l- a dva nced applicat ion s, t r a nspa rent molding, the lead frame is etched back
known semiconductor materials. conductor materials based on either from the bottom side yielding the
Commercially available dielect ric organic PEDOT or silver nanowires final structure of free-standing lead
m a t e r i a l s i n cl u d e p ol y i m i d e s a n d are available, and even semiconducting fingers (now supported by molding
polyimide-like materials, epoxy and mater ials for completely pr i nted compound) and protruding solder
epoxy-acrylates. These polymers can transistors have been developed. c o n t a c t s . T h e c h a l l e n g e , h o w e v e r,
be applied with layer thicknesses of Next to the commercially available is that after back etching of the lead
seve r al m ic ron s up t o hu nd re d s of materials noted above, there is a large frame, the exposed copper is to be
microns. Even at low layer thicknesses, selection of advanced materials under encapsulated without contaminating
polyimides offer a very high electrical d e vel o p m e n t , s u c h a s s p e c i a l i z e d the contacts and leaving enough stand-
r e si s t iv it y, o p e n i n g o p p o r t u n it ie s a d h e sive s , g r a ph e n e a n d q u a nt u m off for reliable soldering. Figure 5a
of u si ng i n kjet applicat ion even i n d ot i n k s , s e n s or m at e r ia l s for g a s illustrates an example of a routed-QFN
power device manufacturing. Polymer detection, optical sensors and device. Encapsulating the exposed
materials are typically cured by UV biomater ials, mater ials for pa ssive copper is a difficult task for molding,
photo -poly mer i zat ion or t her mal components such as resistor material. dispense or screen printing technology.

44 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Figure 6: Printed dielectric patches for wafer-
Figure 5: a) (left) An example of a routed-QFN package; b) (right) Close-up image of a routed-QFN package. level packaging.

The required layer thickness is in the Flexible hybrid electronics and precise dispensing of die attach
tens of microns range—too thin for Endless opportunities for truly material. Under the umbrella of the
molding. For dispense and screen flexible and wearable electronics San Jose-based NextFlex consortium,
p r i n t i n g t e c h n o l o g y, t h e f e a t u r e that are conformable, lightweight a large number of equipment suppliers,
sizes are a challenge and they easily and low cost are driving a new trend material suppliers, electronic
contaminate the contact points due in electronics packaging: flexible manufacturing (EMS) companies,
to overfilling by dispense or contact hybrid electronics (FHE). In hybrid universities and research institutes are
w i t h a s c r e e n . I n k j e t t e c h n o l o g y, electronics, the advantages of printed teaming up to solve the challenges of
h o w e v e r, p r e c i s e l y p r i n t s s m a l l electronics and silicon technology are this next-generation electronics.
droplets of dielectric material in a combined. The weight and flexibility Adding up the opportunities in both
thin layer around the contacts and has of such very-thin FHE devices allows IC manufacturing and new fields like
no problems with the 3D topology them to be even worn directly on FHE, recent material developments and
of the lead frames. In Figure 5b the t h e b o d y, o p e n i n g u p a l l k i n d s o f advances such as those in print head
close-up picture demonstrates how applications in medical, consumer, and technology, we expect a broad increase
the inkjetted material creates a closed military spaces. Printed electronics in functional inkjet printing as an
layer, contamination-free contacts, offers low-cost, lightweight substrates additional manufacturing technology in
and nice fillets around the contact with (digitally) printed circuitry on semiconductor packaging.
point for reliable soldering. Inkjet- polyethylene terephthalate (PET)
printable solder mask offers the or polyethylene naphthalate (PEN) Biographies
right specifications in terms of layer foils. Although nowadays, complete Wo u t e r B r o k r e c e i v e d h i s M S c
thickness, resistivity and adequate transistors can also be printed and and PhD degrees in Applied
reliability in terms of adhesion and will appear in products for simple Physics at Eindhoven U. of
solder resistance. logic and amplification functionality, Technology in the Netherlands, and
As discussed above, creating silicon integrated circuits are still is Manager Innovations at Meyer
patterned dielectric layers is an the preferred solution when it comes B u rg e r ( N e t h e r l a n d s ) B . V. E m a i l :
important process in semiconductor to implementing digital intelligence. Wouter.Brok@meyerburger.com
packaging. Often, lithography and When applying bare and thinned dies Henk Goossens received MSc
photo-imageable materials are to the PET or PEN foil, a fully flexible degrees in Electrical Engineering and
used. Inkjet offers the possibility to piece of electronics is created. In this in Precision Engineering at Eindhoven
d i r e ct ly p r in t s u c h l a ye rs wi t h a l l cross-over area between IC packaging U. of Technology in the Netherlands
the benefits associated with additive and electronics assembly industries, and is Manager Marketing and
manufacturing. A field that offers great a number of proven packaging Business Development at Meyer Burger
opportunities is the application of the technologies are no longer applicable. (Netherlands) B.V.
outer repassivation layer on wafer-level For example, wire bonding on printed Klaus Ruhmer received his degree in
packages. This layer provides extra circuitry on a polymer foil meets huge Electronics and Telecommunications
protection to the die and mechanical d i ff i c u l t i e s – - t h e h i g h - t e m p e r a t u r e Technology at the HTL College in
support for the solder joints for board- ultrasonic welding process is simply Steyr, Austria. He is Head of Sales –
level reliability. Typical bump pitches not compatible with thin conductor Micro Nano Systems at Meyer Burger
are 500µms and smaller with bump pad layers on top of a plastic film. Also, Switzerland AG.
openings of 300µms and smaller. Such die bonding will have to be approached
structures can be printed directly using in a different way in order to prevent
polyimide or other polymeric dielectric breakage or overfilling of fragile thin
materials. Figure 6 shows a range of dies. Inkjet technology is offering great
patches with openings compatible with opportunities in this area—not only for
typical wafer-level package (WLP) digitally printing multi-layer circuitry,
solder ball dimensions. but also for printed interconnects

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 45


Chip over-test: are ICs tested too much?
By Dale Ohmart [Texas Instruments]

I t can be difficult to understand the


trends of test cost in the IC industry.
One common metric is to measure
the capital spent on test systems against the
machine failures are
a few examples of
special causes that
can cause defects
revenue produced by chip makers (Figure 1). to be introduced
When evaluated that way, it appears that there into some of the
is a long-term trend in the downward direction. manufactured units.
Over the last five years, this downward trend has 2. P a r a m e t r i c : M a n y
slowed significantly. As the average selling price terms are used to
of automatic test equipment (ATE) systems has describe these failures,
come down over the last several years, the cost but it all comes down to
of handling equipment and infrastructure has cases where the desired
grown to roughly 50% of test cell capital price. product characteristics
The total capital investment for test is currently cannot be consistently
around 2% of semiconductor revenue. achieved with
Industry growth over the next few years is t h e a v a i l a b l e Figure 1: Capital spent on test systems vs. revenue produced by chip
forecast to be in the industrial and automotive manufacturing process. makers. SOURCES: Gartner, iSuppli
markets. Industrial and automotive applications The part will generally
generally demand more complex and expensive function correctly, but
test flows. It seems safe to conclude that there will not meet some
will be upward pressure on test costs over expected performance
the next few years. Test professionals should characteristic. These
see this as a challenge. More specifically, the rejects are caused by
following issues should be considered: 1) How common causes.
can test cost reduction be accelerated?; and 2)
More testing, and consequently higher test cost, Common cause
is perceived as a path to better quality (e.g., (parametric) rejects are a
automotive, industrial applications). Is more test result of product requirements
the best way to achieve better quality? (specifications) that are less
than the distribution of the
IC manufacturing test and quality manufacturing process. Test
The primary purpose of testing ICs as part engineers will describe this
of the manufacturing process is to identify as a requirement to “truncate
defective units and remove them from the the distribution to meet spec.”
population of units delivered to customers. The In a normal distribution, if
test process is required due to the fact that IC the specification accepts +/-3
manufacturing produces a mix of units, some sigma of the manufacturing
that conform to the product specifications and distribution, then 0.27% Figure 2: Four outcomes of 100% inspection.
some that do not conform. Conforming units (2700DPPM) of the product
parameter on account of test measurement
will be called “good” units, and nonconforming will be nonconforming. If the specification
variability (Figure 2).
units will be called “rejects.” accepts +/-6 sigma, then 0.006% (60DPPM)
The number of reject units shipped is some
will be nonconforming.
fraction of the actual rejects manufactured. The
In IC manufacturing, rejects can When test is used to truncate the manufacturing
size of that fraction is related to the measurement
generally be classified as being produced in distribution this way, the result will be that
accuracy of the test. For this discussion, it is
one of two ways. some nonconforming product will be shipped.
sufficient to point out that for parameters with a
This is a result of the simple fact that all test
+/-6-sigma spec limit, the fraction is multiplied
1. Defects: Defects are inherent in measurements have uncertainty (i.e., common
by a 60DPPM population of nonconforming
the IC manufacturing process. The cause measurement variation). Any tested
units. For products with a +/-3-sigma spec limit,
geometries are very small. There product parameter that is close to the product
that same fraction is multiplied by a 2700DPPM
are a large number of process steps. spec limit will have some probability of
population of nonconforming units. Everything
Particle defects, setup errors, and resulting in an incorrect pass/fail result for that
else being equal, the 3-sigma spec limit

46 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


causes 45 times the probability of shipping a temperature, jam recovery errors, sort errors; this: avoid the “test every spec” trap in IC
nonconforming unit. 3) Device under test (DUT) interface-related: manufacturing test.
Note also that there is a result of good failed component, incorrect calibration,
units rejected. Test introduces scrap of good wrong component; and 4) Tester/handler Understanding test cost
units when test spec limits are set to truncate communication errors. Finally, where are the The effort to reduce the cost-of-test (CoT)
distributions. The typical response by the test customer returns from the common cause starts with understanding the underlying
industry to this loss is to reduce the Y-axis outcome “reject units shipped?” It seems that sources. Conceptually, test cost/unit is very
distribution by providing more accurate (and there is a low probability of such devices being simple. It boils down to the cost of owning
generally more expensive) instrumentation. returned. and running the test cell (often called cost-of-
Test achieves much better results in sorting A common approach to the “reject units ownership or CoO) divided by the number of
special causes of rejects. Special causes will shipped” issue is to test to tighter than spec units the test cell can produce. Looked at on an
produce units that are clearly distinguishable limits, by applying what are known as guard hourly basis, the following equation applies:
from the population. There is no requirement to bands to the test limits. This changes the
sort units based on performance that is very near relative size of the “reject units shipped” and CoO-per-Hour/PPH, where PPH is the tested
the specification limit. Many of these units will “good units rejected” categories, essentially parts per hour.
simply not operate at all. increasing the false yield reject losses to
In summary, IC testing will undoubtedly eliminate the performance quality escapes. This CoO is a function of the test equipment
pass rejects to customers in the case of is one possible reason that customer returns purchase price and the cost to operate the
truncated distributions or common causes of rarely include close-to-the-limit parametric equipment. Most of this cost is driven by the
nonconformance to spec. On the other hand, rejects. Another possibility is margin in the initial capital investment decision. Depreciation
special causes should always be detectable customer system. Well-designed systems have is fixed based on the original investment, of
by testing, so none of this type of unit should margin tolerance. It is possible that customer course. Facilities and repair and maintenance
escape to a customer. It is instructive to review manufacturing processes are not as sensitive to are also largely driven by the requirements of
actual manufacturing data on reject units functional units with small margin failures as the equipment purchased.
identified by customers. Figure 3 is an example they are to non-functional gross test escape-type The initial capital decision is critical
of customer identified units that did not conform (GTE) units. in managing CoO. This decision must be
to customer expectations. The categories of It is easy for a test engineer to move from the made with full knowledge of the facilities
failures identified by customers are all related logic of: 1) Sort out defective units, to 2) Verify requirements of the equipment, the reliability of
to manufacturing defects (special causes), not every unit shipped is good; to 3) Test every spec the equipment, and the required configuration
to product performance characteristics. If these on every unit. The data show consistently that for the target products.
types of defects are easy to detect, then why do the “test every spec” approach to manufacturing A common approach to managing CoO
customers see these types of rejects? test is not required, and in fact, leads to yield is to improve CoO per test socket. Recent
The answer to the above question lies in loss, as well as increased test time and cost. innovations in IC test have led to an explosion
recognizing that test itself is a manufacturing Returning to the question of the purpose in multi-site test. In the past, very high multi-
process. It has both common cause variation of manufacturing test and summarizing, site testing was largely confined to memory test.
and special cause variation. Common cause the following are key considerations: 1) In the current environment, it is being applied
variation in test results causes test pass/fail Managing test cost is a key requirement of to system-on-chip (SoC), microcontroller,
results to be grouped into the four outcomes all manufacturing test; 2) The objective of and analog devices with great effectiveness.
discussed above. Special cause variations manufacturing test is to sort out the defective The hourly CoO of the test cell goes up when
in test results are caused by errors in the units; 3) Appropriate test requires understanding supporting higher site counts, but the cost per
test manufacturing process. A test cell is a sources of variation in the product being tested test site can go down dramatically (Figure 4).
complex of tester, handling equipment, device and in the test process; and 4) Using test to The concept of CoO per test socket is
interface board (DIB), contactor, and some truncate distributions introduces test-related powerful, but the corollary is that it can
communication link between the tester and scrap (yield loss) and still allows some rejects lead to fragmentation of configurations
handler. Many manufacturing process errors to ship. Shipping rejects can only be avoided in a test factory in the attempt to achieve
are possible, a few examples are: 1) Tester by accepting greater test-related scrap of good maximum site count for many different
related: failed hardware, wrong test program, units due to use of guard bands. The conclusion products. This makes the challenge of good
test program error; 2) Handler related: wrong one comes to given the above discussion is initial capital decisions more difficult, but
even more important.
The benefit of spreading CoO across multiple
test sockets also provides the opportunity to
reduce the effective test time per unit (TTeff)
through performing parallel test of some
or all of the tests. This can be a somewhat
expensive strategy. It requires testers with more
instrumentation, handlers with more sites, and
DUT interface hardware of higher complexity.
It is necessary to balance the higher capital
investment and support costs against the benefit
Figure 3: Test customer complaint by build quarter.
of CoO/site and test time/unit reduction.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 47


The other approach that can be used to
reduce test cost is improving throughput, or
PPH. This is often considered equivalent to
reducing test time, or reducing test time/unit.
Test time/unit can be reduced by reducing test
time or increasing site count. Certainly, test time
reduction is a big factor in PPH improvement.
In its simplest form,

PPH = 3600sec-per-hr/test time-per-unit.

However, test time is not the whole story.


Figure 4: Final test cost-of-ownership (CoO) vs. multi-site. Note: y-axes is WeightedAverage This is especially true as test time approaches
0s. At low test time per unit, other factors, such
as handler index time and factory overhead,
begin to significantly limit PPH. A slightly
better, but still simple, model is

PPH = 3600sec-per-hr/(test time-per-


unit+index time-per-unit) * OEE

where OEE (overall equipment efficiency) is


a measure of the effective utilization of the test
cell (Figure 5). As test time falls below about
1.5s/unit, the predictions of output diverge
significantly.
Models are interesting, but it is always useful
to verify the model against real-world data. The
simple model above, using 60% OEE and 0.25s/
unit index time predicts a maximum output
Figure 5: Simple models of PPH vs. unit test time. around 5,000PPH to 6,000PPH. Recent data
using traditional test approaches (gravity and
pick-and-place handlers, maximizing multi-site,
and test time reduction) show that aggregate
factory performance does not quite match this
model. The divergence at low test time is quite
large (Figure 6).
It is clear that the traditional approaches
noted above have a point of diminishing
PPH returns for the effort and expense
involved in driving down the test time curve.
The typical factory performance maximizes
at around 3,600PPH. This is not precisely a
limitation of the equipment capability. The
equipment capability can be visualized by
tracing a curve along the top of the curve,
which reflects the best performing lots. This
Figure 6: Net PPH vs. test time (measured data by lot). view makes it clear that the equipment is
capable of achieving two to three times the
actual factory performance. It is when the
aggregate average performance is measured
that the productivity limitations become
apparent. A (not to scale) concept graphic of
the typical manufacturing test cycle helps to
explain what is happening (Figure 7).
The time shown in dark green is the actual
time the DUT is in the test socket being
evaluated by the tester. The time shown in light
green is the “index time” overhead of sorting
tested units, then inserting untested units into
Figure 7: A (not to scale) concept graphic of the typical manufacturing test cycle.

48 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


reduces yield while not necessarily improving
the quality of product shipped. The current
industry response to this trap is more accurate
instrumentation and higher multi-site counts.
The improved instrumentation tends to cost
more, which is more than balanced by the COO-
per-site reduction of higher multi-site counts
and lower test time/unit.
There is a point of diminishing returns on
lower test time/unit. At low test time, handler
limitations and factory operations begin to
limit the actual output of the test process.
The diminishing returns curve on traditional
handling equipment begins to come into play
Figure 8: Test time dependency of index time and OEE. Note: In this view, “Index time” means the total time to at about 0.5 seconds unit test time. Test time
cycle tested units out and insert untested units. This may be limited by handler input load time, handler soak time, reduction below that value will not provide
handler sort time, or other variables. significantly greater output. Improvements in
the test socket. The time shown in orange is the handling technology and factory operational
time when the handling equipment stops for an When considered this way, it is clear that as efficiency are required.
equipment-related reason, such as a jam, waiting Test Time/unit approaches 0, LotTestTime goes
for new parts, no more material to test, or other down. And as LotTestTime approaches 0, OEE Biography
stops during testing the lot. Finally, the time also approaches 0. Dale Ohmart received his BS degree in
shown in red is the factory overhead of moving Engineering Physics from the U. of Kansas
and is a Distinguished Member of the
from one lot to the next lot. The in-lot stops and Summary
the between-lot processing are grouped into an There is upward pressure on test costs as IC Technical Staff at Texas Instruments; email
overall OEE loss. customers demand higher quality. However, d-ohmart@ti.com
With the right tools, it is possible to measure achieving higher quality simply through
both index time and OEE performance on a additional testing is a trap that increases cost and
lot-by-lot basis. From the same aggregated
data used in Figure 6 is a view of the test time
dependency of index time and OEE (Figure 8).
The results shown in Figure 8 lead to a few
conclusions: 1) At higher multi-site counts,
index time goes up in a nonlinear fashion at
low test time per unit (<1s); 2) OEE never gets
above 50% (half the time, the test cell is waiting
to test units); and 3) OEE drops in a nonlinear
fashion at low test time per unit (<1s). Handling
equipment manufacturers can explain the
throughput limits of each handler type at low
test time. This explanation is generally available
in the documentation.
The OEE loss at short test time is significant,
and perhaps more difficult to understand. The
calculation used for OEE here is:

OEE = LotTestTime/AllTime

where
LotTestTime = (TestTime-per-unit +
IndexTime-per-unit) * UnitsTested and
AllTime can be thought of as
A l l Ti m e = L o t Te s t Ti m e +
AllManufacturingOverhead.

Then
OEE = LotTestTime/(LotTestTime+AllManu E-Tec Interconnect AG, Mr. Pablo Rodriguez, Lengnau Switzerland
facturingOverhead). Phone : +41 32 654 15 50, E-mail: p.rodriguez@e-tec.com
Consider that LotTestTime is
(Test Time/unit + Index Time/unit) *
Tested Units.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 49


MEMS sensor testing challenges and requirements
By Andreas Bursian [Xcerra]

I ndustry 4.0 and the Internet of


Things (IoT) may be the most
utilized expressions currently being
used to describe the change going
the rules of the 4th Industrial Revolution.
New technologies may be disruptive, e.g.,
replacing existing technology in a very short
period of time. More than that, there will be
products is no longer than one year. It can be
observed that the ramp-up and qualification
period of a new MEMS sensor can be down
to three months. A typical test setup for a
on in the world. But Industry 4.0 and IoT are new requirements for testing, which cannot MEMS device comprises a handler, tester,
small components of a rapid global change be achieved with test equipment that is test program, a stimulus, a contactor solution,
that experts tend to call the 4th Industrial available today. This disruptiveness may find and last, but not least, the integration of
Revolution. This revolution will change all its path into final test as well. everything. In a classical test environment,
aspects of today’s living, such as cash flow, Quality has always been an important the integrated device manufacturer (IDM)
data handling, job structure, and the political factor, but there is a trend to 0ppm test quality or outsourced semiconductor assembly and
and social structures of society and the requirements that have only been seen so test supplier (OSAT) would select all parts
industrial production of goods. far in automotive applications. There are a and drive the integration on site. There is a
Before answering the question of what the couple of reasons for this. On the consumer big risk that parts may not fit, or you may see
test requirements for MEMS sensor devices side, there is the pure cost factor and the interfacing problems due to the fact that every
will be in the future, you have to answer the fact that one bad device on a board can only piece was built by a different supplier. This
question of what our world will look like be detected on a system-level test. Once is unavoidable and you can account for it, if
in the future. Such a discussion can give a detected, the whole board will be scrapped, time margins are large enough. Besides timing
rough indication on how the semiconductor no repair is possible. For industrial, medical issues, incompatibilities produce costs that
industry has to evolve to keep pace with this and automotive applications, quality is usually do not appear in any planning.
revolution. Some aspects of MEMS sensors determined by safe operation. Many of A very convenient way for the customer
need to be examined first before you can the sensors are used to maintain lifesaving to overcome such issues is to push the
start to think about how the requirements for systems or systems for human interaction, integration part of the job out to the vendor. If
MEMS sensors will change in the future. where a fail function of a sensor can cause the vendor acts like the customer, there will be
If you take a look at today’s market size, serious injuries to human beings. no big improvement on the whole process. If
the annual volume of MEMS sensors can be The market for MEMS sensors is the vendor, however, can supply all parts from
roughly estimated to be 14 billion devices per becoming more and more volatile and its own portfolio, the process becomes lean
year. Some forecasts have the annual growth disruptive. Large global manufacturers in the and safe. The vendor typically can build and
rate estimated to be up to 20% until 2020. sensor market acquire smaller companies, integrate the whole system in its factory. Once
This would translate to 30 billion MEMS or mergers create new super companies the vendor produces all parts and sub-systems
sensor devices. Scientists, however, expect, with new portfolios. Even larger companies on its own, there is a seamless communication
that the number of connected devices will disappear or give up on MEMS product. and knowledge of every little piece under
go up to 1 trillion in 2025, which suggests Demanding product ramps require a fast time- the umbrella of one company. In such an
an even larger growth rate than we are to-market and the ability to ramp production environment, the customer’s duty is limited
experiencing today. Whichever projections from zero to ultra-high volumes, maintaining to a requirement list. Pre-qualification can be
are proven out, they tell us that we will see this volume for a couple of months, and then done at the supplier and final qualification
a large trend in smaller and cheaper devices. running the same cycle for different product can be done on site. The customer saves
This trend in turn will lead to advanced with potential different test requirements. cost in terms of work that has to be done in
packaging and production technologies that Suppliers that cannot keep track with the engineering and development and can focus
will enable the industry to stay on trend. demanding market requirements may on the core competency, which is production.
Taking a look at sensor functions today, we disappear in a very short period of time.
Following this model, there is a huge cost
see a large number of inertial sensors being
saving potential in supply chain management
used in mobile applications. The market is MEMS test equipment requirements and spare parts management.
saturated and the main goal is to make these Keeping the above outlook on the MEMS Test parallelism is a key factor for volume
sensors cheaper and less power consuming. sensor market in the 4th Industrial Revolution production, ramp capability and cost-of-test.
Nevertheless, there is a growth potential, in mind, there are a few key factors that There are two very specific constraints for
because the mobile market is still growing MEMS test equipment has to fulfill: 1) fast MEMS sensor testing: 1) The major fraction
and the lifetime of mobile devices is limited. time-to-production; 2) highly parallel; and 3) of these devices are being tested after saw and
New trends for MEMS sensors can be modular, scalable, and easily convertible. packaging. The silicon sensors are extremely
observed in environmental sensing, such Fast time-to-production has become a sensitive to mechanical force, which can
as barometric pressure, humidity, gas and key factor over the past several years with influence the calibration of the sensors. And
sound. Sensor abilities and technology are consumer applications having been the main
driven by an army of engineers and obey 2) The test times are short, but the calibration
driver. The product cycle for consumer

50 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


process usually lasts for seconds. Supplying changing production requirements in terms and living standard for billions of people,
the stimulus at a high accurate level may also of volume and MEMS stimulus by a resource but it will also make our lives more volatile,
significantly contribute to the process time. management of existing production resources. demanding more flexibility from everybody.
The ability to test singulated devices in Additional investment is limited to new or The 4th Industrial Revolution with Industry
a highly parallel manner is the basis for improved MEMS stimuli. 4.0 and IoT will also dramatically change the
running in high-volume with a reasonable There is another aspect of scalability MEMS sensor testing requirements. A static
amount of equipment. Test parallelism of 144 that is often not considered. Coming out of production environment cannot fulfill the
devices under test (DUTs) is widespread in standard singulated test handling, loading requirements of the MEMS sensor market.
the market, going up to by 256 DUTs. Such of devices, testing and unloading of devices The production process will have to provide
equipment can run millions of devices per are part of one single handling system. flexible answers to the marked requirements.
month and enable ramp ups from engineering This is the classic pick-and-place (P&P), or Traditional test handling systems may not
to production lost within days. gravity handler. Taking a look at the large be able to provide the required solutions.
Systems that can test millions of devices and rapidly changing number of device New MEMS sensor handling systems need
per month used to be dedicated in the early types, the pure handling process becomes to provide good ramp capability, high test
days of the semiconductor industry. They challenging. Devices become smaller and parallelism and modularity to be able to react
were built to run one product for one life smaller, not being able to be handled on a flexibly to these market requirements. We
cycle, which had been acceptable because the gravity system. Chip-scale devices finding have described a number of paths on how such
depreciation period was shorter than the life their way into MEMS applications may even test systems can look and which preconditions
cycle. This is no longer acceptable because exclude P&P handlers as appropriate handling have to be fulfilled to be successful.
MEMS test systems have to be able to test systems. Every jam that occurs in such a
many different generations of sensor types. combined handling system will impact the Biography
The nature of such systems is the ability to be load of the tester and the output of the system. Andreas Bursian received his Masters
converted easily. This goes beyond the simple Furthermore, long test times may cause the degree in Electrical Engineering at the U. of
change of some mechanical parts, but requires loading and unloading areas of these handlers Applied Sciences and Arts, Dortmund and is
the ability of the base system to handle a to be idle. Therefore, it can be an advantage a Director of InStrip and InMEMS products
large variety of different package types and to separate loading and unloading from the at Xcerra. He started his career as a pioneer
sizes. Starting with classical molded devices, test process. If, for example, the test process is in SPICE and FEM simulation; email
going to automotive packages, metal cap, very long, one loading and unloading unit can andreas.bursian@xcerra.com
and finally, chip-scale packaged devices, all serve more than one
package types have to be handled in a reliable test unit or vice versa.
way, guaranteeing the highest QA standards Such an arrangement
and fulfilling all relevant safety standards. makes best use of
The discussion above aside, not only the handling system
packages are changing. Stimuli change units and enables
completely or develop over time. A good the customer to
example is the environmental combo add capacity to its
sensor that started with the requirement for fleet of handlers by
obtaining a highly accurate temperature. The adding the required
requirements for barometric pressure, relative units only, instead
humidity and gas tests were added step-by- of adding systems,
step, now being able to provide only one port thereby delivering
hole for four different kinds of sensing. So it the entire loading and
can be seen that just as packaging technologies testing capability.
develop, so must test requirements (i.e., Such a production
environment can
stimuli). Being modular means that handling
be optimized by
and MEMS testing must be separated, so that
planning and needs
you can keep the handler as a base system
less investment once
and exchange the MEMS module if required.
it is established.
The same is true for the tester: it has to be
The 4th Industrial
flexible to support all MEMS applications.
Revolution will
Having this flexibility in place, it is possible
dramatically change
to assign test cells to special production
our lives. The
processes that can be limited to a short period
changes take place
of time and may change in test requirements.
everywhere and will
The modularity enables the customer to
affect our private
keep most of the equipment and adjust the
lives as well as our
test cell to the new requirements by simply professional lives.
using a new conversion kit and, if required, It will make our
exchanging the MEMS test module. After an lives better, it will
initial investment, the customer can react to increase the health

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 51


INDUSTRY EVENTS
Packaging news from SEMICON Korea
By Steffen Kroehnert [NANIUM S.A]

T he biggest event for the


se m i c o n d u c to r in d u s try in
Korea — SEMICON Korea —
celebrated its 30th anniversary
this year. The event took place February
to existing packaging processes and the
ability to package system-in-packages
(SIPs).” As the first invited speaker of
this session (“Where Is the Destination
o f t h e P a c k a g i n g Te c h n o l o g y ” ) , D r.
Research, said that in terms of FOWLP, it
is all about manufacturing capacity. The
technology is available and mature, and
he observed that embedded multi-chip
fan-out modules with optimized system
8-10, 2017, at COEX in Seoul. It featured Choon Heung Lee, Global CTO of Lam design will be the future.
approximately 600 exhibiting companies
and 40,000 attendees along with
keynote speeches, the SEMI Technology
Symposium (STS), Test Forum, Market
Seminar, Smart Manufacturing Forum,
System LSI Forum, Metrology Inspection
Forum, and the Supplier Search Program.
In his keynote address, Sungjoo
Hong, Executive VP and Head of R&D
at SK hynix, talked about the increasing
difficulties of scaling, and the alternative
technologies developed to manage the
move from “happy scaling” to “hard
scaling.” Luc Van den hove, President &
CEO of imec, said, “Moore’s legacy will
be the heartbeat of the semiconductor
industry for many more decades, enabled
by 3D constructions with nanowire as
vertical interconnects inside the die, but
also by heterogeneous integration and
fan-out wafer-level packaging (FOWLP).”
Jan Vardaman, Founder and CEO of Luc Van den hove, President & CEO of imec
TechSearch International, said in her
Market Briefing presentation (“The Future
of Fan-Out Wafer-Level Packaging”),
that by removing the substrate from
the package, we are facing a new level
of chip-package interaction (CPI)
challenges, and need to factor this in for
next technology nodes.
Session 6 of the STS – with its theme
“Electropackage System and Interconnect
Product” – has been the most interesting
with respect to packaging. The organizing
committee of that session noted that,
“As customers demand new electronic
devices and performance enhancement,
high-tech technology is required for
package processes, which had been
treated as simple manufacturing in the
past. In the meantime, advanced wafer-
level packaging is attracting attention
as a future technology. This is due to
its high cost competitiveness compared
SEMICON Korea Exposition Hall

52 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


22nd Annual SMTA Pan Pacific
Microelectronics Symposium
By Tanya Martin [SMTA]

T he 2017 SMTA Pan Pacific


Symposium (held at Sheraton
Kauai Resort in Koloa, HI,
USA) included over 50
presentations on new technology trends
presentations by three respected
experts. Dwight Howard (Delphi)
presented Rapid Electronics Design
with Advanced Tools and Optimized
Workflow. Matthew Hudes (bdlBiologx)
Fan-Out Packaging. An expert panel
discussion on Ionic Test Methods closed
out the day on Tuesday. Chuck Bauer,
PhD, (TechLead Corp.) moderated the
panel featuring technical experts from
in the electronics manufacturing industry. spoke on the topic of Fostering Celestica Inc., Foresite Inc., Indium
The intimate setting of the symposium Innovation in Digital Health. Horatio Corporation, and KYZEN Corporation.
provided ample opportunity for attendees Quinones, PhD, (FDCS) presented The program featured two keynote
to make quality professional connections Intelligent Manufacturing Automation. speakers, Kyung Paik, PhD (KAIST)
during a variety of social activities The technical sessions and panel and Thomas Brunschwiler, PhD, (IBM
such as the golf tournament, welcome focused on topics including Materials Research – Zurich). On Tuesday, February
reception, and private luau. & Reliability, Nanotechnology, Design 7, Dr. Paik gave an interesting keynote
The four-day event kicked off & Manufacturing Strategies, Packaging presentation on “Fabric-Based Fine
M o n d a y, F e b r u a r y 6 , 2 0 1 7 w i t h a Solutions, Advanced Packaging, Pitch Interconnect Technology Using
Plenary Session that included insightful Roadmaps, Test, and Embedding & Anisotropic Conductive Films (ACFs).”

2017

14th International Wafer-Level Packaging Conference www.iwlpc.com


Call for Participation
The International Wafer-Level Packaging Conference Technical Commitee
invites you to submit an abstract for presentations, posters and workshops.
Abstracts Deadline: April 10, 2017
*Technical papers are required*
Submit online www.iwlpc.com

Suggested Topics to Submit


Wafer-Level Packaging
3D Packaging Integration
Advanced Integrated Systems and Devices
Advanced Wafer-Level Manufacturing and Test
October 24-26, 2017
DoubleTree by Hilton San Jose, CA
Contact Jenny Ng at jenny@smta.org or 952-920-7682
Chip Scale Review March • April • 2017 [ChipScaleReview.com] 53
Steering Committee and Session Chairs

3D heterogeneous integration in his The 2018 symposium will be held


Wednesday morning keynote presentation February 5-8 at the Hapuna Beach Prince
on "Multi-Functional Packaging Resort on the Big Island of Hawaii.
Technologies Supporting Performance Abstracts can be submitted online at
and Efficiency Scaling Beyond Exa- www.smta.org/panpac/call_for_papers.
Scale Systems." He touched on nanotech cfm. For more information on the Pan
Kyung Paik, PhD (KAIST) applications for assembly, orthogonal Pacific Microelectronics Symposium,
The presentation outlined a major scaling and neuromorphic computing in contact Tanya Martin at 952-920-7682 or
technological advancement in the area achieving true artificial intelligence and tanya@smta.org.
of flexible wearable electronics. Dr. big data handling capabilities for real
Brunschwiler shared his expertise in world applications.

ECTC preview
By Mark Poliks [Binghamton University]

I EEE’s 67th Electronic Components


and Technology Conference (ECTC)
will be held at the Walt Disney World
Swan & Dolphin Resort, Lake Buena
Vista, Florida, from May 30 to June 2, 2017.
and interaction with authors about their work.
Authors from 22 countries are expected to be
presenters.
The ECTC will also feature panel and
special sessions with industry experts
This premier international annual conference, covering a number of important and
sponsored by the IEEE Components,
Packaging and Manufacturing Technology
(CPMT) Society, brings together key
stakeholders of the global microelectronic
packaging industry, such as semiconductor
companies, foundry and OSAT service 67th ECTC Location: Walt Disney World Swan and
providers, equipment manufacturers, Dolphin Resort at Lake Buena Vista, Florida.
material suppliers, research institutions and
key topics such as flip-chip packaging, 3D/
universities, all under one roof. More than
TSV technologies, wafer-level packaging, 67th ECTC ExComm (from left to right): Christopher
1,400 people attended the 66th ECTC in Las
design for RF performance and signal/power Bower, Assistant Program Chair, X-Celeprint Inc.;
Vegas, Nevada, in May 2016. Mark Poliks, Program Chair, Binghamton University;
integrity, thermal and mechanical modeling,
At this year’s ECTC, more than 360 Henning Braunisch, General Chair, Intel Corporation;
optoelectronics packaging, and materials
technical papers are scheduled to be Sam Karikalan, Vice-General Chair, Broadcom Limited.
and reliability. Interactive presentation
presented in 36 oral sessions and five
sessions will showcase papers in a format
interactive presentation sessions. The oral emerging topic areas. On Tuesday, May
that encourages more in-depth discussion
sessions will feature selected papers on 30 at 10:00AM, Vikas Gupta and Pradeep

54 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


by Kitty Pearsall. The PDCs will take place packaging and services fields. ECTC also
on Tuesday, May 30th, and are taught by offers attendees numerous opportunities for
distinguished experts in their respective networking and discussion with colleagues
fields. The Technology Corner will showcase during coffee breaks, daily luncheons, and
the latest technologies and products offered nightly receptions. Don’t miss out on the
by more than 100 leading companies in industry’s premier event!
the electronic components, materials, Go to www.ectc.net for more information.

PACKAGING RESEARCH CENTER


Materials and Process Subcommittee: one of
GEORGIA TECH LAUNCHES
ten subcommittees at the ECTC Dallas Abstract INDUSTRY CONSORTIUM IN NEW ERA
Selection meeting. OF SELF-DRIVING AND ELECTRIC CARS
Lall will chair a session on “Material and
Package Reliability Needs/Challenges for
Harsh Environments.” Then at 2:00PM, Bing NEW ERA OF SELF-DRIVING AND ELECTRIC CARS
Dang will chair a panel session on “Flexible
Hybrid Electronics – Electronics Outside the New Era of Self-driving and Electric (NESE) car technologies
Box,” where a panel of experts will discuss is expected to account for about a third of the total cost
how innovation in device integration and of each car, about $10,000, potentially creating a market
packaging are adapted to the shape of the of $1T within a decade. Such an emerging industry
human body and vehicles. Tuesday evening requires many new IC, package and 3D architectures
will also include the ECTC Panel Session at in computing and communications for self-driving
7:30PM on “Panel Fan-Out Manufacturing: sensor technologies such as RADAR, LiDAR and camera,
and high-power and high-temperature technologies for all-
Why, When, and How?” chaired by CPMT
electric cars.
President Jean Trewhella and Young Gon
Kim. The ECTC Luncheon Keynote Speaker The Southeast USA, with Atlanta as a global hub for European, Japanese, Korean
on Wednesday will be Babak Sabi, Corporate and US car and Tier 1 and 2 companies, presents Georgia Tech, as the top-tier
Vice President and Director of Assembly university in this region, with a unique opportunity to contribute to the NESE.
and Test Technology Development, Intel The challenges in addressing these needs are more complex than any electronic
Corporation. His talk is entitled “Advanced product to date and include both hardware and software.
Packaging Opportunities and Challenges.” Georgia Tech is launching the NESE industry consortium with focus on:
The CPMT Women’s Panel chaired by Device and package technologies leading to highly integrated, miniaturized,
Kitty Pearsall on Wednesday, May 31, at low cost and highly-reliable autonomous and all-electric automotive systems
6:30PM will discuss “Emotional Intelligence Partnership with Tier 1 and Tier 2 global supply chain companies for R&D
(EI) – Link to Successful Leadership.” Also in integrated components and with car makers to develop road maps, supply
on Wednesday at 7:30PM, Luke England chain management and standards
will chair the ECTC Plenary Session Education of large number of highly-interdisciplinary engineers who are well
entitled “Packaging for Autonomous Vehicle prepared for the NESE industry.
Electronics;” the session will feature key
Georgia Tech has world-class faculty expertise and R&D and prototype
technologists sharing their views on the infrastructure for the most leading-edge:
electronics challenges needed to support
Devices such as SiGe, GaN, photonic, and image sensors
widespread implementation of driver-less
vehicles on the road. On Thursday, June 1 at Highly integrated, high-performance, high-temperature glass-based
8:00PM, the CPMT Seminar “3D Printing packaging; 2.5D and 3D glass interposers; 5G and 3D glass photonics; high-
power and high-temperature materials; cameras, RADAR and LiDAR.
Tools, Technologies and Applications,” will
be moderated by Venkatesh Sundaram and For more information, contact Center Director, rao.tummala@ece.gatech.edu
Yasumitsu Orii.
Supplementing the technical program, Georgia Institute of Technology
ECTC also offers several Professional Caption
3D Systems for photos
Packaging Research Center
Development Courses (PDCs) and below
813 Ferst Drive, NW
Technology Corner exhibits. Co-located Atlanta, GA 30332 USA
with the IEEE ITherm Conference this +1 404 894-9097
www.prc.gatech.edu
year, the 67th ECTC will offer 18 PDCs,
organized by the PDC Committee chaired

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 55


INDUSTRY NEWS
consume less power and deliver higher
levels of functionality.
Founded in 1990, Tessera originally
Te s s e r a H o l d i n g C o r p o r a t i o n focused on the research and development of
announced name change to Xperi semiconductor packaging technology and
Corporation has been a leader in innovating and licensing GE Ventures and Samsung Electro-
Tessera Holding Corporation (Nasdaq: technology and intellectual property. Tessera's Mechanics (SEMCO) recently
TSRA) announced that it changed its name to customers include many of the world's announced a multi-year, worldwide
Xperi Corporation ("Xperi") and its Nasdaq leading semiconductor, smartphone and patent license agreement
ticker symbol to XPER, effective February digital imaging manufacturers. With this partnership, SEMCO will
23. The change also included a new corporate license GE's microelectronics packaging
logo and brand platform to reflect the patent portfolio, covering the fabrication of
company's expanded capabilities, continued substrates embedded with electronic circuits.
technological innovation and refined vision. Developed by GE Global Research and
Xperi and its wholly owned subsidiaries, Smiths Interconnect launches brand Imbera Electronics Oy (now GE Embedded
DTS, FotoNation, Invensas and Tessera, transition to simplify customer Electronics Oy) as part of a major GE focus
will continue to create and deliver audio and in power electronics research over the last
access to technologies decade, the patent portfolio is of particular
broadcast solutions, computational imaging Smiths Interconnect, a division of
technology, semiconductor packaging, and value for high-performance communication
Smiths Group plc, recently announced
intellectual property licensing. and mobility products.
it is unifying its technology brands of
"Changing our name to Xperi is an “GE is extremely pleased that SEMCO
EMC Technology, Hypertac, IDI, Lorch,
incredible moment in our history," said Tom has recognized the significance of GE’s IP
Millitech, RF Labs, Sabritec, TECOM,
Lacey, CEO of Tessera Holding Corporation. in this space,” said Lawrence Davis, VP
and TRAK under the single brand identity
"Xperi represents the combination of DTS, and MicroElectronics Packaging Program
of “Smiths Interconnect.”
FotoNation, Invensas and Tessera - world-class Director at GE Ventures. “As the demand
According to the company, the brand
companies dedicated to creating solutions that for increased power efficiency and higher
transition supports a recent strategic
enable extraordinary experiences for people performance in mobility products continues
reorganization focused on creating a
around the world. Our new logo and brand to expand, GE is positioned to be a strong
more agile structure that can better
identity convey the unlimited possibilities partner for embedded electronics technology
anticipate and respond to customers’
of what our team of approximately 700 in the power and consumer electronics space.
e v o l v i n g n e e d s . I n d i v i d u a l l y, t h e
employees can create to truly impact the GE Ventures accelerates innovation and
technology brands represent state-of-
human experience. We are constantly inspired growth for partners by providing access to
the-art solutions across the connectors,
by how people use our technologies in their GE technologies through licensing and joint
microwave components and microwave
lives, and that drives us to continue generating development partnerships. This advanced
subsystems markets. Providing a strong
ideas and innovation. We cannot wait to show microelectronics packaging technology
umbrella brand that supports the breadth
the world what's next." is being licensed to leading global
of these products and technologies
Since 1993, DTS has been dedicated manufacturing partners to provide advanced
will make Smiths Interconnect a more
to making the world sound better. solutions to businesses worldwide.”
comprehensive solutions provider,
Through its audio solutions for improving the customer experience by
mobile devices, home theater systems, streamlining access and interactions
cinema, automotive and beyond, DTS across multiple applications.
provides immersive and engaging audio “Over time, interactions among our
experiences to listeners everywhere. brands have increased across many of our
FotoNation, founded in 1997, provides markets,” said Roland Carter, President A m k o r Te c h n o l o g y t o a c q u i r e
computational imaging and computer vision of Smiths Interconnect. “Aligning all this NANIUM S.A.
solutions. Its technologies and solutions activity under the Smiths Interconnect name Amkor Technology, Inc. (Nasdaq:
enhance the digital imaging capabilities in will make us a more streamlined partner, AMKR) and NANIUM S.A. have
billions of smartphones, digital cameras, enhancing our customers’ access to the announced that they have entered into
drones, activity cameras, tablets, surveillance combined strength of our products, expertise a definitive agreement for Amkor
systems, access control systems and more. and application knowledge.” to acquire NANIUM. Terms of the
Since its founding in 2011, Invensas has The individual technology brands will transaction were not disclosed at the
been a provider of advanced semiconductor continue to be visible in association with time of the announcement.
packaging and interconnect technologies the Smiths Interconnect brand during the According to Amkor, the acquisition
that enable the next-generation of transition period. of NANIUM will strengthen its
electronics products to be smaller, faster, position in the fast growing market of

56 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


wafer-level packaging for smartphones, based piezoelectric Micromachined U n i t o f G F. “ T h i s i s p a r t i c u l a r l y
tablets and other applications. Ultrasonic Transducers (pMUT). As notable as we broaden the relationship
NANIUM has developed a high- a result of the close technological to now include InvenSense’s ultrasonic
yielding, reliable WLFO technology, collaboration between InvenSense fingerprint and other process
and has successfully ramped that and GF, InvenSense’s CMOS-MEMS technologies.”
technology to high-volume production. Platform can now be extended to
NANIUM has shipped nearly one pMUT devices and enable a biometric
billion WLFO packages to date utilizing authentication solution for mobile and
a state-of-the-art 300mm wafer-level IoT products.
packaging (WLP) production line. Mobile OEMs are looking for highly Imec and EVG demonstrate for
"This strategic acquisition will durable, button-free solutions that
the first time 1.8µm pitch overlay
enhance Amkor's position as one of require fingerprint sensors to be placed
the leading providers of WLP and behind the cover glass or under metal
accuracy for wafer bonding
At the 2017 European 3D Summit in
WLFO packaging solutions," said on the back of the phone. Capacitive
Grenoble (France, Jan 23-25) imec and
Steve Kelley, Amkor's President and sensors, incapable of sensing through
EV Group (EVG) announced an extension
Chief Executive Officer. "Building on metal, can only sense through roughly
to their collaboration, achieving excellent
NANIUM's proven technologies, we 0.3mm of glass, which creates
wafer-to-wafer overlay accuracy results
can expand the manufacturing scale d u r a b i l i t y c o n c e r n s . I n v e n S e n s e ’s
in both hybrid bonding and dielectric
and broaden the customer base for this UltraPrint technology enables the use
bonding. Expanding this collaboration,
technology." of thicker glass or metal materials
EVG will become a partner in imec’s
"The Amkor transaction is a great fit without compromising biometric
3D integration program through a joint
for us and provides NANIUM and its authentication performance. Moreover,
development agreement to further
employees with a strong platform for the technology enhances fingerprint
improve overlay accuracy in wafer-to-
future growth," said Armando Tavares, imaging, enabling the reader to scan
wafer bonding.
President of NANIUM's Executive even when the user ’s skin contains
Wafer-to-wafer bonding is a promising
Board. "Amkor's technology leadership, common contaminants such as
technique for enabling high-density
substantial resources and global oils, lotion, or perspiration. These
integration of future ICs through three-
presence coupled with NANIUM's best- critical factors combined with GF's
dimensional (3D) integration. This is
in-class WLFO packaging solutions aluminum-nitride-based manufacturing
achieved by aligning top and bottom
will accelerate global acceptance and technology ensure consistent quality
wafers that are then bonded, thereby
growth of this technology worldwide." for higher-performance devices
creating a stacked IC. An important
NANIUM is based in Porto, Portugal, and can be extended to a secure
advantage is that wafers/ICs with different
employs approximately 550 people and identification for smartphones, home
technologies can be stacked, e.g., memory
had annual sales of approximately $40 automation, payment or health-related
and processor ICs.
million for its fiscal year that ended interactions with wearables.
The companies provided additional
September 30, 2016. The transaction “We are pleased to have collaborated
background information about their
is expected to close in the first quarter closely with GF on the proprietary
work with the news release. Many of
of 2017, subject to customary closing InvenSense CMOS-MEMS platform
the alignment techniques and bonding
conditions and regulatory approvals. (ICMP),” said Mo Maghsoudnia,
methods for 3D integration have evolved
V P o f Te c h n o l o g y a n d Wo r l d w i d e
from microelectromechanical system
Manufacturing at InvenSense. “This
(MEMS) fabrication methods. The
close technology collaboration
fundamental difference between MEMS
has enabled us to advance the
and 3D integration is that the alignment
InvenSense and manufacturing of ultrasonic imaging
or overlay accuracy has to be improved
technology, resulting in production of
GLOBALFOUNDRIES collaborate by 5–10 times. Accurate overlay is needed
our fingerprint authentication solution
on ultrasonic fingerprint imaging for a myriad of applications. We look
to align the bonding pads of the stacked
technology forward to expanding our collaboration
wafers and it is essential to achieving a
InvenSense, Inc. (NYSE: INVN), high yield with wafer-to-wafer bonding.
into multiple pMUT devices and the
and GLOBALFOUNDRIES have Imec and EVG released results they
delivery of best-in-class products to
announced their collaboration on achieved with respect to overlay accuracy.
our customers.”
an ultrasonic fingerprint imaging First, the hybrid (via-middle) wafer-to-
“ I n v e n S e n s e ’s e n t r y i n t o
technology for InvenSense UltraPrint wafer bonding technique was improved by
pMUT provides testimony to
Ultrasound Fingerprint Touch Sensor using EVG’s bonding system that resulted
o u r d i ff e r e n t i a t e d c a p a b i l i t i e s o n
Solution. InvenSense and GF are in a high-yield and a 1.8µm pitch (see
aluminum nitride-based piezoelectric
enabling, for the first time, commercial Figure 1), which is significantly better
MEMS fabrication technology,” said
manufacturing of aluminum nitride- compared to recently published results at
Gregg Bartlett, SVP, CMOS Business

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 57


smartphone manufacturers and designers
effectively manage heat dissipation in
their phones. Honeywell pointed out that
the worldwide smartphone market is
expected to reach more than 1.9 billion
CORWIL Technology invests in units by 2020, according to a study from
portable clean environment for IDC Research. In addition, data needs are
wafer sort growing at unprecedented rates. To meet
Figure 1: Results of the hybrid (via-middle) wafer-
To satisfy demand for ultra-clean this challenge, the smartphone industry
to-wafer bonding achieved by EVG and imec’s
environments for wafer sort, CORWIL is leveraging technology that enables
collaboration achieving a 1.8µm pitch. phones to provide optimal processing
Technology (CORWIL) has added a
recognized conferences such as ECTC and Portable Clean Environment for wafer performance without overheating.
3DIC reporting 3.6µm pad size. sort that is good to Class 1000. This Honeywell’s TIM technology is based
Second, the dielectric (via-last) wafer- is a one-stop solution from wafer sort, on phase change materials (PCMs). The
to-wafer bonding technique was tackled by die prep, assembly, package test and technology transfers thermal energy
the collaborators. This technique requires reliability that provides the ability to from phone chips to a heat sink or
extremely good overlay accuracy to align understand how different pieces of the spreader, where it is dissipated into
the copper pads from both wafers, which backend process affect each other in the surrounding environment. This
are then contacted by through-silicon vias terms of yield. functionality keeps the chips cool, so
(TSVs). In this case, 300nm overlay across the phone can perform reliably even
the wafer was achieved. during the most data-intense processes
“By joining forces, we achieved these or during heat spikes. According to
excellent results on overlay accuracy,” the company, Honeywell’s solution is
explained Eric Beyne, Fellow at imec. available worldwide and is already being
“We are excited that we can expand used by some of the largest smartphone
our collaboration with EVG with a JDP makers to upgrade the thermal designs
and the installation of EVG’s GEMINI of its latest phone models.
FB XT wafer bonder in our cleanroom. “ H o n e y w e l l ’s i n n o v a t i v e T I M
The GEMINI FB XT has the potential technology provides customers with
to further reduce the wafer-to-wafer the ideal solution to optimize their
overlay errors and therefore allow for phones’ performances,” said Olivier
the development of sub-micron wafer-to- Joe Foerstel, VP of Test for CORWIL Biebuyck, VP and GM of Honeywell
wafer interconnects technologies.” said, “Customers have found that Electronic Materials. “As demand for
“Further improving the overlay wafer sort in a very clean environment smartphones grows around the world,
accuracy for wafer-to-wafer bonding improves yield, especially when these breakthrough designs help provide
into the sub-200nm range requires using certain RF probe technologies optimal user experience throughout the
optimization of the interaction between or probing devices with sensitive entire lifecycle of their devices.”
the wafer bonding tool and processes surface structures, particularly for our The company’s PCM series of thermal
as well as pre-and post-processing and customers in the communications and management materials are based on
the wafer material,” noted Markus medical industries.” sophisticated phase-change chemistry
Wimplinger, Corporate Technology “We have seen dramatic improvement and advanced filler technology that
Development & IP Director at EVG. “We of yields at Second Optical when was developed specifically for high-
are excited to partner with imec in an customer’s wafers arrive from a cleaner performing electronic devices. TIM
effort to advance overlay accuracies for environment, especially when back products are des igned to op timize
wafer-to-wafer bonding to meet the needs grind is one of the steps in the process,” thermal impedance across the entire
of future 3D IC designs that rely on high a d d e d J o n n y C o r r a o , C O RW I L’s thermal path, providing an end-to-
density interconnects” Director of Die Prep. end solution for best-in-class thermal
Imec’s 3D integration program explores performance. The PCM design can
technology options to define innovative be customized to fit diverse product
solutions for cost-effective realization applications and end uses.
of 3D interconnect with TSVs. Imec’s
3D integration processes are completely Honeywell technology: keeping
executed on 300mm. Imec also explores
smartphones cool
3D design to propose methodologies for
Honeywell (NYSE: HON) has
critical design issues, enabling effective use
announced the availability of a thermal
of 3D interconnection at the system level.
interface materials (TIM) solution to help

58 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


Glass-based SiP solutions for
high-performance/high-frequency RF filters
By Jeb Flemming, Roger Cook, Tim Mezel, Kyle McWethy [3D Glass Solutions]

H igh-Q RF filters in a small


cost-effective form factor are a
key enabler for today’s mobile
electronic devices. For applications above
3GHz, traditional RF filter materials such as
piezoelectrics and ceramics fail to provide
the necessary performance metrics to enable
compact, low-power devices. Therefore,
new materials are being pursued to meet the
market demand for the production of smaller Figure 1: APEX® glass processing steps.
high-performance integrated passive device
Furthermore, these IPDs can also be In recent years, PSGs, with their unique
(IPD) RF filters including bandpass filters,
directly integrated into higher functioning 3D structuring ability, have been explored
diplexers, and duplexers among others.
RF systems-in-package (SiP) devices, for a variety of technical applications [7]
Due to the ever-increasing demand
leading to smaller packaging, lower power including microfluidics, optoelectronics,
for wireless data access and mobile
consumption, and increased bandwidth. and more recently, led by 3D Glass
devices performance, the FCC has
In this article we will present an overview Solutions, RF IPDs and systems-in-
recently designated three new frequency
of PSGs for the electronics packaging packages (SiPs). The primary PSG that we
bands for 5G applications: 4.9-5.8GHz,
industry with a focus on the integration of use is APEX® Glass.
27.5-29.5GHz, and 37-40GHz [1]. At
integrated passive devices (IPDs) for the
these frequencies, acceptable material
choices are reduced further, and by
RF industry. We will present on our recent Process approach
advances in the monolithic integration of The manufacturing of 3D structures in
default, impose stricter requirements
high-Q inductors and capacitors and several PSGs is accomplished through a patented
on manufacturing options to meet the
IPDs that have target performance up to 3-step manufacturing process. The first
required performance.
20GHz. We will present both modeling and step in processing an IPD wafer is to
Glass has been touted as a very good
measurement data for several devices. expose the glass using a lithography
substrate for RF applications including
5G frequencies by a number of authors, mask to create through-glass features
including multiple articles from the Georgia What are photosensitive glasses? (e.g., through-glass vias (TGVs) and
Tech Packaging Research Center [2-5], Photosensitive glass ceramics (PSGs) through-glass capacitor plates). This
which has done extensive research on glass were first identified and explored in the is accomplished using a chrome-on-
substrates for electronic applications. The 1950s and were initially discovered by glass mask placed directly onto the glass
main attributes of using glass for an RF Dr. Stanley Donald Stookey at Corning. wafer, without photoresist, and exposed
substrate are: 1) better material properties Corning first commercialized photosensitive to 310nm UV light (Figure 1a). During
at RF frequencies; 2) decreased surface glass ceramic products under the trade this step, photo-sensitizers in the glass
roughness for fine line redistributions; and 3) name CorningWare in the 1950s. The initial undergo a redox exchange initiated by
ability to manufacture in large formats (wafer products focused on high-temperature the UV light.
and panel) to meet industry cost targets. stability materials for household cooking In the second step, the glass is
Photosensitive glass-ceramic (PSG) and included dishes and stovetops. baked above its glass transition
materials are a class of materials that Photosensitive glasses belong to the temperature (Figure 1b), where mobile
offers all of the benefits of glass but have lithium–aluminum–silicate family [6] with ions surround the exposed regions,
some additional beneficial attributes impurities of metal oxides that greatly converting the previously exposed glass
that regular glasses do not offer. These contribute to the photostructurability of into a nano-crystalline ceramic phase.
benefits include: 1) the ability to transfer these glasses. This class of materials is After the bake step, the exposed pattern
patterns directly to glass with a standard capable of existing in both an amorphous has been converted into ceramic, going
photolithography step; 2) the ability to glassy state and a crystallized ceramic state all the way through the glass wafer.
create small, precise, features at high (crystalline-phase lithium metasilicate) Unexposed regions of the wafer remain
densities; and 3) the opportunity to within the same substrate. PSGs are in the original glassy state.
integrate IPDs such as high-Q inductors characterized by their ability to selectively In the third processing step, the
and capacitors into a single substrate. pattern ceramic features in the bulk glassy wafer is etched in a diluted acid
material through lithography. (Figure 1c), preferentially etching the

60 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


ceramic regions 60 times faster than allows us to create inductors, capacitors The building blocks
the surrounding glass regions. In this and IPDs in a wide variety of custom The basic building blocks of IPD filters
manner, the through-glass ceramic designs with values targeted at the (e.g., band pass filters) are inductors
patterns can be completely removed RF market. This gives microwave and and capacitors. Figure 2 shows some
leaving a glass wafer with the desired RF designers a very flexible toolkit to examples of individual components that
through-wafer pattern of TGVs and utilize in creating products to meet their are representative of the 3D structures
through-glass capacitor plates. Using unique requirements. Table 1 outlines that are possible to build with the PSG
this same process, a wide variety of several physical and electrical material process outlined above.
additional features not commonly constants. Further processing details Figure 2a shows a 3-turn high-Q
associated with glass processing such have been published by independent inductor. This particular design is called
as posts, wells, trenches, blind vias, and researchers [8]. an in-glass stitched inductor. It utilizes
air bridges may be produced.
The fourth step is to fill the
through features with copper. There
are a number of possible methods
for achieving this depending on the
dimensions and aspect ratio of the
structures. 3D Glass Solutions uses a
couple of methods currently including a
proprietary metal filling approach that

Table 1: Summary of APEX® Glass’ relevant RF


material constants.

completely fills the through-structures


with copper.
The final process steps are similar
to semiconductor processing for
patterning conductive layers on one or
both sides of the glass wafer. Utilizing
the process flow described above

P RoHS

Figure 2: A = high-Q inductor; B = parallel-plate in-


glass capacitor.

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 61


copper traces on the top and bottom of shown in Figure 4a. The footprint
the wafer connected with copper-filled of this device is 3.8 x 2.7mm, with a
TGVs to build the entire device. High-Q thickness of 300 microns. This filter
inductor values currently range from 0.5– is made up of two high-Q surface
30nH. High-Q capacitors are produced inductors, two shunt-to-ground vias,
by etching openings through the glass and capacitively-coupled center plates
in the shape of the parallel plates and that utilize two in-glass parallel plates
then filling these with copper. Figure that are the full thickness of the glass
2b shows an example of a parallel-plate to create a high-density capacitive
in-glass capacitor. Capacitance values coupling between the two sections of
currently range from 0.5–10pF. the filter. This filter shows a smooth
response with a high correlation to
Simulation and testing approach simulation with improved insertion
Initial component designs, as loss in the second band pass. Figure
well as more complex filter designs,
are developed using a methodical
geometric incrementation of key
features. This process enables fine
control over the final part geometry
and accommodates some of the more Figure 3: a) 1GHz diplexer IPD filter; b) Measured
unique features that can be produced S-parameter data (solid lines) overlaid on top of
with the manufacturing process. simulation data (dotted line).
All designs were developed and example of an IPD designed with a
simulated using National Instruments combination of the building blocks
AWR software. shown above is a 1GHz diplexer as
Component measurements are shown in Figure 3a. The footprint of
measured using a 0.1-40GHz test setup. this device is 3.8 x 5.8mm. It is made
A 0-67GHz 2-port PNA-X is used in up of two high-Q stitched inductors
conjunction with a pair of matched and two high-Q parallel- plate in-
length cables and 250µm pitch probes. glass capacitors that utilize the full
The measurement reference plane is thickness of the glass substrate. The
located at the end of the probes for individual components are connected
all of the data outlined in this paper. with metal traces on both sides of
The device under test (DUT) therefore the glass substrate. This particular Figure 4: a) 5-9GHz bandpass IPD filter; and b)
includes the probe launch structure design was intended for testing Measured S-parameter data (solid lines) overlaid on
that converts the probe pads to the purposes and has 3 G-S-G (ground- top of simulation data (dotted line).
transmission line of the filter. Due to signal-ground) pads for isolating
the length and quality of the cables, 4b shows the measured vs. modeled
the individual S-parameters using
measurements have a reduced dynamic S-parameters of the 5-9GHz diplexer,
two separate 2-port measurements.
range between 10-30GHz resulting in showing a 0.4dB insertion loss at
This initial device focused on the
a slight increase of measurement noise 8GHz.
baseline demonstration that software
between 10-30GHz most evident on Example RF IPD filter #3: 20GHz
simulations with NI’s AWR software
S11 and S22 measurements. G H z b a n d p a s s f i l t e r. F i n a l l y, a
matched reasonably close to the
picture of a 20GHz bandpass filter,
measured device performance.
Device testing along with the S-parameter data is
Although this device was not
By putting the building blocks shown in Figures 5a and 5b. The
optimized for its performance,
described above together in the proper footprint of this device is 3.8 x 5.8mm,
it closely matched the simulated
order, we can create a wide variety with a thickness of 300 microns. This
design (see Figure 3b) and produced
of filter designs including low-pass, filter design also utilizes a lumped
insertion losses better than that of
high-pass, band-pass, baluns, bias element approach to electrically
the simulation with a demonstrated
tees, diplexers and duplexers. All of couple the individual passive devices.
insertion loss at 4GHz of -0.26dB.
the devices come in a small footprint This particular design shows great roll
The values for the 1GHz diplexer
and a small z-height (0.3mm). off before and after the pass band with
design are L1 = 7.0nH, L2 = 3.4nH,
Furthermore, all of these products are less insertion loss and more reflection
C1 = 3.2pF and C2 = 3.0pF.
intended to be flip-chip bonded to save in the pass band than the simulation.
Example RF IPD filter #2:
additional package space and improve 5 – 9 G H z G H z b a n d p a s s f i l t e r.
performance by the elimination of Beyond the initial proof-of-concept Reliability testing
wire bonding. production of an integrated passive We h a v e s t a r t e d c o l l e c t i n g
Example RF IPD filter #1: 1GHz device shown above, a 5-9GHz reliability data on multiple RF filter
diplexer. The first proof-of-concept bandpass lumped element filter is designs. Complete reliability testing

62 Chip Scale Review March • April • 2017 [ChipScaleReview.com]


thermocycled test data, with less than J . H . C o r r e i a , J . N . B u rg h a r t z ,
a 0.05dB difference. “P ro cessab ility an d el e c t r i c a l
F i g u re 7 s h o w s t h e b e f o r e a n d characteristics of glass substrates for
after S-parameter data for the 5-9GHz RF wafer-level chip-scale packages,”
bandpass filter after 100 temperature 2003 ECTC Conf.
cycles from -40°C to +125°C. Again, 3. V. Sridharan, S. Min, V. Sundaram,
there is very good correlation between V. Sukumaran, S. Hwang, H. Chan,
the curves showing less than 0.1dB et al., “Design and fabrication of
change in the device performance bandpass filters in glass interposers
due to the temperature cycling. with through-package vias (TPV),”
Temperature cycling of these parts to 2010 ECTC Conf.
a minimum of 1000 cycles is ongoing. 4. A.B. Shorey, R. Lu, “Progress and
application of through-glass via (TGV)
Summary technology,” 2016 iMAPS Conf.
RF solutions for 3GHz or greater 5. J. Flemming, R. Cook, S. Sibbett, C.F.
are in high demand, especially Schmitt, K. Dunn, J. Gouker, “Cost-
solutions that have a small footprint effective 3D glass microfabrication for
and z-height. Legacy substrate advanced RF packages,” Microwave
Figure 5: a) A 20GHz band pass IPD filter; and b) materials are unable to meet the size Jour., Apr., 2014.
Measured S-parameter data (solid lines) overlaid on and performance requirements at 6. T. Dietrich, W. Ehrfeld, M. Lacher,
top of simulation data (dotted line). these higher frequencies requiring M . K r a m e r, B . S p e i t ( 1 9 9 6 ) ,
the need for alternative substrates. “Microelectron Eng,” 30:497.
Photosensitive glasses enable 7. h t t p s : / / w w w . c r c p r e s s . c o m /
advanced unique RF architectures Photosensitive-Glass-and-
over traditional glasses and have been Glass-Ceramics/Borrelli/p/
shown to produce extremely low-loss book/9781498745697
RF IPD filters. 8. K. Tantawi, J. Oates, R. Kamali-
The presented glass ceramic and Sarvestani, N. Bergquist, J.D.
processing approach has been shown Williams, “Processing of
to be extremely flexible in its ability to photosensitive APEX™ glass
produce a wide variety of RF designs, structures with smooth and
targeted at different frequencies on transparent sidewalls,” Jour. of
the exact same process. This platform Micromechanical Microengineering
gives RF engineers a very flexible 21 (2011).
Figure 6: 1 GHz diplexer S-parameters before and
after 100 thermal cycles. toolkit for creating designs to meet
their precise needs in a small footprint Biographies
device today and tomorrow. Because Jeb H. Flemming received his MS
all filter designs are built with the in Chemical Engineering from New
same manufacturing process, this Mexico Technical Institute, and an
opens up the possibility of integrating MBA from the U. of New Mexico; he
multiple filters and/or other RF is CTO at 3D Glass Solutions; email
devices on the same chip, eliminating jeb.flemming@3dglasssolutions.com
the need for expensive processing to Timothy J. Mezel received a BS
populate boards with multiple discrete in Ceramics Engineering from the
components. U. of Illinois and is an Engineering
Insertion loss has been measured at and Production Manager at 3D
extremely low values. Overall filter Glass Solutions.
performances are quite competitive Roger Cook holds both a BS and
Figure 7: 5-9GHz band-pass filter S-parameters compared to other published results. MS in Electrical Engineering from the
before and after 100 thermal cycles. These products demonstrate a U. of Arkansas and is the Managing
capability that has not been possible to Director of IC Packaging at 3D
is ongoing, but early devices have Glass Solutions.
date in this compact size.
been thermal-cycled over 1,000 Kyle McWethy is finishing a BS in
times without mechanical failure. Mechanical Engineering at the U. of
Furthermore, RF testing of pre- and References
1. https://www.fcc.gov/document/ New Mexico and is a Systems Designer
p o s t- t herm ocycl e d I PD f ilter s is at 3D Glass Solutions.
shown in Figure 6. Here S-parameter fcc-adopts-rules-facilitate-next-
data for the 1GHz diplexer after 100 generation-wireless-technologies,
temperature cycles from -40°C to July 14th, 2016, FCC.com
+125°C is shown. There is excellent 2. A. Polyakov, P.M. Mendes, S.M.
correlation between the pre- and post- Sinaga, M. Bartek, B. Rejaei,

Chip Scale Review March • April • 2017 [ChipScaleReview.com] 63


MOre tHan 350 HigHligHtS
teCHniCal paperS n 41 technical sessions
COvering: including:
CSP & Fan-Out WLP • 5 interactive
Don’t Miss Out on the 3D & TSV Processing presentation sessions,
including one featuring
industry’s premier event! Heterogeneous Integration student presenters
Fine Pitch Flip-Chip Process n 18 CEU-approved
the only event that Optical Interconnects Professional Development
encompasses the MEMS & Sensors Pkg Courses
diverse world of integrated Advanced Substrates n Technology Corner Exhibits,
featuring more than 100
Advances in Wire Bonding
systems packaging. Flexible & Wearable Devices
industry-leading vendors
RF Components Integration n 6 special invited sessions
For more information, visit:
www.ectc.net Automotive Electronics Pkg
Harsh Environment Pkg
n Several evening receptions
n 3 conference luncheons
Conference Sponsors: Official Media Sponsor: Pkg for Medical Applications n Multiple opportunities for
Thermal/Mech Simulation networking
Reliability of Interconnects n Great location

ADVERTISER-INDEX
Alpha Advanced Materials www.alphaadvancedmaterials.com ......... 43 Plasma Etch w w w.p la s m a e t c h .c o m ........................................ 51

Amkor Technology w w w. a m k o r. c o m ..................................... 1 R&D Altanova w w w.r dal t anova .c om .............................. 3 8

A S E G r o u p w w w . a s e g l o b a l . c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 S E M I w w w.s emic ons ea .or g ........................................... 59

B r e w e r S c i e n c e w w w. b r e w e r s c i e n c e . c o m . . . . . . . . . . . . . . . . . . . . . . . . . 3 3 S e n s a t a Te c h n o l o g i e s w w w. q i n e x . c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 6

Besi w w w.b e si.c o m ................................................ 19 S m i t h s I n t e r c o n n e c t w w w. s m i t h s i n t e r c o n n e c t .c o m ................. 2

DL Technology w w w.d l t e c h n o l o g y.c o m ................................. 3 7 S o ni x w w w. s o ni x .c o m .......................................................... 3

E-tec Interconnect w w w.e - tec.com .............................. 4 9 SPIL w w w.spil.com.t w .......................................................... OBC

E C T C w w w. e c t c . n e t . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 4 Test Tooling Solutions Group www.t ts- grp.com ........................... 7

Georgia Institute of Technology www.prc.gatech.edu .................. 55 Toray Industries www.toray.us/products/prod_003.html .................. 4,5

Indium Corporation w w w.indium.com/fluxes/C S R ....................... 9 Unit y SC w w w.unit y - sc.com ................................................... 11

I r o n w o o d E l e c t r o n i c s w w w. i r o n w o o d e l e c t r o n i c s . c o m . . . . . . . . . . . 6 1 W i n w a y Te c h n o l o g y w w w.winwayglobal.c om ................. 2 9

I W L P C w w w.i w lp c .c o m ....................................................... 5 3 Veeco Ins trumen t s w w w.veeco.com ..................................... I F C

Johnstech w w w.johnstech.com/R Ftest ...................................... IBC Yield Engineering w w w.yieldengineering.com ........................... 22

M R S I S y s t e m s w w w.mr sisy s t ems.c om ............................ 3 0 ZEISS Microscopy www.zeiss.com/manufacturing-assembly ............. 17

May June 2017


Space Close April 21 | Materials Close April 28
For Advertising Inquiries | ads@chipscalereview.com

64 Chip Scale Review March • April • 2017 [ChipScaleReview.com]

You might also like