Biographies

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 59

BIOGRAPHIES

Abe, Kenichi (5F.2) Aichinger, Thomas (XT.6, XT.8)


Kenichi Abe (S’07) was born in Shiogama, Japan in 1983. He Thomas Aichinger received his M.S. degree in physics from the
received the B.S. degrees in electronic engineering and M.S. Karl-Franzens University in Graz in May 2007. During his diploma
degree in management of science and technology from Tohoku thesis, concerning the implementation of Charge Pumping in the
University, Sendai, Japan, in 2006 and 2008, respectively. He is laboratory soft- and hardware environment, he was integrated in the
currently working toward the Ph.D. degree in Tohoku University Quality and Reliability group of Infineon Technologies Villach.
from April 2008. His current research topics are low-frequency Currently he is toward his doctor degree at Infineon Villach and
noise and random telegraph noise in deep submicron devices KAI in cooperation with the “Christian Doppler Laboratory” group
and variability of MISFET characteristics in ULSI. Mr. Abe of the Institute for Microelectronics in Vienna. In 2007, he recieved
received the IEEE Electron Devices Society Japan Chapter the Best Paper arward at ESREF.
Student Award in 2008.
Akira, Toriumi (3D.4)
Ackermann, Markus (RM.2) Akira Toriumi received the B.S. degree in physics, the M.S. and
Markus Ackermann is with X-FAB Semiconductor Foundries, Ph.D. degrees in applied physics from the University of Tokyo in
being responsible for process characterisation and primitive Japan, 1978, 1980 and 1983, respectively. He joined R&D Center of
device reliability in the field of CMOS technologies. He works Toshiba Corporation in 1983. In May 2000, he moved to the
on characterisation and modelling of semiconductor device University of Tokyo. His research interests have been on silicon
degradation. Before joining X-FAB he studied physics at the device physics and related materials science throughout his
University of Jena and received the diploma in 2008. professional carrier. He is currently studying the materials science
of high-k dielectrics, and physics and technology of Ge as well as
Acosta, Antonio (5F.3) organic electron devices. He is a member of the JSAP, JPS, APS,
Antonio Acosta received his B.S. from Georgia Tech in 2005 ECS and IEEE.
and M.S. from the University of Florida in 2009, both in
Electrical Engineering. He is currently working towards his Alain, Bravaix (2B.2)
Ph.D. in Electrical Engineering at the University of Florida. His Alain Bravaix graduated from the University of Sciences of Paris
main research interests are the development of next-generation and joined the R&D research laboratory of BULL S.A. in 1988 (Les
semiconductor devices and nanotechnology. He has worked as Clayes sous Bois-France). He received the Ph.D. degree in
an intern within the Analog Technology Development group at microelectronics in 1991. From 1991 to 1993, he worked on gate-
Texas Instruments since the summer of 2008. His past projects oxide nitridation optimization as a postdoctorate fellowship in the
have been the characterization of PZT ferroelectric capacitors Solid-State Physics Research group of the Institut d'Electronique et
for decoupling applications, and scaling reliability and modeling de Microélectronique du Nord (IEMN). Since 1994 he is developing
of fully embedded ferroelectric capacitors. research activities and teaching for Engineering and Master degrees
at the Institut Supérieur d’Electronique et du Numérique (ISEN-
Afanas’ev, Valery (5A.2) Toulon) as a member of the Institut Matériaux Microélectronique
Valery Afanas’ev received the Ph. D. degree from Leningrad Nanosciences de Provence (IM2NP) UMR 6242. He works in
State University in 1985 and worked there as a member of collaboration with ST Microelectronics Crolles since 1995 on the
research staff till 1992. In 1992 he joined Delft Institute of reliability and optimization of CMOS and BICMOS technologies.
Microelectronics as research fellow. In 1994, he moved the His research interests cover device to circuit reliability developing
University of Erlangen to develop the internal photoemission electrical characterization techniques for novel and ultra-small
spectroscopy of oxidized SiC. Since 1995 he is with the CMOS nodes.
Laboratory of Semiconductor Physics, University of Leuven,
focussing on applications of internal photoemission Alam, Muhammad Ashraful (2B.3, 3E.2, XT.7)
spectroscopy to wide spectrum of solid interfaces. The main Muhammad Ashraful Alam received the B.S. from Bangladesh
research results were published in more than 250 journal papers, University of Engineering and Technology in 1988, the M.S. degree
150 conference contributions, 10 book chapters, and 1 from Clarkson University in 1991, and the Ph.D. degree from
monography. Purdue University in 1994, all in electrical engineering. He is a
Professor of Electrical and Computer Engineering at Purdue
Ahlbin, Jonathan (3A.2, 6E.2) University where his research and teaching focus on physics,
Jonathan R. Ahlbin received B.E. and M.S. degrees in electrical simulation, characterization and technology of classical and novel
engineering from Vanderbilt University in 2005 and 2009 semiconductor devices, including theory of oxide reliability,
respectively, and is currently pursuing his Ph.D. there. His nanocomposite thin film transistors and nano-bio sensors. From
research interests include microelectronic circuit analysis and 1995 to 2001, he was with Bell Laboratories as a Member of
design, and the effects of radiation on integrated circuits, Technical Staff in the Silicon ULSI Research Department. From
specifically the modeling of circuit-level soft errors. 2001 to 2003, he was a Distinguished Member of Technical Staff at
Agere Systems. Dr. Alam has published over 80 papers in
Ahn, Kun-Ok (MY.7) international journals and has presented many invited and
Kun-ok Ahn received the B.S. degree in electrical engineering contributed talks at international conferences. He is an IEEE Fellow,
for Dankook University, Seoul Korea in 1982. In 1983, he Purdue University Faculty Scholar, and also received the 2006 IEEE
joined Samsung Electronics, Keheung Korea. Since then he has Kiyo Tomiyasu Award for his contributions to device technology for
been engaged in development and producction of nonvolatile communication systems.
memory devices such as MaskROM, NOR and NAND flash
memory production. He left Samsung Electronics in 2003 and he Albin, David (3E.3)
has worked for Hynix Semiconductor from 2007, Cheongju-si, David Albin is a Senior Scientist at the National Renewable Energy
Korea and then he has been engaged in production for NAND Laboratory (NREL) in Golden, CO. He obtained his Ph.D. in
flash memory devices as the VP of Process Integration and Materials Science and Engineering from the University of Arizona
Device Engineering now. with a Masters Degree in Ceramic Engineering from the University
of Illinois. He has over 20 years experience in both Ang, Diing Shenp (4A.4, XT.5)
Cu(In,Ga)Se2 and CdTe thin film solar cell development, with D. S. Ang received the B.Eng. (Hons) and Ph.D. degrees in
over 100 scientific publications, and three patents. electrical engineering from the National University of Singapore
Approximately half of his research at NREL is funded through (NUS) in 1994 and 1998, respectively. He was a teaching staff of
collaborative research with outside companies including the Bachelor of Technology Programme in NUS before joining the
PrimeStar Solar and the GE Global Research Center in New School of Electrical and Electronic Engineering, Nanyang
York. Technological University as an assistant professor in 2002. From
2008, he has been an associate professor. His current research
Allmon, Randy (3A.1) interests are bias-temperature instability of advanced CMOS
Randy Allmon received a B.S.E.E. degree from the University devices, application of scanning probe microscopy for gate stack
of Cincinnati in 1981. Since that time he has worked at Digital characterization, and resistance memory devices. He has published
Equipment Corporation, SiByte and Broadcom Corporation as a more than 90 papers in international journals and conferences and
Circuit Designer and Technical Leader, and has been active in has served on the technical programme committee of several
the Circuit and Layout design of numerous PDP-11, VAX, conferences (IRPS, IIRW, and IPFA).
Alpha and MIPS high-performance microprocessors. Since
joining Intel in 2003, he has worked in both the Itanium and Angyal, Matthew (5A.5)
Xeon design teams, and is currently in a Technical Leader in the Matt Angyal received his B. S. in Electrical Engineering from the
High Performance Computing Group. He holds 4 Patents and University of Maryland in 1991 and his Ph. D. in Electrical
has 1 Patent Pending Engineering from Cornell University in 1996. From 1996 through
2001 he worked at Motorola’s Advanced Products Research and
Ambrose, Vinod (3A.1) Development Lab where he contributed to the development and
Vinod Ambrose works in the Server Microprocessor Group in qualification of copper interconnect technology. He joined the IBM
Intel. Starting in 1996, he has worked in the areas of Device Semiconductor Research and Development Center in 2001, where
Modeling, Reliability, Power Estimation, and Circuit Design for he has been involved in the development and qualification of copper
microprocessors in DEC, Compaq, and HP. He joined Intel in / low-k interconnect technology for advanced CMOS technologies.
2003. Vinod holds a BS in Physics/Math from Monmouth
College, IL, and a PhD in Physics from Texas Christian Aoulaiche, Marc (XT.13)
University. Marc Aoulaiche received the M.S. degree in physics and
Microelectronics from the University of Provence (Aix-Marseille I),
Amoroso, Salvatore Maria (MY.5) France, in 2004. He pursued the Ph.D degree in microelectronics on
Salvatore Maria Amoroso was born in Catania, Italy, in 1983. Bias-Temperature-Instability effects in MOSFET’s with high-k
He received the B.S. and M.S. degrees in physics engineering dielectrics and metal gates, characterization and modeling, at the
from the Politecnico di Milano, Milano, Italy, in 2005 and 2008, Interuniversity Microelectronics Center (IMEC) and Department of
respectively, where he is currently working toward the Ph.D. Electrical Engineering, Katholieke Universiteit of Leuven
degree in information technology in the Dipartimento di (K.U.Leuven), Belgium. Since January 2009, he is working at IMEC
Elettronica e Informazione. He is also with the Italian University in the Logic Device Design group. His main research topics are
Nano-Electronics Team, Milano, Italy. His research activities reliability, electrical characterization of floating body RAM devices
include modeling and numerical simulation of semiconductor and compact modeling.
devices, with particular interest on innovative nonvolatile
memories. Arreghini, Antonio (6C.1)
Antonio Arreghini was born in Udine, Italy, in 1979. He received
Andrea, Marmiroli (MY.6) the Laurea degree in electronic engineering and the Ph.D. degree in
Andrea Marmiroli received the Degree in Physics (cum laude) industrial and information engineering from the University of Udine,
from Milano University in 1984, with a thesis work on an in 2004 and 2008, respectively. From July 2006 to July 2007, he was
algebraic approach to quantum field theory. In September 1985 a visiting scientist with NXP Semiconductors, Leuven, Belgium,
he joined SGS (now STMicroelectronics). He worked at first where he worked on the modeling and simulations of long-term
within the New Technologies Development group, then within retention, program, and erase transients of SONOS non volatile
the Technology CAD team. Since its foundation (March 2008), memories. Since 2008, he has been with IMEC, Leuven. His
he is with Numonyx, in the position of “Technology and Device research topics include modeling, simulation and advanced
Modelling Director“. He coordinated ST activity within different experimental characterization of charge-trapping non volatile
European funded project. He is reviewer for the IEEE- TED. His memory cells.
major interests are in Non Volatile Memory modeling.
Asheghi, Mehdi (2C.5)
Andreani, Carla (4B.3) Dr. Mehdi Asheghi completed his Ph.D. (1999) and postdoctoral
Carla Andreani (CA) received a Laurea Cum Laude in Physics (2000) at the Stanford university conducting research in the area of
in 1977 at the Università di Roma "La Sapienza". CA is now full nanoscale thermal engineering of microelctronic devices. He led a
professor in Condensed Matter at the University of Rome Tor well-known and funded research program (2000-2006) at the
Vergata. She is an experimental physicist, with a background in Carnegie Mellon University that focused on nanoscale thermal
condensed matter physics. CA designed several neutron phenomena in semiconductor and data storage devices. He is
scattering instruments to study the structure and dynamics of currently a consulting associate professor at the Stanford University
fluids and materials. Most recently CA has used neutron focusing on further development of PCRAM technology. He is the
spectroscopy to produce quantitative measurements and 3D author of more that 100 book chapters, journal publications and
images of the elemental composition and physical structure of fully-reviewed conference papers.
artifacts. CA has authored about 150 publications on
international journals and delivered over 80 invited talks.
Atkinson, Nick (3A.2) Bagatin, Marta (4B.3)
Nicholas M. Atkinson received the B.E. degree in electrical Marta Bagatin received the Laurea degree (cum laude) in Electronic
engineering from Vanderbilt University, Nashville in 2008. He Engineering from the University of Padova, Italy, in 2006. Since
is currently working toward the M.S. degree at Vanderbilt January, 2007, she has been following the Ph.D. School in
University. His research interests include VLSI circuit design Information Science and Technology at the Department of
and single-event effects. Information Engineering, University of Padova. Her research
interests concern radiation and reliability effects on electronic
Aubel, Oliver (2D.3 , 5A.4, 5B.2, IC.9) devices, especially on volatile and non-volatile semiconductor
Dr. Oliver Aubel has earned his diploma (M.S.) in electrical memories. The results of her work were recognized with the
engineering with focus on microelectronic engineering from the Outstanding Student Paper Award at NSREC 2008, the Best Student
University of Hannover (Germany) in 2000. Early 2004 he Presentation Award at RADECS 2008, and the NPSS Phelps Award
finished his PhD study at the same university with focus on ultra 2009.
highly accelerated electromigration testing. Immediately after
that he joined GLOBALFOUNDRIES (formerly AMD) Baghini, M. Shojaei (4D.4, EL.2)
(Germany) as a reliability engineer for interconnect reliability. Maryam Shojaei Baghini received M.S. and Ph.D. degrees in
In his early years at GLOBALFOUNDRIES he was assigned to Electrical Engineering from Sharif University of Technology,
the IBM technology development alliance at Burlington site in Tehran, in 1991 and 1999, respectively. She worked for two years in
Vermont, US (2004-2005) responsible for the reliability transfer industry on the design of analog and mixed-signal VLSI ICs. In
to GLOBALFOUNDRIES. He is now coordinating all BEOL 2001, she joined IIT-Bombay as a Postdoctoral Fellow, where she is
related reliability activities in GLOBALFOUNDRIES currently a faculty member. She has been a Designer/Co-designer of
(Germany). several analog chips in industry and academia. As a part of her
research in IIT Bombay, she has designed one of the most power-
Auluck, Kshitij (NA.2) efficient CMOS instrumentation amplifiers for biomedical
Kshitij Auluck is currently working towards B.Tech and M.Tech applications in 2004. Dr. Shojaei is author/co-author of 49 Int.
in Microelectronics (dual-degree) from the Department of journal & conference papers, inventor/co-inventor of seven patent
Electrical Engineering, Indian Institute of Technology Bombay, applications, and author of one invited book chapter. She is a co-
Mumbai, India, and will graduate in 2010. From May 2008 to recipient of the best research award in circuit design at Intel
July 2008, he was a student intern at Corporate Technology Corporation AAF'08 and the third award on research and
Group, Intel Corp., Bangalore, India. His research interests are development at 15th International Festival of Kharazmi in 2002. Her
physics, fabrication, modeling and simulation of novel team of students won the first Cadence Design Systems, Inc. Student
semiconductor devices for logic and memory. Design Contest, among SAARC countries in 2006. Dr. Shojaei is
member of Emerging Applications and Technologies sub-committee
Baccarani, Giorgio (HV.1) of IEEE A-SSCC and member of Low-Power Design/Circuits and
Received the B.S. degree in electrical engineering and in physics Technology Track of IEEE Int. Conf. on VLSI Design. Her current
from the University of Bologna, Bologna, Italy, in 1967 and research interests include device-circuit interaction in emerging
1969. In 1969, he joined the Bell Laboratories, Murray Hill, NJ. technologies, high-performance low-power analog/mixed-signal/RF
In 1970, he became Research Assistant and in 1980 Full VLSI design and test, analog/mixed-signal/RF EDA, power
Professor with the University of Bologna. In 1981, 1983 and management for SoCs, high-speed interconnects and circuit design
1989 he was with the IBM T.J. Watson Research Center, with organic thin film components.
Yorktown Heights, NY. He is the Director of the ARCES
Research Center at the University of Bologna. His current Balasubramanian, Anu (3A.1)
research interests include device simulation, synthesis of analog Anu Balasubramanian joined the Microprocessor and Graphics
circuits, analog and digital architectures for image processing, development (MGD) Quality and Reliability Team at Intel in Dec
and integrated circuit design. 2008. Her focus is in the areas of ESD, High Speed Bus reliability,
and SER modeling. Anu Balasubramanian received her Ph.D. in
Baek, Chang-Ki (2C.4) Electrical Engineering in 2008 from Vanderbilt University. Her
Received the B.S. degree in electronic engineering from areas of research interests include biological sensors,
Chungnam National University, Daejeon, Korea, in 1999, the microelectronic-circuit analysis and design, and effects of radiation
M.S. degree in electronic and electrical engineering from on integrated circuits, specifically designing for radiation hardness,
Pohang University of Science and Technology (POSTECH), reliability and modeling circuit-level soft errors.
Korea, in 2002, and the Ph. D. degree in electrical engineering
and computer science from Seoul National University, Seoul, Balk, Ludwig Josef (3C.4)
Korea, in 2008. Currently he is a research fellow in the Ludwig Josef Balk studied physics at the RWTH Aachen and
Computational Sciences Division, Korea Institute for Advanced received his diploma in 1971, hereafter he received his PhD in
Study (KIAS), Seoul, Korea. His current research interests are in electrical engineering from the same university in 1976. After an
3-dimensional quantum transport, semiconductor device intermediate stay at the University of Duisburg as academic director
analysis, modeling and simulation of MOSFET, Silicon he joined University of Wuppertal in 1991 as full professor for
Nanowire and Flash memory cells, particularly on oxide electronics. Additionally he became director of the institute of
reliability issues in nano-scale CMOS devices. polymer technology in 2005. His main research is in the area of
micro and nano characterization of materials and devices.
Baek, Rock-Hyun (2C.4)
Received the B.S. (2004) in electronics engineering from the
Korea University, Korea. He received the M.S. (2006) in
electronics engineering from the Pohang University of Science
and Technology (POSTECH), Pohang, Korea. He is currently in
Ph.D. course at POSTECH. His main research interests include
CMOS devices and nanowires FET.
Barbato, Marco (3F.2) with transmission electron microscopy, scanning electron
Marco Barbato was born in Dolo (Venice), Italy, in 1984. He microscopy, focused ion beam, Auger spectroscopy, spectroscopic
graduated in Electronics Engineering at University of Padova in ellipsometry, infrared spectroscopy. In these fields he is author/co-
2009 with a thesis on reliability of RF micro electro mechanical author of about 200 journal papers, 280 conference contributions
systems. He currently holds a scholarship with University of and 3 book chapters. His current interests are focused on the
Padova, working on the development of measurement set-up in application of transmission electron microscopy for strain analysis,
particular on RF-MEM systems. Moreover he works on electron tomography, and chemical analysis by
development of HV systems and power converters. In his career STEM/EDS/EELS/ELNES on device structures for next generation
he has coauthored 5 papers. technologies.

Bashir, Muhammad (IC.3) Benvenuti, Augusto (5C.4)


Muhammad Bashir is a doctoral candidate in electrical and Augusto Benvenuti received the Ph.D. degree in electronic
computer engineering at the Georgia Institute of Technology. engineering from Politecnico di Torino in 1993. During 1991-1992
His research interests include modeling yield and reliability of he was a research visitor at Bell Labs, Murray Hill; in 1993 he was
semiconductors. He has an MS in electrical and computer at the Centre d'Electronique de Montpellier. During 1994 he worked
engineering from the Georgia Institute of Technology. at ETH Zuerich on the implementation of the hydrodynamic model
in the device simulation program Dessis. Since 1995 he works in
Baumann, Robert (4B.2) Agrate, initially with STMicroelectronics and later with Numonyx
Dr. Baumann heads the Texas Instruments radiation effects R&D, where he is currently managing TCAD activities. He has been
program and is a TI and IEEE Fellow and EDS Distinguished involved in the support of several EEPROM, NOR, NAND and
Lecturer with 20 years of experience in semiconductor PCM technology platforms, and has coauthored about fifty papers.
reliability. He co-led the SIA Panel investigating the impact of
the ITAR on export restrictions and was directly responsible for Bersuker, Gennadi (2B.4, 4A.4, 4F.4)
changes that reduced the risk of U.S. commercial electronics Gennadi Bersuker (M’05) received the M.S. degree in physics from
becoming inadvertently controlled. He led and was one of the Leningrad State University, St. Petersburg, Russia, and the Ph.D.
authors of the JEDEC JESD89 test standard for radiation testing degree in physics from Kishinev State University, Kishinev,
of commercial microelectronics and was awarded the JEDEC Moldova. He was with the Moldavian Academy of Sciences,
Chaiman's Award. He has published > 55 papers, two book Kishinev; Leiden University, Leiden, The Netherlands; and the
chapters, and holds eight U.S. patents. University of Texas, Austin. Since 1994, he has been with
SEMATECH Inc., Austin, TX, where he is working on
Bauza, Daniel (3C.2) processinduced charging damage, electrical characterization of
Daniel Bauza received the M.S. degree in electronics and the Ph. Cu/low K interconnect, high-κ gate dielectrics, and advanced CMOS
D degree in Energetics and Complex Systems Dynamics, both process development
from the University of Paris XII Créteil-France in 1982 and
1986, respectively. In 1986, he was an engineer with CNRS and Beyer, Gerald P. (5B.4)
the “Laboratoire de Physique des Composants à Gerald Beyer is the program manager of Cu/low-k program. His
Semiconducteurs, Grenoble-France”, which became the Institute background is sputter deposition. He earned a PhD in Materials
of Microelectronics, Electromagnetism and Photonics (IMEP) in Science from Imperial College, London.
2001. Since 2003, he has been an Associate Scientist with
CNRS. Currently with the IMEP-LAHC, MINATEC, his Bhatia, Charanjit (4E.4)
research interests are on the characterization of defects in silicon Prof Bhatia, Charanjit Singh received his MS and PhD in Electrical
devices, on the electrical characterization of silicon-insulator Engineering from University of Minnesota, Minneapolis MN USA
interface traps, on the electrical properties of MOSFET’s gate in 1978 & 1979 respectively. Prof Bhatia joined NUS as a Professor
insulator, and on the development of related characterization of Electrical & Computer Engineering and also has 25%
tools. appointment in the Institute of Materials Research & Engineering
(IMRE). Prof Bhatia was a Temasek Professor in NUS from 2001 to
Beltrami, Silvia (4B.3, 5C.2) 2005. He worked as a Senior Technical Staff Member (STSM) in
Silvia Beltrami was born in Bergamo, Italy, in 1978. She the Advanced Magnetic Storage Lab (AMRL) of IBM and Hitachi
received the Laurea degree in Electronic Engineering from GST. Prof Bhatia was awarded the coveted IBM’s Faculty award in
Politecnico di Milano, Italy, in 2003. She joined 2008 for his project on Fabrication, Characterization and
STMicroelectronics in 2003 and now she is working in the R&D Performance of Thin Film Si Photovoltaic (PV) Cells. He also set up
Technology Center of Numonyx. Her work is about the a Joint Study agreement (JSA) with IBM’s T J Watson Jr Research
reliability improvement of flash memory and, in particular, lab at Yorktown Heights, NY, to work on the Si based photovoltaic
NAND and multilevel devices. She is a co-author of various cells.
scientific papers about flash memories.
Bhuva, Bharat (3A.2, 6E.2, SE.3)
Benakanakere Sheshadri, Vijay (SE.3) Bharat L. Bhuva received the B.S. degree in electrical engineering
Vijay B Sheshadri received the B.E. degree in Electronics & from Maharaja Sayajirao University of Baroda, Baroda, India, in
Communication engineering from BMS College of Engineering, 1982 and the M.S. and Ph.D. degrees in electrical engineering from
Bangalore, India (affiliated to the Vishweshwariah North Carolina State University, Raleigh, in 1984 and 1987,
Technological University, Belgaum, India) in 2006 and is respectively. He is currently an Associate Professor of electrical
currently working toward the M.S. degree in electrical engineering with the Department of Electrical Engineering and
engineering at Vanderbilt University, Nashville, TN, Computer Science, Vanderbilt University, Nashville, TN. His
research interests include radiation effects on electronics,
Bender, Hugo (6A.3) biosensors, optical-signal transmission using all Si process, and
Hugo Bender is team leader of the Structural Analysis (SA) cognitive science.
team in the Materials and Components Analysis (MCA) group at
imec. He has over 25 years experience in materials
characterization for semiconductor applications, in particular
Bisht, Gaurav (NA.2) societies on failure analysis like EDFAS and EUFANET and has
Gaurav Bisht obtained his M.Sc (2007) in physics from Indian served in their Boards of Directors. He has been supporting the
Institute of Technology (IIT) Roorkee. Since 2007 he is respective international conferences for many years, for ISTFA as
pursuing M. Tech. in microelectronics from department of Seminar Chair in 2000 and General Chair in 2002. He has also been
Electrical Engineering at IIT Bombay India. His research co-editor of the Electronics Failure Analysis Desk Reference. He is
interests are in the areas of non-volatile memories, device member of the German Academy of Science and Engineering,
reliability and characterization. ACATECH. In the German association of electrical engineers, VDE,
he is speaker of the section for reliability and failure analysis of
Bisschop, Jaap (CR.3) microelectronics.
Jaap Bisschop received the M.Sc. in physics in 1978 and Ph.D.
in electrical engineering in 1983. He worked on oxide reliability Boku, Katsushi (6C.4)
at the University of Groningen, The Netherlands. In 1986 he Katsushi Boku received a B.Sc. degree in material science from
joined Philips Research, where he worked on device physics and University of Electro Communications (Tokyo, Japan) in 1988.
reliability of solid state image sensors. In NXP Semiconductors Katsushi joined Texas Instruments Japan on 1989, and has worked
he has been responsible for coordination of company reliability for DRAM development. In 2002, Katsushi moved to the US and
activities. His current focus is on wafer level reliability, building became involved in FeRAM reliability and yield analysis. He has
in reliability and automotive reliability. He is convenor of the been issued 10 patents and has coauthored several technical papers.
IEC TC47 Wafer Level Reliability working group. He is a In his spare time, Katsushi loves playing golf and soft ball.
member of the ESREF steering committee.
Boselli, Gianluca (4D.3)
Bittel, Brad (IC.13) Gianluca Boselli (MS ’96, Ph.D ’01) joined Texas Instruments,
Brad Bittel received his B.S. degree in Electrical Engineering Dallas, Texas, in 2001, where was responsible for advanced CMOS
from the Pennsylvania State University in 2007 and is now ESD/Latch-up development. Recently his responsibilities extended
pursuing a Ph.D. in Materials Science and Engineering also into ESD development of Analog technologies.
from the Pennsylvania State University. He authored several papers in the area of ESD/Latch-up. He
presented his work at major conferences, including EOS/ESD
Blaauw, David (5F.1) Symposium, IEDM, and IRPS. He also presented several invited
David Blaauw received his B.S. in Physics and Computer papers and/or tutorials at the EOS/ESD Symposium, IRPS, IEDM,
Science from Duke University in 1986, and his Ph.D. in ESREF and RCJ. Dr. Boselli has been the recipient of the “Best
Computer Science from the University of Illinois, Urbana, in Paper Award” on behalf of Microelectronics Reliability Journal in
1991. Until August 2001, he worked for Motorola, Inc. in 2000. He received “The Best Paper Award” at the EOS/ESD
Austin, TX, were he was the manager of the High Performance Symposium 2002. He also received the “The Best Presentation
Design Technology group. Since August 2001, he has been on Award” at the EOS/ESD Symposium in 2002 and in 2006. Dr.
the faculty at the University of Michigan where he is a Boselli is a member of ESD Association and an IEEE senior
Professor. He has published over 300 papers and hold 30 member. Dr. Boselli serves on the TPC of the EOS/ESD
patents. His work has focussed on VLSI design with particular Symposium, IRPS and ESREF. Dr. Boselli has served as TPC Chair
emphasis on ultra low power and high performance design. He at the EOS/ESD Symposium 2006, Vice-General Chair at the
was the Technical Program Chair and General Chair for the EOS/ESD Symposium 2007 and General Chair at the EOS/ESD
International Symposium on Low Power Electronic and Design. Symposium 2008.
He was also the Technical Program Co-Chair of the ACM/IEEE
Design Automation Conference and a member of the ISSCC Bosman, Michel (4A.1, BD.2)
Technical Program Committee. Michel Bosman received his M.Sc. degree in Materials Science from
the Delft University of Technology, Delft, Netherlands, and Ph.D.
Bluet, Jean-Marie (2E.3) degree in Electron Microscopy from the University of Sydney,
Jean-Marie BLUET his PhD from University of Montpellier II Australia. He is currently with the Institute of Microelectronics,
in 1997, with speciality in condensed matter. He has then A*STAR, Singapore. His research interests include nanoscale optics
worked in LMGP lab in Grenoble and in CEA LETI as a post and atomic-resolution spectroscopy using transmission electron
doc . He then obtained an assistant professor position at INSA microscopes.
de Lyon in 1999. His research activity is focused on wide band
gap semiconductors materials and devices characterizations (SiC Bounasser, Mounaim (3A.3)
and GaN). At present, he also performs spectroscopic studies of Mounaim Bounasser received his B.E. and M.S. in Electrical
semiconductors at the nanoscale with investigations of Si and Engineering from University of Montpellier II, Montpellier, France,
SiC nanoparticules and porous SiC. He is author and co-author in 2004 and 2006, respectively. He has previously worked on
82 publications in international journals and conferences. radiation effects on circuits and radiation hardened circuit design at
Vanderbilt University and with Ridgetop Group Inc. He is currently
Boit, Christian (IC.2) working on radhard circuit design and simulation with Robust Chip
Prof. Dr. Ing. Chris Boit is dean of the faculty for CS&EE in the Inc.
TUB Berlin University of Technology (Germany) and head of
the semiconductor device department with special focus on Breuer, Taro (IC.2)
functional analysis and debug of Integrated Circuits and Taro Breuer received his diploma degree in electrical engineering
semiconductor devices. From 1986 to 2002 he has been with (equivalent to M.S.) in 2006 from TU Berlin (Berlin University of
Siemens AG’s Research Laboratories and Semiconductor Technology). In 1998-2003 he studied electrical engineering with
Group, and Infineon Technologies, respectively. 1990 to 1993 focus on telecommunications engineering at TU Hamburg-Harburg.
he participated in the joint IBM-Siemens 64M DRAM His PhD research at TU Berlin in cooperation with Advanced Micro
development team in East Fishkill NY. In the following years, Devices (AMD) Dresden (now GLOBALFOUNDRIES) focuses on
he took responsibility as Manager and then Director of Failure ultra-low-k dielectric reliability .
Analysis. He received the Physics Diploma and the PhD in
Electrical Engineering from TUB Berlin. In the field of
electronics, Chris has been co-founder of several international
Brewer, Forrest (IC.10) of electrical and physical properties in RRAM devices as well as
Professor Brewer (B.S (Physics), Caltech; PhD (Computer nanowire-based nanostructure for memory applications.
Science) ,UIUC) joined the UCSB faculty in 1988, He was
formerly a consulting engineer and a senior engineer at Northrop Calderoni, Alessandro (6C.2, MY.6)
Corp. Advanced Technology Division. His research interests are Alessandro Calderoni received the second (master) level Laurea
in mixed signal VLSI design as well as computer aided design degree in Electrical Engineering (cum laude) from Politecnico di
tools and analysis. His research in mixed signal design involves Milano, Italy, in 2006, with an experimental thesis on MOSFET's
investigating solutions for data transmission for inter-chip and noise under microwave irradiation. In 2006 he joined the Research
intra-chip communications which includes the application of and Development department of STMicroelectronics (now
communications and signal processing theory and techniques to Numonyx) within the Compact Modeling team. Since that, he has
analyze high-speed I/O links and looking into ESD solutions for been working on advanced CMOS modeling and Floating Gate-
mixed signal I/Os. Recent work includes development of a based Memory noise characterization and modeling. Than he
family of specialized microprocessors for low-power/ high- worked on Phase Change Memories transport mechanism and low-
performance embedded closed loop control. This work spans frequency noise. His current research interests include statistical
mixed signal design at the sensor and actuator interfaces to physical characterization and reliability of PCM over large arrays.
multi-threaded digital system design in the digital processing
parts. He teaching interest includes VLSI design and coupled Camozzi, Elisa (MY.5)
tools development based on systematic and formal approaches Elisa Camozzi was born in Milan, Italy in 1980. In 2004 she
as well as heuristic analysis. Other interest includes low Power received her Master Degree in Physics (cum laude) from the
Control: to drastically reduce the power consumption of digital Università degli Studi Milano-Bicocca, Milano, Italy, as a result of
feedback controller which have become ubiquitous in embedded one full-time year of research work in the field of biophysics. In
systems used in automotive,cars, appliances and portable 2008 she received a Bachelors degree in Philosophy (cum laude)
phones. from the Università degli Studi di Milano, Milano, Italy, with a
dissertation about human mind, knowledge and the best way to
Bru-Chevallier, Catherine (2E.3) describe both of them. From 2005 she works in the R&D of
Catherine Bru-Chevallier received her PhD from Paris XI STMicroelectronics (now Numonyx) in the field of NOR/NAND
University. She is currently senior scientist (CNRS) at Lyon memories.
Institute of Nanotechnology (INL), head of “Spectroscopy and
Nanomaterials ” group and of « Material Department » at INL. Cannon, Ethan (SE.2)
She is mainly involved in optical spectroscopy of III-V Ethan Cannon is a Radiation Effects Engineer with the Solid State
heterostructures and nanostructures using photoluminescence as Electronics Development organization in Boeing Research &
well as photoreflectance spectroscopy. She is developing local Technology in Seattle, where he works on characterization and
optical spectroscopy in order to characterize operating devices mitigation of radiation sensitivity of advanced deep sub-micron
(transistors) as well as to study radiative emission from single technologies. Previously, he worked as a Reliability Engineer
nanostructures. She is author and co-author of more than 120 focusing on radiation-induced soft error simulations, measurements
publications in international journals and conferences. and qualifications in the IBM Systems and Technology Group in
Essex Junction, Vermont. He received the B.S. degree in
Butera, Geni (6A.3) engineering physics from the University of California, Berkeley, and
Geni Butera was born in Lamezia Terme, Italy, in 1982. He the M.S. and Ph.D. degrees in physics from the University of Illinois
received the Bachelor’s degree in electronics engineering and at Urbana-Champaign.
the Master’s degree in electronic engineering cum laude from
University of Calabria, Rende, Italy, in 2006 and 2009, Cao, Yiqun (4D.1)
respectively. From October 2008 to April 2009, he was with Yiqun Cao studied electrical and electronic engineering at the
imec, Leuven, Belgium, as Internship student (Erasmus Project) Rheinisch-Westflische Technische Hochschule (RWTH) Aachen in
for Katholieke Universiteit Leuven, Belgium, focusing on the Germany. He received his Dipl. Ing. degree in 2007. The same year
topic: electrical characterization of advanced MOS devices with he joined smart power technology R&D at Infineon Technologies in
Cu contacts. Munich, where he is currently working on his Ph.D. thesis in close
collaboration with the Institute for On-Board Systems Lab at the
Bychikhin, Sergey (4D.4) Technische Universität Dortmund. His field of research is ESD
Sergey Bychikhin studied physics at Moscow State University protection concepts in high voltage automotive technologies, with
and received Ph.D. in 2000. During his study he was specialized focus on chip- and system-level ESD.
on noise phenomena in electronic systems and devices. His
Ph.D. thesis was dedicated to fluctuations in scanning tunneling Carine, Besset (2B.2)
microscope. Since 2000 he is with device characterization group Carine Besset received the Engineer Degree in physics (2001) from
at Vienna Technical University. He is engaged in thermal and the INPG (Institut National Polytechnique de Grenoble, France).
electrical characterization of devices with optical methods and in She joined STMicroelectronics (Crolles, France) in 1992, where she
thermal modeling. worked on back-end dielectric process developments and
industrialization during 9 years. Since 2001, she is in the Reliability
Cagli, Carlo (5D.1) Group where she is involved in process development-qualification
Carlo Cagli was born in Naples, Italy, in 1984. He received the and process support for reliability aspects in CMOS technologies,
B.S. and M.S. degrees (cum laude) from Università degli Studi Analog and derivative applications. Her main interests are BEOL
di Milano, Italy, in 2005 and 2007, respectively. For his M.S. dielectrics reliability and front-end device reliability.
thesis, he worked on resistive-switching memories (RRAMs)
within the Dipartimento di Elettronica e Informazione, Cartier, Eduard (2B.1, 4A.3, BD.3)
Politecnico di Milano, Milano, where he is currently working Eduard Albert Cartier was born in Switzerland in 1951. He earned a
toward the Ph.D. degree. In 2009 he was a User at the Lawrence bachelors degree (1971), a masters degree (ETH, Zurich,
Berkeley National Laboratories, Berkeley, California (USA). Switzerland, 1977) and Ph.D degree (Dr.sc.nat., granted with
His research interests include the characterization and modeling honors) from ETH in 1982. From 1984 till 1987 he worked as a
research staff member at the ASEA Brown Boweri (ABB) Research
Center in Baden-Dattwil, Switzerland. Since 1988, he works as a Engineering, University of Florida, Gainesville. He is a postdoctoral
research staff member of the IBM Research Division at the T.J. associate in the Department of Materials Science & Engineering,
Watson Research Center in Yorktown Heigths, NY, USA. Over University of Florida, Gainesville, FL. His interests are in growth of
the last 10 years he has been working on the development of carbon nanotube and GaN nanowires, and characterization of III-V
alternative, high-k gate dielectrics for CMOS applications. compounds materials and devices. He has published over 30 journal
publications.
Catthoor, Francky (SE.1)
Francky Catthoor received a Ph.D. in EE from the Katholieke Chang, Wing L. (BD.3)
Univ. Leuven, Belgium in 1987. Between 1987 and 2000, he has Wing L. Chang received the bachelor of science degree in
headed several research domains in the area of high-level and Mathematics and Chemistry from Lyon College in 1995 and the
system synthesis techniques and architectural methodologies, PhD in Physical Chemistry/Material Science from the University of
including related application and deep submicron technology North Carolina at Chapel Hill in 1999. Currently, she is a
aspects, all at IMEC Leuven, Belgium. Currently he is an IMEC technology reliability engineer with the IBM Technology Group in
fellow. He is also part-time full professor at the EE department Hopewell Junction, NY.
of the K.U.Leuven. He has been elected IEEE fellow in 2005.
Chao, Yuan-Peng (MY.3)
Cellere, Giorgio (4B.3) Yuan-Peng Chao was born in HsinChu, Taiwan, R.O.C., in 1984. He
Giorgio Cellere got his MS and Ph.D. degrees in 1998 and 2002 received the B.S. and M.S. degrees in electrical engineering from
at Padua University. As a post-doc researcher at Padua National Chiao-Tung University, HsinChu, Taiwan, R.O.C., in 2006
University, he worked on ultrathin gate oxides reliability, on and 2008, respectively. In 2008, he joined Macronix International
radiation effects on advanced nonvolatile devices, and on Co., Ltd. (MXIC), Hsinchu, Taiwan, R.O.C., and has been with the
microelectronic devices for biological applications. He is now Device Engineering Department, where he has engaged in MOS
with Applied Materials Baccini, Treviso, Italy, where he is device characterization and modeling, interconnect capacitance
working at the development of advanced tools and processes for characterization, and high-voltage device modeling.
crystalline silicon solar cells. He holds more than 100 scientific
publications, including two book chapters and ten patents. He CHAPPAZ, CEDRICK (IC .1)
served as Reviewer and Session Chair in international Cedrick Chappaz received the M.S. in electrical and optronics
conferences and his research works won several prices. engineering from the Ecole Nationale Superieure d’Ingenieur (ENSI
CAEN) in 1998. Afterwards, he pursued a Ph.D. in the field of
Ceric, Hajdin (IC.6) Microsystems. He obtained its Ph.D. in 2003. its expertise in
Hajdin Ceric was born in Sarajevo, Bosnia and Herzegovina, in MEMS, integrated optics and characterization lead him to do a post-
1970. He studied electrical engineering with the University of doctorate year in the Commissariat a l’Energie Atomique (CEA) in
Sarajevo, Sarajevo, and received the Dipl.Ing. degree in 2000 LETI laboratories from 2003 to 2004. Then, he joined
and the Ph.D.degree in technical sciences in 2005 from STMicroelectronics as an expert in Reliability and Characterization
Technische Universität Wien, Vienna, Austria. In June 2000, he fields in 2004. Since this date, he’s in charge of the qualification of
joined the Institute for Microelectronics, Technische Universität BEOL of advanced technologies and specific 3D integration.
Wien, where he is currently a Postdoctoral Researcher. His
scientific interests include interconnect and process simulation. Chatterjee, Amitabh (EL.5, IC.10)
Amitabh Chatterjee graduated from IIT Powai with (5yrs Int.)
Cester, Andrea (4F.2) M.Tech in electrical engineering and subsequently, he joined as a
Andrea Cester received the degree (magna cum laude) in scientist at the Centre for Advanced Technology, Indore in the Laser
electronic engineering and the Ph.D. degree in electronic and Physics Division in 1996. His work involved development of ultra
telecommunication engineering from the Padova University, fast avalanche transistor based HV Marx Bank Circuit ands its
Italy, in 1998 and 2002, respectively. He is currently an impact on the stability of ultra-short laser pulses. His research
Assistant Professor with the Department of Information included analysis of bipolar snapback due to low doped resistive
Engineering, Padova University. He is the author of more than drift region of a HV BJT under avalanche break-down and study of
120 papers published in international journals and conference avalanche injection mechanisms from the ohmic contacts. Currently
proceedings. His previous research interest included the he is working on his doctoral dissertation on the reliability of Drain
reliability issues of deep-submicrometer CMOS devices and Extended NMOS (DeNMOS) under ESD stressing at the Univ. Of
advanced nonvolatile memories. His current research interests CA, Santa Barbara.
are characterization, reliability, and modeling of organic
electronic devices such as OTFT, OLED, and organic and Chatty, Kiran (EL.3)
hybrid solar cells. Kiran Chatty received his B. Tech in Electrochemical Engineering
in 1995 from Central Electrochemical Research Institute (CECRI),
Chancellor, Cathy (4A.6, 5E.5) India, and M.S. in 1996 in Materials Science from New Jersey
Cathy Chancellor received her Bachelor of Science degree in Institute of Technology (NJIT), Newark, NJ and his Ph.D. in 2001 in
Engineering Technology from the University of Arkansas at Electrical Engineering from Rensselaer Polytechnic Institute (RPI),
Little Rock in 1983. She is a Member of the Group Technical Troy, NY. He is a Senior Engineer in IBM’s Semiconductor
Staff in the Texas Instruments External Development and Research and Development Center (SRDC) focusing on ESD and
Manufacturing Reliability group. She has been with TI for over Latchup development in digital and analog & mixed signal CMOS
25 years and is responsible for reliability testing for TI’s leading and BiCMOS technologies. Kiran served as the chair of the
edge technology development. She is co-author on ten papers ESD/Latchup session at the 2006 IRPS, co-chair of the 2009 IRPS,
covering TDDB, NBTI, and process integration and co-inventor chair of the Bipolar, RF and HV ESD session at 2009 EOS/ESD
on one patent. symposium, served as a member of EOS/ESD symposium and IRPS
technical committees. He has authored or co-authored over 35
Chang, C. Y. (CD.3) technical papers in journals/conferences, has 10 issued patents and
C. Y. Chang received the Ph.D. degree in 2006 in physics from many patent applications.
the National Central University, Taiwan, R.O.C. During 2005–
2006, he was a visiting student in Department of Chemical
Chen, An (2C.2) development. He is currently Executive Director of Technology
An Chen is a Member of Technical Staff at Development Center.
GLOBALFOUNDRIES. He is working in the Strategic
Technology Group on emerging logic and memory technologies. Chen, Ming-Shiang (5D.2, 5D.3)
He is an assignee of the Nanoelectronics Research Initiative Ming-Shiang Chen was born in Tainan, Taiwan, R.O.C. on
(NRI) within SRC, and collaborates with university research September 22, 1970. He received the B.S. and M.S. degrees in
groups to develop beyond-CMOS logic solutions. An Chen electronics engineering from National Chiao-Tung University,
received his Ph.D. in Electrical Engineering from Yale Hsinchu, Taiwan, in 1992 and 1994, respectively. He joined
University in 2004. Before joining AMD (currently Macronix International Company, Ltd., Hsinchu, Taiwan, in 1996 as
GLOBALFOUNDRIES) in 2007, he worked in the Advanced a Device Engineer. From 1996 to 1998, he has worked on
Memory Development Group at Spansion LLC. nonvolatile memory devices characteristics analysis. Since 1998, he
has been engaged in the development floating-gate Flash memory
Chen, Fen (5A.5) technology and Nitride-based NBit technology.
Fen Chen received his Ph.D. degree in Electrical Engineering in
1998 from University of Delaware. From 1997 to 1998, he was Chen, Wen-Yi (EL.6)
with IBM System Group at Rochester, MN and Intel Component Wen-Yi Chen received the B.S. degree and the M.S. degree both
Research at Santa Clara, CA as a graduate intern working on from the the Institute of Electronics, National Chiao-Tung
system stress and IC interconnect reliability. He joined IBM University, Hsinchu, Taiwan, in 2003 and 2005, respectively. In
microelectronics at Essex Junction, VT in 1998 and has worked 2005, he joined the Circuit Design Department, SoC Technology
on semiconductor technology reliability issues since that time. Center, Industrial Technology Research Institute (ITRI), Hsinchu, as
During the past several years he has focused on low-k ILD a circuit design engineer. In 2006, he joined the Amazing
TDDB issue for various IBM and IBM Alliance development Microelectronic Corporation and worked with system-level ESD
programs. protection design. He is currently working toward the Ph.D. degree
in the Institute of Electronics, National Chiao-Tung University,
Chen, Kangguo (XT.14) Hsinchu, Taiwan.
Dr. Kangguo Cheng is currently a Lead Engineer of IBM
Research at Albany Nanotech, responsible for leading the Chen, Yi Ning (2C.3)
exploration of fully depleted devices for 22nm node and beyond. Yi Ning Chen received the B.Eng degree from Zhejiang University,
He received a B.Eng. and a M.Eng. from Tsinghua University, China, in 2004, and the M.Eng in materials for microelectronics in
China, and a Ph.D. degree from University of Illinois at Urbana- Catholic University of Leuven, Belgium, in 2005. He joined IMEC
Champaign (UIUC). Since joining IBM in 2001, he has worked (Inter-university MicroElectronic Centre) for student internship in
on process/device integration in a variety of advanced 2005. Since 2007, he is pursuing his Ph.D in Electrical and
semiconductor technologies including memory, embedded Electronic Engineering at Nanyang Technological University,
memory, bulk, SOI, finFET, ETSOI, etc. He is a Master Singapore.
Inventor of IBM with over 200 patents and patent applications.
He has over 50 peer-reviewed journal/conference publications. Chen, Yin-Jen (5D.3)
Yin-Jen Chen was born in Taipei, Taiwan, R.O.C. on October 30,
Chen, Ke-Hung (CD.3) 1976. He received the B.S. and M.S. degrees in electronics
Ke-Hung Chen is currently working toward the Graduate degree engineering from National Chiao-Tung University, Hsinchu,
in the Department of Chemical Engineering,University of Taiwan, R.O.C. in 1999 and 2001, respectively. He joined Macronix
Florida, Gainesville. He is the author or coauthor of more than International Company, Ltd., Hsinchu, in 2001 as a Process
12 papers published in refereed journals. His current research Integration Engineer. From 2001 to 2003, he worked on process
interests include advanced wide bandgap sensors and III-V integration and reliability testing for floating-gate-type Flash
compound electronics reliability. memory. Since 2004, he worked on nitride stroage memory and
focus on the optimization of operation condition.
Chen, Kuan-Fu (5D.3)
Kuan-Fu Chen was born in Taipei, Taiwan, R.O.C. on Chen, Zuhui (NA. 1)
September 21, 1978. He received the B.S. degree in physics Zuhui Chen received the B.S. degree in physics from Fujian Normal
from National Taiwan University, Taipei, Taiwan, R.O.C. in University and M.S. degree in solid-state physics from Xiamen
2000 and the M.S. degree in electrical engineering from University, Fujian, China, respectively in 1998 and 2001, and Ph.D.
National Taiwan University, Taipei, Taiwan, R.O.C. in 2002. He in engineering science from University of Florida, FL, USA, in
joined Macronix International Company, Ltd., Hsinchu, in 2002 2005. In 2006, he was a faculty of the Pen-Tung Sah MEMS
as a Process Integration Engineer. He worked on process Research Center, Xiamen University, China. In 2007, he joined
integration and devices characteristics analysis for nonvolatile Nanyang Technological University as a research fellow with Lee
floating-gate-type and nitride storage flash memory. Kuan Yew Postdoctoral Fellowship. His current interests include
NBTI and interface-trap modeling in silicon MOSFETs.
Chen, Kuang-Chao (5D.3, MY.1, MY.3)
Kuang-Chao Chen received the M.S. degrees in chemistry from Cheng, Cheng-Hsien (5D.3)
the National Chong-Shan University, Taiwan, in 1987. From Cheng-Hsien Cheng was born in Yunlin, Taiwan, ROC., on
1989 to 1995, he joined Electronic Research and Service December 11, 1982. He recieved the BS and MS degree in
Organization (ERSO), Hsinchu, Taiwan, where he has been Engineering and System Science from National Tsing Hua
involved in the development of BEOL planarization process University, Hsinchu, Taiwan, in 2005 and 2007. In 2007, he joined
technology. From 1995 to 1998, he was with Mosel-Vitelic the Advanced Device Department of Macronix International
International Co., Ltd, Hsinchu, Taiwan. He performed yield Company Ltd., Hsinchu, Taiwan. His research interests include the
improvement in manufacturing line. In 1998, he joined device characterization of Flash memory devices.
Vanguard International Semiconductor Co., Ltd, Hsinchu,
Taiwan, as a department manager. He was responsible for thin Chi Wen, Soo (3C.5)
film module development. In 2000, he joined Macronix Chi Wen was born in Johor, Malaysia. He received his B.Sc (Merit)
International (MXIC), where he worked on advanced module and B.Sc (2nd upper Hons.) in Materials Science from National
University of Singapore in 2000 and 2001 respectively. He is Chin, Melida (4C.6, 5A.4)
still pursuing a Master degree in Management Science in Melida Chin received her M.S. and Ph.D. in Mechanical
National University of Singapore. He is currently working in Engineering from the University of Michigan in 2001 and 2005,
GLOBALFOUNDRIES Singapore and responsible for respectively. After graduation, she joined the Technology and
Semiconductor chip Failure Analysis work. His strength is Development group in GLOBALFOUNDRIES (formerly AMD),
Transmission Electron Microscopy (TEM) Imaging Analysis. where she currently works as a Senior Technology and Integration
His area of interests include TEM Imaging techniques and Engineer. Her research work focuses on thermo-mechanical analysis
Management Science. He is one of the authors of 1paper in In- and modeling of subjects such as chip-package interaction and
situ TEM studies published in Applied Physics Letter. reliability of back-end-of-line (BEOL). Before attending graduate
school, she worked as a general engineer at the Panama Canal for
Chihiro, Uchibori (3A.5) the design, build, repair and improvement of electrical and
Dr. Chihiro J. UCHIBORI received his Ph. D. degree from mechanical infrastructure systems.
Kyoto University, before he started his carrier in Fujitsu
Laboratories LTD in 1996. In 2002, he joined a project in the Chioko, Kaneta (4A.5)
University of Texas at Austin as a visiting scientist. Since 2004, Chioko Kaneta received the B.S., M.S., and D.S. degrees in Physics
he is working for Fujitsu Laboratories of America as a Senior from Tohoku University, Sendai, Japan in 1980 and 1982, and 1985,
Researcher. His interests are in the electrical and mechanical respectively. She joined Fujitsu Laboratories Ltd., Japan in 1985 and
reliability of ULSI devices. Not only evaluating the reliability has been engaged in atomic scale simulations of defects in Si
and the manufacturing yield, he is also working on clarification crystal, gate dielectrics, and the interfaces between them for
of the reliability degradation mechanism by experiment and advanced silicon devices. She is a member of the Japan Society of
simulation. Applied Physics and The Physical Society of Japan. She received a
fellow award from the Japan Society of Applied Physics for
Chih-Yuan, Lu (5D.4) contributions in the atomic scale simulations.
Chih-Yuan Lu received B.S. degree from National Taiwan
University in 1972, and Ph.D. degree in physics from Columbia Chiu, Jung-Piao (MY.3)
University, NYC, in 1977. In Dr. Lu has been a professor in Jung-Piao Chiu was born in Kaohsiung, Taiwan, R.O.C., in 1985.
National Chiao-Tung Univ. and with AT&T Bell Labs from He received the B.S. degree in electronics engineering from
1984-1989; later joined ERSO/ITRI in 1989 as a Deputy National Chiao-Tung University, Hsinchu, Taiwan, in 2007, where
General Director responsible for the MOEA grand Submicron he is currently working toward the Ph.D. degree in electronics
Project. This project successfully developed Taiwan first 8-inch engineering. His main research interests are in the field of reliability
manufacturing technology with high density DRAM/SRAM. He analysis in high-k devices and Monte Carlo simulation.
was therefore granted the highest honor prize--National Science
& Technology Achievement Award by the Prime Minister of Chloé, Guerin (2B.2)
ROC, due to his leadership and achievement in this Submicron Chloé Guérin obtained the Engineer degree in materials and
Project. In 1994, Dr. Lu becomes the co-founder of Vanguard microtechnologies from the Institut National des Sciences
International Semiconductor Corporation, which is a spin-off Appliquées (INSA), Toulouse, France, and the M.S. in nanophysics
memory IC Company from ITRI’s Submicron Project. He was from the Université Paul Sabatier, Toulouse, France in 2005. She
the VP of Operation, VP of R&D, and later President from worked in the Reliability Group of STMicroelectronics, Crolles,
1994-99. Dr. Lu now is the founding chairman and CEO of France, in collaboration with the Institut Matériaux
Ardentec Corp. a VLSI testing service company; and also serves Microélectronique Nanoscience de Provence (IM2NP), Toulon,
Macronix International as a Senior VP/CTO, and now the France to receive her Ph.D in microelectronics from the Université
President. Dr. Lu led MXIC’s technology development team to de Provence, Aix-Marseille I, France in 2008. The aim was to study
successfully achieve the state of the art nonvolatile memory Hot Carrier degradation on advanced CMOS technologies under
technology and now responsible for MXIC’s overall operation. both static and dynamic supply voltage. She is currently working in
Dr. Lu has published more than 300 papers and has been granted Photovoltaic for Roth and Rau Switzerland, on high efficiency c-Si
140 worldwide patents, and was elected a Fellow of IEEE, and a cells development.
Fellow of APS. He also received IEEE Millennium Medal, and
the most prestige semiconductor R&D Award in Taiwan from Cho, Moonju (XT.9, XT.10, XT.13)
Pan Wen Yuan Foundation. Received the M.Sc. and the Ph.D. degrees in materials science and
engineering from Seoul National University, Korea, in 2003 and
Chikhaoui, Walf (2E.3) 2007, respectively. Her study was focused on the physical properties
Walf Chikhaoui was born in Tunisia in 1983. He obtained his of ALD-HfO2 films and reliability problems based on
Master degree at the National Institute of Applied Science chlorine/carbon residues.In 2005, she stayed at IMEC, Leuven,
"INSA Lyon" in 2007. He is currently PhD student at Institute of Belgium, working on TDDB and charge pumping. From 2007 till
Nanotechnology of Lyon (INL). His work consists in the February 2009, she did postdoctoral study at IMEC in theoretical
analysis of degradation mechanisms of AlGaN/GaN and modeling for oxide trap characterization. She is currently working at
AlInN/GaN HEMT structures by electrical and optical methods. the Reliability Group, IMEC. Her current interest is on hot carrier
injection and trap characterization study on ultrathin high-k gate
Child, Craig (5A.5) oxide.
Craig Child received a Ph.D. degree in Chemical Physics in Cho, Myoung Kwan (MY.7)
1996 from The University of Texas at Austin. From 1996 to Myoung Kwan Cho received the B.S. degree in E.E. from Yonsei
2001, he was with 3M Corporation in Austin, Tx working as a University, in 1988, and the M.S. and Ph.D. degrees in E.E. from
laser physicist in the Electronic Products Division. In 2001, he Pohang University of Science and Technology 1997 and 2000,
joined Intel Corporation in Hillsboro, OR as a backend of line respectively. In 1988, he joined Samsung Electronics Company,
integration engineer working on 130nm, 90nm, 65nm, and 45nm Korea, where he had been engaged in the development of 2 Tr.
technology nodes. In 2007, Craig joined the IBM ASTA/ISDA EEPROM, Mask ROM, OTP-EPROM, NOR flash, SONOS, NAND
alliance as an AMD employee working on ULK integration in flash. Since 2004, he had worked in Korea Intellectual Patent Office
the 45nm and 32nm technology nodes. as a patent examiner for Non volatile semiconductor memory patent.
And received the B.S degree from KNOU and M.S. degree in law
from Yonsei University in 2007, 2008, respectively and in Chou, Tso-Min (4F.3)
pursuit of Ph.D in law. Since joined Hynix Semiconductor in Tso-Min Chou graduated in Physics from the Tamkang University,
2009, he has been working on device and process integration of Taipei in 1988, and obtained the M.S. and Ph.D. degrees from
SLC and 3bit/cell NAND in 32, 41nm technology. Southern Methodist University, Dallas, TX in 1990 and 1996
respectively. Between 1996 and 2000, he worked at Southern
Choi, Gil-Bok (2C.4) Methodist University as a post-doctoral researcher. Currently he
Received the B.S. (2004) and Ph.D (2010) in electronics works as researcher scientist in the R&D organization at TriQuint
engineering from the Pohang University of Science and Semiconductor, TX.
Technology (POSTECH), Pohang, Korea. His main research
interests include CMOS devices and RF measurement. Chou, Y. C. (CD.1)
Y. C. Chou received the Ph.D. degree in electrical engineering and
Choi, Hyun Ki (5C.1) computer science from the University of California, Irvine, in 1997.
Hyun Ki Choi was born in Busan, Korea, on May 3, 1980. He Since 1996, he has been a HEMT product engineer at Northrop
received the B.S. degree in electronic engineering from Inha Grumman Aerospace Systems (NGAS, Redondo Beach, CA). At
University, Korea, in 2006. He has been working for Samsung NGAS, he has been involved in the technology development of high
electronics, Gyunggi-Do, Korea since 2006 and has been in a reliability assurance on 4-inch GaAs and InP-based HEMT MMICs
Flash Memory Process Architecture team and worked in 42nm for commercial, military, and space applications. In 2009, he was
16Gb NAND flash and 35nm, 32nm 32Gb NAND flash. His elevated to the IEEE Senior member. He has authored and co-
recent research interests include 27nm NAND flash memory authored more than 100 papers in the journals and conferences in the
development and reliability. areas of GaAs, InP, GaN-based , and AlSb/InAs HEMT devices and
MMICs.
Choi, Hyun-Sik (2C.4)
Received the B.S. (2004), M.S. (2006) and Ph.D (2010) in Chou, You-Liang (MY.3)
electronics engineering from the Pohang University of Science You-Liang Chou received the B.S. degree in electrical engineering
and Technology (POSTECH), Pohang, Korea. His main research from National Chiao-Tung University, Hsinchu, Taiwan, in 2006.
is related to CMOS devices. He is currently pursuing the Ph.D. degree at the same university. His
research is devoted to the study of microscopic mechanisms in flash
Choi, Rino (RM.3) memory devices. His research interests include reliability analysis in
Rino Choi received his BS and MS in the Department of semiconductor memory and high-voltage devices.
Inorganic Materials Engineering of the Seoul National
University in 1992 and 1994, respectively. After he worked for Chouard, Florian Raoul (CR.2)
Daewoo Motors Company from 1994 to 1999, he joined a Ph.D Florian Raoul Chouard received the Dipl.-Ing. degree in electrical
program of Materials Science and Engineering in the University engineering from the Technische Universität München (TUM),
of Texas at Austin. After his completion of his Ph.D in 2004, he Germany, in 2007. He is currently working towards the Dr.-Ing.
worked as a project manager of the electrical characterization degree on reliability aspects of advanced analog circuits at the
and reliability of advanced gate stacks at SEMATECH. Institute for Technical Electronics, TUM, in collaboration with
Since September, 2007, he is with School of Materials Science Analog Circuit Exploration, Infineon Austria AG, Austria. His
and Engineering, Inha University, Korea research interests are aging mechanisms of advanced CMOS devices
and the impacts on analog/RF circuits.
Choi, Jeong-Hyuk (5C.1)
Jeong-Hyuk Choi was born on March 8, 1962, in Seoul, Korea. Chowdhury, Uttiya (4F.3)
He received the B.E. degree in chemical engineering from Inha Uttiya Chowdhury received the B. Sc. Engg. in Electrical and
University, Korea in 1985. He joined the Memory Division, Electronic Engineering from Bangladesh University of Engineering
Samsung Electronics, Gyunggi-Do, Korea, in 1985, where he and Technology in 1995 and the Ph. D. (2002) in Electrical
has been working on the process integration of EEPROMs, NOR Engineering from University of Texas at Austin. He has worked as
flash memories, and NAND flash memories. Currently, he is an researcher at Georgia Institute of Technology and Arizona State
executive director of Flash Product & Technology division, and University and presently works at TriQuint Semiconductor, Texas as
leader of Flash Process Architecture team. His current work is a development engineer. His present focus is failure analysis and
focus on high-density NAND flash memories sub 30nm reliability of GaN HFET devices.
technology, and research interests are NVM reliability, cell
technology, yield modeling and field. Chu, Fan (6C.4)
Dr. Fan Chu was born on Dec. 26, 1960 in Beijing, China. He
Chong, Lit-Ho (5D.3) received the B.S. and M.S. degree in electrical engineering from
Was born in Johor Bahru, Malaysia, in 1976. He received the Xi'an Jiaotong University of Xi'an, China, in 1982 and 1987
PhD degree in Microelectronics from the University of respectively. He received PhD. Degree in electronic material science
Southampton in 2006. He joined Macronix International from Swiss Federal Polytechnique Institute (EPFL) in Lausanne,
Company, Ltd., Hsinchu, Taiwan, in 2006 as a device engineer. Switzerland, in 1994. From 1994 to 1996, he was a post-doctoral
He has been working on the research and development of the research fellow in EPFL , Switzerland and in MRL in the
nitride storage Flash memory. Pennsylvania State University, USA. He is presently a principal
scientist in Ramtron International Corp. dealing with F-RAM
Chou, H.L. (2F.3) process and product development.
H.L. Chou received the M.S. degree in Mechanical Engineering
from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C. Chu, Byung-Hwan (CD.3)
in 2002. He joined the Taiwan Semiconductor Manufacturing Byung-Hwan Chu received his B.S. degree in chemical engineering
Company (TSMC) , Hsinchu, Taiwan, R.O.C. in 2002. He at New Mexico State University in 2006. He joined the Chemical
works in Division of Anolog Power IC and Special Technology. Engineering department at the University of Florida in 2006 and
He is currently the Principle Engineer for the development on earned his M.S. degree in 2008. He is currently continuing his study
power IC and BCD technology. at University of Florida as a Ph.D. student. His research interests are
in GaN devices.
Chung, Chilhee (5C.1) deputy manager of TIGER, a common laboratory between IEMN
Chilhee Chung is an Executive Vice President of Semiconductor and ALCATEL - THALES III-V Lab, working on wide bandgap
R&D Canter in Samsung Electronics. He is in charge of semiconductors. He is the author or a co-author of about 250
Technology Development for DRAM, Flash Memory, PRAM, publications and communications.
and Advanced Logic in Semiconductor R&D Center. He has
been with Samsung Electronics, Semiconductor Business since Defrance, Nicolas (2E.3)
1979. he was promoted to Senior Vice President in January Nicolas Defrance received his M.Sc. and Ph.D. degrees in Electrical
2005. He was in charge of LSI Technology Development as Engineering in 2004 and 2007, respectively. He worked on
wall as product development of Image sensor and Driver IC. characterization, modeling and technology of AlGaN/GaN HEMTs
Along with promotion to Executive VP in January 2009, he for microwave power applications. After graduation he worked at
moved to Memory Division as a head of Flash Product and Thalès Alenia Space - Belgium within Research & Innovation
Technology group. Dr. Chung has got Bachelor of Science in group. Since 2009 he joined the Institute of Electronics,
Physics from Seoul National University, Master of Science in Microelectronics and Nanotechnology (IEMN) as an associate
Physics from KAIST (Korea Advanced Institute of Science and professor. His current research activities rely on the study of
Technology), and PhD in Physics from Michigan State advanced wide bandgap-based devices for millimeter wave
University. applications with emphasis on electrical characterization. He carries
out his teaching activities at the University of Lille in the field of
Cirba, Claude (5E.5) electrical engineering.
Claude R. Cirba was born in August, 21, 1965 in Dakar,
Senegal. He attended the University of Montpellier in France, Degraeve, Robin (2A.3, XT.9, XT.13)
receiving his Ph.D. in Electrical Engineering in 1996. He was a Robin Degraeve received the M.Sc. degree in electrical engineering
Senior Research Associate in the field of radiation effects on from the University of Gent, Belgium, in 1992, and the Ph.D. degree
semiconductors at Vanderbilt University, Nashville TN, from from the Catholic University of Leuven, Belgium, in 1998. He
1997 to 2003. Since 2003 he is a modeling specialist within the joined the Interuniversity Microelectronics Center (IMEC), Leuven,
RF-CMOS Spice Modeling Lab at Texas Instruments, Dallas in 1992 in the CMOS Reliability and Characterization group, where
TX. For several years he has been an industrial liaison on TI- he is working as a Principal Scientist. His work currently focuses on
sponsored university research projects and actively participated advanced and novel characterization techniques for studying
on the GEIA Compact Model Council, an international electrical defects in dielectrics and related reliability aspects. This
consortium which promotes standardization of models for includes characterization and reliability of high-k material in
integrated circuit design. Claude is author or co-author of 18 transistor and memory applications, hot-carrier related issues and
refereed professional publications. breakdown physics.

Croes, Kristof (5A.1, 5A.2, 5B.4, 6A.3) Della Marca, Vincenzo (6C.1)
Kristof Croes received his BSc in physics at the Catholic Vincenzo Della Marca was born in Modena, Italy, in 1983. He
University of Louvain (Belgium) in 1993 and his MSc in received B.S. and M.S. degrees in electronic engineering from the
biostatistics at the Limburgs Universitair Centrum (LUC) in Università degli Studi di Modena e Reggio Emilia, Modena, Italy, in
1994. In 1999, he obtained his PhD, concerning the development 2005 and 2008, respectively. During his M.S. degree thesis, he
of statistical techniques for planning reliability experiments. studied and characterized electrical properties, in Phase Change
After that, he joined the reliability business unit of XPEQT, first Memory (PCMs) devices. Since 2008 he has been working for
as the software responsible and than as the manager of the R&D. Università degli Studi di Modena e Reggio Emilia, on
From 2003 till end 2006, he was product and application characterization of electrical properties in TANOS devices. His
manager of the package level reliability products of Chiron research interests also include the characterization and modeling of
holdings. Beginning 2007, he went back to research, working as resistive-switching memories (RRAMs).
a BEOL reliability engineer in IMEC.
Demirtas, Sefa (2E.2)
Curutchet, Arnaud (2E.3) Sefa Demirtas graduated from Bogazici University in June 2007
Arnaud Curutchet was born in Dax, France, in 1975. He with a Bachelor of Science degree in Electrical and Electronics
received the Ph.D. degree in electronics from the University of Engineering. He earned his Master's degree from MIT in June 2009
Bordeaux, Talence, France, in 2005. In 2006, he held a post- in the area of device physics and reliability of GaN high electron
doctoral position with the Institut d'Electronique, de mobility transistors. He is currently pursuing his Ph.D at MIT.
microelectronique et de nanotechnnologies (IEMN) laboratory.
One topic of his post-doctoral position was non linear Demuynck, Steven (6A.3)
characterization of CNFETs. He is currently an Associate Steven Demuynck received a PhD in Physics from the Katholieke
Professor with the University of Bordeaux (ENSEIRB- Universiteit Leuven in 2000. In 2001 he joined imec where he
MATMECA), and carries out his research at the Intégration du joined the interconnect integration team. His research focuses on
Matériau au Système (IMS) laboratory. He has authored or advanced contact module and narrow pitch low-k integration. He
coauthored 13 publications in international conferences and has (co-) authored over 70 conference and journal publications in
journals. His research concerns electric characterization (low- the field of microelectronics.
frequency noise, pulsed and microwave measurements) and
modeling of GaN HEMTs devices. Denison, Marie (HV.1)
Obtained her M.Sc. degree in Applied Physics from the University
De Jaeger, Jean Claude (2E.3) of Liege, Belgium in 1997 and the Ph.D. degree in Electrical
Jean-Claude DE JAEGER is currently Professor of Engineering from the University of Bremen, Germany in 2004.
electronics.He is head of the Microwave Power Devices From 1998 to 2005 she worked on the development of Smart Power
research group at IEMN. Current research and developed devices and technologies at Infineon Technologies in Germany.
projects concern the simulation, the design, the fabrication and Since 2005, she has been working at Texas Instruments, Dallas,
the measurement of microwave power HEMTs based mainly on Texas on the development of BCD (Linear BiCMOS) technologies
GaN as well as devices based on wide bandgap semiconductors and on high-voltage component design and process integration.
such as BN, AlN and diamond. From 2002 to 2007, he was also
Deora, Shweta (XT.15) Engineer/Scientist in the Semiconductor Research & Development
Shweta Deora received her bacholar of engineering degree in Center (SRDC) focusing on ESD device and model development in
Electronics and communication Engineering from Sardar Patel SOI technologies.
University, Gujarat, India in 2004. She was at IIT Bombay
working as research assistant on radtion sensor project during Didier, Goguenheim (2B.2)
2005-2006. Since 2006, she is doing her PhD in electrical Didier GOGUENHEIM received the Engineer degree in electrical
engineering department, IIT Bombay. Her reserch interest are in engineering from Institut Supérieur d'Electronique du Nord (ISEN-
field of semiconductor device physics, reliability and modeling Lille) in 1987 and the Ph.D degree in Physics from the University of
of CMOS devices. She is currently working on NBTI in SiON Lille in 1992. In 1992, he joined ISEN-Toulon (Institut Supérieur de
and high-k gate dielectrics. l'Electronique et du Numérique) school, where he still works as
Director of the Research activities. Since 2000, he has been member
Depner, Martin (6C.4) of the IM2NP laboratory (Institut Matérieux Microélectronique et
"Marty" Depner lives in Colorado Springs with his wife, Nanosciences de Provence, UMR CNRS 6242). His current research
daughter, and three sons. He graduated in 1989 with B.S. in fields concern static and dynamic degradation modes in advanded
Physics from the University of Colorado at Colorado Springs. MOSFETs, reliability of ultra-thin gate insulators (< 2 nm), and
He started in the semiconductor industry at Ramtron, working defect characterization in insulators and semiconductors.
from 1995 to 2001 as an Engineering Technician in the FAB. He
worked for Atmel, Corp., in the FAB, for most of 2001 and Digh, Hisamoto (PI.3)
returned to Ramtron in February 2002 where he worked on the Digh Hisamoto received the B.S., M.S. degrees in reaction
joint TI/RIC project helping to create the world's first high chemistry and the Ph. D. degree in electronic engineering from the
density F-RAM product. He is presently a Product Engineer at University of Tokyo, Tokyo, Japan, in 1984, 1986, and 2003,
Ramtron. respectively. In 1986, he joined Central Research Laboratory,
Hitachi Ltd., Tokyo, where he has been working on ULSI device
Derkits, Gustav (FA.5) physics and process technologies. His current research interests
Gustav Derkits, Ph.D., is a Reliability Physicist in the Alcatel- include thin-film SOI materials, short-channel MOSFETs,
Lucent Reliability Physics Group in Murray Hill, NJ. He joined semiconductor memories, Si-RF devices, and Si-Photonics devices.
Bell Laboratories in 1982 and has worked in a variety of Dr. Hisamoto is a member of IEEE Electron Device Society, the
technical areas including design and fabrication of III-V Japan Society of Applied Physics, and the Institute of Electronics
electronic and optoelectronic devices, and physics and chemistry and Communication Engineers of Japan.
issues affecting yield, quality, and reliability of
telecommunications products. Gus has over 30 US patents and Djelassi, Christian (4C.5)
has authored over 20 papers in peer-reviewed journals. He has Christian Djelassi received his Diploma degree in electronics and
been certified by the American Society for Quality as a Six equipment engineering from the Carinthia University of Applied
Sigma Black Belt. Science in Villach in July 2009. Since his diploma thesis he works
as a researcher in the Quality and Reliability Group of KAI and
Detcheverry, Celine (2D.2) Infineon, respectively. His main research fields contain package
Celine Detcheverry received her PhD in Electronics from the stress and short circuit measurements as well as FEM simulations.
University of Montpellier II, France. She joined Philips
Research in 1998 to work on Polymer Electronics circuits and Domengie, Florian (3C.2)
models. In 2001 she moved to Philips Resarch Leuven, Belgium, Florian Domengie was born in France in 1984. He received the
to explore process options in advanced CMOS for RF engineer degree in physics engineering and the M.S. degree in
applications. In 2004 she joined Philips Semiconductors 300mm materials for electronics and plasma engineering in 2007 from the
Foundry in Crolles, France, within the Alliance of Philips (to Institut National des Sciences Appliquées (INSA), Toulouse,
become NXP in 2007) / ST microelectronics and Freescale France. Since 2007, he is working as a Ph.D. student in
Semiconductors. She hold there a position of RFCMOS Project STMicroelectronics (Crolles, France) in collaboration with the
coordinator for the 3 companies. In 2007 she became Process Institute of Microelectronics, Electromagnetism and Photonics
Owner CMOS065 at NXP Semiconductors in Nijmegen. (IMEP-LAHC, Grenoble, France). His current research interests are
the electrically active defects in CMOS image sensors and the
Detzel, Thomas (IC.6) metallic contamination in silicon.
Thomas Detzel received the M.S. degree in physics from the
University of Konstanz, Konstanz, Germany, and the Ph.D. Douvry, Yannick (2E.3)
degree in surface and thin film physics in 1994 from the Max- Yannick Douvry was born in Cambrai, France, in 1985. He obtained
Planck-Institute, Garching, Germany. In 1995, he was with both his master degree at the University of Lille1 and his engineer
Rodel Europe GmbH, where he was an Application Manager for diploma at the “Institut Supérieur d’Electronique et du Numérique
chemical–mechanical polishing. In 1999, he joined Infineon (ISEN)” in 2008. He is currently working as a PhD student at the
Technologies Austria AG, Villach, Austria, where he was Institute of Electronics, Microelectronics and Nanotechnology
responsible for the metallization development of power (IEMN). The topic of the thesis consists to improve design and
semiconductors, has been the Project Manager of different manufacture of AlGaN / GaN HEMTs for microwave power
power integrated circuit developments since 2004, and has been application in K-band and Ka-band. His main activities are
leading the research project Robust Metallization and processing and characterization. He is also following an applied
Interconnect in the Competence Center for Automotive and mathematics’ master degree.
Industrial Electronics since 2006.
Doyen, Lise (IC.8)
Di Sarro, James (EL.3) Lise Doyen received the Engineering degree in materials and
James Di Sarro received the B.S. degree in electrical microelectronics from the Institut National des Sciences Appliquées,
engineering and economics from Duke University in 2004. He Toulouse, France, in 2005, and the Ph.D. degree in micro- and
received the M.S. degree in 2006 and the Ph.D. degree in 2009 nanoelectronics from the Université Joseph Fourier, Grenoble, in
from the University of Illinois at Urbana-Champaign, both in 2009. Her dissertation focused on electromigration in advanced
electrical engineering. In 2009, he joined IBM as an Advisory copper interconnects. in collaboration with the CEA-Leti/Minatec
and the Science et Ingeniére des Matériaux et Procédés He also holds a postdoctoral position at the Catholic University of
Laboratory, Grenoble, France. She is now with Central CAD Leuven. He is a Postdoctoral Fellow with the Fund for Scientific
Design Solutions, STMicroelectronics, Crolles, France, working Research-Flanders, Belgium. His current research interests include
on silicon integrity. the characterization and modeling of Ge MOS devices, Ge junction
analysis, and modeling of alternative device structures.
Du, Guoan (XT.5)
G. A. Du received the B.Eng. (Hons) degree from the School of Enichlmair, Hubert (2A.5)
Electrical and Electronic Engineering, Nanyang Technological Hubert Enichlmair received the M.S.(1991) from the University of
University, Singapore. He is currently pursuing the Ph.D. degree Graz and the Ph.D.(1995) in solid state physics from the Technical
in the same school under a NTU Graduate Research Scholarship. University in Linz, Austria. In 1995 he joined austriamicrosystems
His research project is on the characterization of bias- AG, Unterpremstaetten, Austria, where his present activities are
temperature instability in advanced P-MOSFETs. focussing on the reliability of integrated power devices. He is author
and co-author of over 30 papers in international journals and
Du, Pei-Ying (MY.1) proceedings and issued several patents.
Pei-Ying Du was born in Taipei, Taiwan in 1982. She received
her B.S in engineering and system science from National Tsing- Erica, Douglas (CD.3)
Hua University (NTHU) in 2004, and Ph.D. degree in electrical Erica Douglas received her B.S. degree in Physics at the University
engineering from National Chiao-Tung University (NCTU) in of Floirda in 2008. She is currently a graduate student in the
2009. She joined Emerging Central Lab. (ECL) in Macronix Department of Materials Science & Engineering at the University of
International (MXIC) in 2006, where her current research is Florida. She has published 5 papers in refereed journals, and her
engaged in the theoretical modeling and reliability physics of interests are in wide bandgap devices and their reliability.
nitride trapping Flash Memories.
Fang, Zheng (MY.4)
Dua, Christian (2E.3) Fang Zheng received his B.Eng in Electrical and Electronics
Christian Dua received the Engineer degree in Physics from the Engineering (EEE) from Nanyang Technological University (NTU)
University of Clermont Ferrand (France) in 1980. He has Singapore in 2008. He is currently pursuing his PhD degree in EEE,
worked in different Units of THOMSON-CSF Group (previous NTU working on metal oxide based resistive random access
name of THALES) in the field of microwave devices and memory. His research interest includes memory device fabrication
optoelectronic components. From 1982 to 1996 he gained as well as physical and electrical characterization.
experience in crystal growth and physical and electrical
characterization of semiconductor materials. In 1997 he joined Fantini, Paolo (6C.2, MY.6)
the research unit of Thales, TRT, and is participating in the Paolo Fantini received the Laurea and the Ph.D. degree in physics
development of wide band gap semiconductor technologies. His from Modena University, Italy in 1999. In 2000 he has been
present activities include the study of reliability of GaN HEMTs. engaged by STMicroelectronics to work in the Compact Modeling
team. Since 2004 he is a Team Leader of Compact Modeling of
Eliason, Jarrod (6C.4) STMicroelectronics. After the Numonyx foundation in 2008 he held
Jarrod Eliason received his BSEE in Electrical Engineering from the Compact Modeling Manager position in Numonyx. He published
GMI Engineering and Management Institute (now Kettering more 50 papers covering many fields, from the solid-state physics to
University) and currently leads the memory macro design group device physics, modeling, low-frequency noise.
at Ramtron. Between 2001 and 2004, Jarrod participated in the
joint development program between Ramtron and Texas Faqir, Mustapha (2E.5)
Instruments to commercialize F-RAM on TI’s 130nm process. Received the M.S. degree (summa cum laude) in electronics
Jarrod holds 18 patents related to ferroelectric memory. Along engineering from the University of Modena e Reggio Emilia, Italy.
with his father, Jarrod developed a side scan sonar system which In 2009, he received the Ph.D. degree in electronics engineering,
has been used to locate 10 Lake Superior Shipwrecks. They are jointly from the University of Modena e Reggio Emilia and the
currently planning a trip to Newfoundland to search for U-656, University of Bordeaux 1, France. He was with MD Microdetectors,
the first U-boat sunk by U.S. forces in WWII. Modena, where he worked for two years as an R&D Engineer. His
research interests include the study and the analysis through
Emmanuel, Vincent (2B.2) experimental measurements and numerical simulations of trapping
Emmanuel Vincent received the Engineer degree in electronics, effects in gallium nitride devices and their reliability, as well as
the M.S. degree in microelectronics in 1992 and the Ph.D. thermo-mechanical modeling and characterization of GaN
degree in microelectronics in 1996 from the Institut National electronics packaging. Currently, he is a research assistant in the
Polytechnique de Grenoble (INPG), Grenoble, France. He CDTR at the University of Bristol, UK.
received the Ph.D. degree through a collaboration between
STMicroelectronics Central R&D Labs, Crolles, France, and the Farbiz, Farzan (4D.2)
Laboratoire de Physique des Composants à Semiconducteur Farzan Farbiz is a Ph.D. candidate in the Department of Electrical
(now IMEP/ENSERG), Grenoble. Since 1993, he has been with and Computer Engineering at the University of Illinois at Urbana-
STMicroelectronics, where he held various positions in the Champaign. He received his B.S. degree in electrical engineering
reliability area. He is currently an Electrical Characterization from the University of Tehran, Iran. Since 2005, he has been at the
and Reliability Manager in the Crolles 2 Alliance. University of Illinois, studying integrated circuit reliability in the
Illinois Center for Integrated Microsystems (iCIMS). He has held
Eneman, Geert (XT.10) summer positions at Freescale and Texas Instruments. He has
Geert Eneman received the B.S. and M.S. degrees in electrical received the 2008 IRPS best student paper award and the 2010
engineering and the Ph.D. degree on the topic of “Design, Gregory Stillman semiconductor research award from University of
fabrication, and characterization of strained silicon transistors” Illinois for excellence in semiconductor research.
from the Catholic University of Leuven, Leuven, Belgium, in
1999, 2002, and 2006, respectively. His Ph.D. work was done in
the Interuniversity MicroElectronics Center (IMEC), Leuven.
He is currently with the CMOS Technology Department, IMEC.
Farina, Fabrizio (MY.6) Protection, and has authored over 100 papers in those areas of
Fabrizio Farina was born in Brindisi, Italy, in 1985. He received expertise.
the B. degree in Electronics Engineering from the Politecnico di
Milano, Milan, Italy in 2007. Since 2009 he has been working Frei, Stephan (4D.1)
on characterization and modelling of Advanced non-volatile Stephan Frei was born in Germany in 1966. He received his diploma
memories. His research interest is in the areas of application- in electrical engineering in 1995. From 1995 till 1999 he was a
specific integrated circuit design. research assistant for EMC at the University of Technology in
Berlin. There he investigated the influence of ESD on electronic
Ferro, Massimo (6C.2) devices and the occurrence rate of ESD in typical environments. In
Massimo Ferro was born in Italy, in 1983. He received the 1999 he received his Dr.-Ing. degree. From 1999 till 2005 he
master Laurea in electronic engineering from the Politecnico di worked at the car manufacturer AUDI AG in Germany. Here he
Milano, Milan, Italy, in 2009. During his thesis, he worked on introduced and developed, among other things new methods for the
phase change memories with the Department of Electronic computation of EMC in automobiles. In 2006 he was appointed as
Engineering, Politecnico di Milano, collaborating with the professor for vehicular electronics at the University of Technology
Modeling & Characterization team of the Advanced R&D, in Dortmund, Germany. His recent research interests include
Numonyx, Agrate Brianza, Italy, where he has been working on automotive EMC, Signal Integrity of automotive bus systems, ESD,
modeling and characterization of transport properties of and numerical modelling. Professor Frei is active and chairman in
amorphous chalcogenide-based devices. several national and international EMC standardization groups.
From 2008 till 2009 he was appointed as Distinguished Lecturer
Fleming, Debra (FA.5) from the IEEE EMC Society.
Debra Fleming is a Member of the Technical Staff for
Reliability Engineering Group at Alcatel-Lucent in Murray Hill, Frost, Christopher (4B.3)
New Jersey. Debra is a materials engineer with expertise in Christopher Frost has been a research scientist at ISIS, the UK’s
materials processing, characterization, failure mode analysis, pulsed neutron source sited at the Rutherford Appleton Laboratory,
and component prototyping. Her present work is focused on the UK, since 1998. He took his BA degree at Trinity College,
reliability of lead-free solder and the failure mode analysis of Cambridge University where he stayed to complete an M.Phil. and
telecommunication components.. She received her B.S. and Ph.D. in neutron science at the Cavendish Laboratory. He undertook
M.S. in Ceramic Science and Engineering from Rutgers a Post-Doctoral position at Warwick University where he was
University in New Brunswick, NJ. Debra has 18 U.S. patents seconded to ISIS to help develop the world leading MAPS
and has coauthored more than 50 papers in the area of novel spectrometer. He currently leads the design and development of
optical, electronic, and magnetic materials and components. CHIPIR, a new neutron irradiation facility for electronics. He is a
co-author on over sixty scientific and technical papers in the areas of
Francis, Rick (2D.3) condensed matter and fast neutron science.
Rick Francis is an associate engineer at GLOBALFOUNDRIES
in Sunnyvale California where he works on reliability testing for Fugazza, Davide (6C.3)
lifetime and design manual characterization of sub-micron Davide Fugazza was born in 1981. He received the M.S. degree
devices. He graduated from Heald College in San Jose with a (cum laude) in Electronic Engineering from Politecnico di Milano,
degree in electrical engineering in 2000 when he joined Italy, in 2006. In 2006-07 he worked as a digital hardware engineer,
Advanced Micro Devices. He has been with AMD and developing base band processing algorithms for PtP microwave
GLOBALFOUNDRIES for over nine years as an associate radio systems. In January 2008 he joined the Dipartimento di
engineer and software programmer. He is currently attending Elettronica e Informazione, Politecnico di Milano, as a Ph.D. student
University of Phoenix to obtain a B. S. degree in software in Information Technology. His primary research interests are in the
engineering. area of microelectronics devices and his research activity is actually
mainly focused on the characterization and modeling of switching
Franco, Jacopo (2A.3, XT.10, XT.13) and reliability characteristics for phase change non volatile
Jacopo Franco received the B.Sc. and M.Sc. degrees in memories (PCMs).
Electronic Engineering from the University of Calabria - Italy,
in 2005 and 2008 respectively. His M.Sc. thesis was developed Fujii, Shosuke (MY.2)
during an internship at IMEC, Leuven - Belgium, and is related Shosuke Fujii received the B.S. (2005) and M.S. (2007) in materials
to reliability issue in advanced Silicon and Germanium science and engineering from Kyoto University, Kyoto, Japan. He
MOSFETs. Since Feb. 2009 he is working toward a Ph.D. joined Advanced LSI Technology Lab, Toshiba Corp., Yokohama,
degree in the reliability group of IMEC and at the Katholieke Japan, in 2007, where he has been engaged in the research on
Universiteit Leuven, on the topic “Interface stability and reliability physics of non-volatile memories.
reliability of Ge and III-V transistors for future CMOS
applications”. He is the recipient of the Ed Nicollian Best Fujiki, Jun (MY.2)
Student Paper Award at the 40th IEEE Semiconductor Interface Jun Fujiki received the B.S. (2003) and M.S. (2005) in applied
Specialists Conference (SISC). physics from the department of applied physics school of
engineering, Tokyo University, Tokyo, Japan. He joined the
Franey, John (FA.5) Advanced LSI Technology Lab, Toshiba Corp., Yokohama, Japan,
John Franey P.E. is a Distinguished Member of Technical Staff in 2005, where he has been engaged in the research on reliability
in the Alcatel-Lucent Reliability Physics Group in Murray Hill, physics of non-volatile memory devices.
NJ. He joined Bell Laboratories in 1970. His work has been
focused on failure analysis and the interactions of materials with Fujisawa, Takafumi (5F.2)
people and atmospheric environments. With degrees in Takafumi Fujisawa was born in Nagano, Japan, on March 22, 1985.
Electronics, Chemistry, and Material science he has been a He received the B.S. degree in electronic engineering from Tohoku
corrosion consultant on the Restoration of the Statue of Liberty, University, Sendai, Japan in 2008. He is currently working toward
and, the State Department in Washington, DC. John has 36 US the M.S. degree in the Graduate School of Engineering, Tohoku
and Foreign Patents in the areas of Corrosion and ESD University (MC2).
His research interests are the development of processes Analog Circuit Exploration group at Infineon Technologies Austria
suppressing the variability of MOSFETs and Random Telegraph AG. He is currently working on high-speed mixed signal and digital
Signal (RTS) noise. enhanced RF circuits in advanced CMOS nodes.

Fujitsuka, Ryota (MY.2) Funayama, Kota (PI.2)


Ryota Fujitsuka received the B.S. (2003) and M.S. (2005) Kota Funayama received his B.E. and M.E. in materials science
degrees, from Nagoya University, Nagoya, Japan. In 2005, He from Tohoku University, Miyagi, Japan in 1995 and 1997,
joined Process and Manufacturing Engineering Center, Toshiba respectively. He joined Hitachi, Ltd., Tokyo, Japan in 1997. Since
Corporation, Semiconductor Company, Yokohama, Japan, 2003, he has been working as an engineer for Renesas Technology
where he worked on development of dielectric film for advanced Corp. (now Renesas Electronics Corporation), Ibaraki, Japan, where
nonvolatile memory device. He is currently engaged in he is engaged in the research and development of advanced MCU
development of nonvolatile memory device in Advanced technology.
Memory Development Center, Semiconductor Company,
Toshiba Corporation, Yokkaich, Japan Futase, Takuya (PI.1, PI.2)
Takuya Futase received his B.E. and M.E. in materials science and
Fujiwara, Tetsuo (PI.1) engineering from Muroran Institute of Technology, Hokkaido, Japan
Tetsuo Fujiwara received his B.E. and M.E. in materials science in 1994 and 1996, respectively. He began pursuing a Ph. D. in
from Kyoto University, Kyoto, Japan in 1987 and 1989, materials science from the University of Tsukuba, Ibaraki, Japan in
respectively. He joined Hitachi Research Laboratory of Hitachi, 2010. He joined Hitachi ULSI Engineering Corp. in 1996 and then
Ltd., Ibaraki, Japan in 1989. Since 2005, he has been working as joined Hitachi, Ltd. and Renesas Technology Corp. in 2001 and
a senior engineer for Renesas Technology Corp. (now Renesas 2002, respectively. Since 2010, he has been working for Renesas
Electronics Corporation), Ibaraki, Japan, where he is engaged in Electronics Corporation, where he is engaged in front-end
developing metallization processes. metallization for advanced logic devices. Mr. Futase is a member of
The Japan Society of Applied Physics and of IEEE.
Fukatsu, Shigeto (4C.2)
Shigeto Fukatsu, received the B.E. (2000), M.S. (2002), and Gadlage, Matthew (3A.2, 6E.2)
Ph.D. (2005) in applied physics from Keio University, Japan. In Matthew J. Gadlage received his B.S. in electrical engineering from
2005, he joined Advanced LSI Technology Laboratory, Toshiba the University of Evansville in 2002 and his M.S. in electrical
Corporation, Yokohama, Japan. He has been engaged in the engineering from Vanderbilt University in 2004. Since 2002, he has
research on the reliability physics of MOSFETs. been a member of the Radiation Sciences Branch at Crane Naval
Surface Warfare Center. His primary research interests include the
Fuketa, Hiroshi (3A.4) effects of radiation on electronic circuits and soft errors. He has
Hiroshi Fuketa received the B.E. degree from Kyoto University, authored or co-authored over 30 papers in these research areas. He
Kyoto, Japan, in 2002 and the M.E. degree in information is planning to finish his Ph.D. in electrical engineering at Vanderbilt
systems engineering from Osaka University, Osaka, Japan, in University in the spring of 2010.
2008. He is currently pursuing the Ph.D. degree from the
Graduate School of Information Science and Technology, Osaka Galbiati, Nadia (MY.5)
University. His research interests include ultra-low-power Nadia Galbiati graduated in physics from the University of Milano
circuit design and variation modeling. Mr. Fuketa is a student Italy, (1994) and received the Material Science Diploma in (1997)
member of IEEE and IEICE. from the University of Milano Italy. In 1998 she joined the
Fukuda, Toshikazu (5F.4) STMicroelectronics in Agrate Brianza (Italy) working in the Non
Toshikazu Fukuda received B.S. degree and M.S. degree in Volatile Memory Process Development group within the Dielectric
mathematics from the Osaka University, Osaka, Japan, in 1993 Reliability group of Central R&D. Her research activities include
and 1995, respectively. He joined TOSHIBA Corporation in failure and wear-out mechanism of all active dielectric of non
1995 as a TCAD development engineer. Since 2000, he has valatile memories, in particular characterization of dielectrics and
worked on static-RAM development: circuit and layout design, new materials for charge trap memory applications.
library development, yield estimation and soft-error related
issues. He joined Environmental Variability Tolerant Device Gasiot, Gilles (4B.4)
Technology Program of MIRAI project in 2008. Gilles GASIOT received the M.S. (2000) and Ph.D. (2004) in
microelectronics from the University of Bordeaux, France. His
Fukutani, Atsuyuki (4C.1) thesis research (in collaboration with STMicroelectronics and
Received D.Sc. from the University of Tokyo in 1990. From French Atomic Energy Commission, Military applications centre at
1990 to 1995, he worked as a research associate in the Surface Bruyères-le-Chatel) focused on the reliability of bulk and SOI
Science group at Institute for Solid State Physics of the devices in the natural radioactive terrestrial environment (neutrons
University of Tokyo. Since 1995, he has been at Institute of and alpha particles). In 1999, he joined for a trainee period the
Industrial Science of the University of Tokyo where he is a Radiation Effect Group at Vanderbilt University, USA. Since 2004,
Professor of the Department of Fundamental Engineering. His he has been with Central R&D, STMicroelectronics, Crolles,
major is surface and interface physics, and he is currently France, and has been actively working on soft error rate
interested in chemistry and physics of hydrogen at solid surfaces characterization (experimental and simulated) for ultradeep
and interfaces. submicrometer CMOS processes. Dr. Gasiot has coauthored more
than 40 articles and holds 2 patents in radiation hardening. He is also
Fulde, Michael (CR.2) member of the IEEE.
Michael Fulde received the Dipl.-Ing. degree in electrical
engineering from the Technische Universität München (TUM), Gauthier Jr., Robert (EL.3)
Germany, in 2005 and the Dr.-Ing. degree in 2009. From 2005 Robert Gauthier has worked in IBM’s Microelectronics Division
to 2008, he was with the Institute for Technical Electronics, since 1995. He has worked in the areas of ASIC design, CMOS
Technische Universität München, where he worked on device design (350nm -180nm technology nodes), ESD/Latchup
technology oriented analog and mixed-signal circuit design in technology and design enablement development (250nm - 15nm
emerging multi-gate CMOS technologies. In 2008 he joined the technology nodes). He is currently a Senior Technical Staff Member
(STSM) and Manager in IBM’s System and Technology Group research interests are in the field of nonvolatile memory
(Semiconductor Research and Development Center’s - SRDC) development and device modeling, simulation and characterization.
where his department focuses on ESD/Latchup development for Dr. Ghetti received twice the “Outstanding paper award” at the
IBM’s analog and mixed signal, leading edge bulk CMOS , RF- International Reliability Physics Symposium in 2000 and 2008.
CMOS, silcon-on-insulator (SOI) and research technologies.
His current research interests are in the area of next generation Ghidini, Gabriella (MY.5)
ESD devices/solutions for 15nm and beyond technology nodes. Gabriella Ghidini received the Ph.D. in physics in 1983 from the
His external involvement outside of IBM consists of serving as City College of New York. In 1983 she joined the Central R&D
the vice-chair of the ESD/Latchup session at the International department of STMicroelectronics in Agrate Brianza, Italy. In 1987
Reliability Physics Symposium (IRPS) for the 2000 symposium she moved to the Non-Volatile Memory division, becoming the
and chair of the ESD/Latchup session in 2001. He was session leader of the Dielectric Reliability Group. Her research activities
chair and moderator of the On-chip CMOS session at the include failure and wear-out mechanism of active dielectric of
EOS/ESD symposium in 2003 and in 2007 the session chair of Flash NOR and NAND memory devices and the evaluation of new
the On-chip physics session at the EOS/ESD symposium. In technologies for the future generations. She is coauthored over 130
addition, from 2002-2009, he has been actively serving on the papers and 12 patents in the abovementioned topics. From 2008 she
EOS/ESD symposium TPC sub-committees. In 2007 he was joined Numonyx (Agrate Brianza, Italy) with the same role.
one of the founders of the International ESD Workshop (IEW)
where he has served roles as Communication Chair and Ghidotti, Michele (5C.2)
Technical Program Chair. In 2009 he was the EOS/ESD Michele Ghidotti was born in Cremona, Italy, in 1981. He received
symposium Technical Program Chair and in 2010 is the the Bachelor’s and Master’s degrees in Electrical Engineering from
EOS/ESD symposium Vice General Chair. In 2010 he will be the Politecnico di Milano, Milano, Italy, in 2003 and 2006,
serving as a member of the ESD Association Board of Directors respectively. He is currently working toward the Ph.D. degree with
and will be taking on the mission of Secretary on the ESD the Dipartimento di Elettronica e Informazione, Politecnico di
Association Executive Committee. He has authored or co- Milano, working on experimental characterization and modeling of
authored over 50 papers and has received the 33rd level ultra-scaled Flash memory reliability.
invention plateau at IBM (over 128 patents filed).
Ghilardi, Tecla (MY.5)
Geinzer, Thomas (3C.4) Tecla Ghilardi, graduated in Physics in 1990 at the University of
Thomas Geinzer studied electrical engineering at the University Milan. After degree, she joined the Research and Development
of Cooperative Education Ravensburg, Germany, gaining his group of STMicroelectronics, and, since 1998, of Numonyx. Her
Bachelor in 2003. He continued his studies at the University of field of work is NOR and NAND Flash memories process
Wuppertal and received his Master degree within the Faculty of development.
Electrical, Information and Media Engineering. Since 2005 he is
PhD student at the Department of Electronics of the University Giai Gischia, Gianni (5A.2)
of Wuppertal. Gianni Giai Gischia received the M.Sc. Degree in physic in 2002
from the University of Turin, Italy and the advanced master in
Genoe, Jan (4F.2) material science in 2008 from the University of Pavia, Italy. He is
Jan Genoe was born in Leuven, Belgium on May 19, 1965. He part of the BEOL group at Imec, Belgium and his research activity
obtained his Ph.D. degree in electronic engineering on May 31, focuses on dielectric reliability in advanced copper/low-k
1994. Afterwards, he joined the Grenoble High Magnetic Field interconnect.
Laboratory as a Human Capital and Mobility (HCM) Fellow of
the European Community. Currently, he's professor at the Giliberto, Valentina (3F.2)
Katholieke Hogeschool Limburg (KHLim) and head of the Valentina Giliberto was born in Brindisi, Italy, in 1984.
Polymer and Molecular Electronics (PME) group of imec. She graduated in Electronics Engineering at the University of
Padova in 2009, working on the reliability of switch RF-MEMS, in
Gerardin, Simone (3F.2, 4B.3) particular on the study of the degradation of contact resistance.
Simone Gerardin received the Laurea degree (cum laude) in
Electronics Engineering in 2003, and a Ph.D. in Electronics and Gill, Balkaran (3A.1)
Telecommunications Engineering in 2007, both from the Balkaran Gill received the B.E. degree in electronics and
University of Padova - Italy. He is currently a research assistant communication engineering from Gulberga University, Gulberga,
at the same university. His research is focused on soft and hard India in 1998 and the M.S. and Ph.D. degrees in computer
errors induced by ionizing radiation in advanced CMOS engineering from the Case Western Reserve University, Cleveland
technologies, and on their interplay with device aging and ESD. Ohio in 2002 and 2005 respectively. Dr. Gill worked with IROC
Simone has authored or co-authored more than 40 papers Technologies in 2003 and 2004. He is currently a component design
published in international journals and more than 50 conference engineer in architecture for quality and reliability with Intel
presentations, three of which won awards at RADECS 2007, Corporation, Hillsboro, Oregon. His interests are modeling and
NSREC 2008, and RADECS 2008. mitigation of transient errors and robust circuit design
methodologies for low power systems. He has published more than
Ghetti, Andrea (5C.4) ten technical papers and holds several pending U.S. patent
Andrea Ghetti received the Laurea (summa cum laude) and
Ph.D. degrees in electrical engineering both from the University Glaser, Ulrich (4D.1)
of Bologna, Bologna, Italy. In 1994, he was a Visiting Scientist Ulrich Glaser received the Dipl.-Phys. degree in physics from the
at the TCAD Department of Intel Corporation. From March University of Bayreuth, Bayreuth, Germany, in 2002 and the Ph.D.
1997 to March 2000, he held a postdoctoral position with Lucent degree from the Swiss Federal Institute of Technology (ETH),
Technologies, Bell Laboratories, Murray Hill, NJ. In May 2000, Zurich, Switzerland, in 2007. He worked in the field of quantum
he joined STMicroelectronics, that later became Numonyx. He information theory in his Diploma thesis.In 2002, he joined the
has authored or coauthored more than 70 peer-reviewed papers, Integrated Systems Laboratory, ETH, where he was involved in
contributed a chapter to a book on Oxide Reliability and served research and development projects about device simulation of
in the IEDM Modeling and Simulation subcommittee. His electrostatic discharge (ESD) phenomena in close collaboration with
the CMOS ESD group of Infineon Technologies AG, Munich, Gorini (GG) received a PhD cum Laude in Physics at Scuola
Germany. In 2006, he joined the automotive power technology Normale Superiore (Pisa) in 1991. Since 2000 Giuseppe Gorini is
research and development group of Infineon Technologies, associate professor at the Physics Department of Milano-Bicocca
where, since then, he has been responsible for the development University. For the past 20 years GG has been engaged in the
of ESD devices and concepts, as well as the ESD on-chip development of new experimental methods for neutron
protection in advanced smart power technologies. measurements in fusion and material science. The scientific
production by GG is documented in 130 journal papers.
Glavanovics, Michael (4C.5)
Michael Glavanovics studied electrical engineering at the Gornik, Erich (4D.4)
Technical University of Vienna, Austria, where he obtained his Prof. Erich Gornik has studied at the Technical University of
PhD in 1994 . In 1997 he went to Villach, Austria to join Vienna, where he finished his Ph.D. in 1972. He was Postdoc from
Infineon technologies as a Senior Staff Engineer for smart 1975 to 1977 with the Bell Laboratories. From 1979 until 1988 he
power design. Since 2006 he has been involved in the was full Prof. for Experimental Physics at the University of
foundation and building up of the KAI competence center for Innsbruck from where he changed to the Technical University of
automotive and industrial electronics in Villach. His main focus Munich as director of the Walter Schottky Institute. Since 1993 he is
is on the development of power cycling reliability test methods full Prof. for Semiconductor Electronics and since 1995 he is head
for smart power semiconductors. of the Microstructure Center at the Technical University of Vienna.
In 2003-2008 he was a managing director of Austrian Research
Gnani, Elena (HV.1) Center Seibersdorf. Erich Gornik is author and co-author of more
Received the Laurea and Ph.D. degrees in electrical engineering than 750 publications in scientific journals mainly in the field of
from the University of Bologna, Bologna, Italy, in 1999 and semiconductor physics and technology. His main expertise is current
2003, respectively. Since October 1999, she has been with the transport and mid-infrared to far-infrared emission spectroscopy of
Department of Electronics (DEIS), University of Bologna, in the semiconductor nanostructures.
field of investigations on physics of carrier transport and
numerical analysis of semiconductor devices, where she is also Gossner, Harald (4D.4, EL.2)
currently with the Advanced Research Center for Electronic Harald Gossner received the degree in physics (Dipl.Phys.) from the
Systems (ARCES). Ludwig–Maximilians University, Munich, Germany, in 1990, and
the Ph.D. degree in electrical engineering from the Universität der
Gnudi, Antonio (HV.1) Bundeswehr, Munich, in 1995. Since 1995, he has been with
Received the B.S. and the Ph.D. degree in electrical engineering Infineon technologies AG, Munich, working on the development of
and computer science from the University of Bologna, Bologna, ESD protection concepts for bipolar, BiCMOS, and CMOS
Italy, in 1983 and 1989. From 1989 to 1990, he was a Visiting technologies. He is the Head of the team of Infineon’s center of
Scientist with the IBM T. J. Watson Research Center, NY. He competence for ESD and external latchup development and also a
became a Research Assistant in 1990 and has been an Associate Senior Principal who guides the company activities in the field of
Professor of electronics since 1998 with the University of overvoltage robust design. He has authored and coauthored more
Bologna, where he worked on the design of analog CMOS than 40 technical papers and one book in the field of ESD. Dr.
circuits for RF applications and of RF MEMS devices. His Gossner is a member of the management board of the International
current research interests include numerical simulation of ESD Workshop (IEW) and a Cochair of the Industry Council on
nanometric devices and efficient algorithms for their solution. ESD Target Values. He is serving in the TPC of EOS/ESD
Symposium, IEDM, and IEW.
Goodson, Kenneth (2C.5)
Dr. Kenneth E. Goodson is Professor and Vice Chair of Goto, Masakazu (4C.2)
Mechanical Engineering at Stanford University. Goodson was Masakazu Goto received the B. E. and M. E. degrees in applied
educated at MIT (Ph.D. 1993, MS 1991, BSME 1989, BSH physics from University of Tsukuba, Tsukuba, Japan, in 2003 and
1989) and spent two post-doctoral years with the Materials 2005, respectively. He joined the Center for Semiconductor
Group at Daimler-Benz AG. His Stanford research group Research & Development of Toshiba Semiconductor Company,
includes approximately 20 students, research associates, and Yokohama, Japan, in 2005. His current work is the development of
affiliated faculty. The group studies thermal transport metal gate /high-k CMOS devices. He is a member of the Japan
phenomena in semiconductor nanostructures, energy conversion Society of Applied Physics.
devices, and microfluidic heat sinks, with a focus on those
occurring with very small length and time scales. Goodson is a Grasser, Tibor (2A.1, 2A.2, 2A.3, 2A.5, XT.6, XT.8, XT.10)
co-founder and former CTO of Cooligy, Inc., which builds Tibor Grasser received his Ph.D. degree in technical sciences from
microfluidic cooling systems for computers and was acquired by the TU Wien where he is currently employed as an Associate
Emerson, Inc., in 2005. Goodson received the ASME Journal of Professor. In 2003 he was appointed head of the Christian Doppler
Heat Transfer Outstanding Reviewer Award, and now serves as Laboratory for TCAD in Microelectronics. Dr. Grasser is the co-
an Associate Editor for this Journal. Goodson serves as Editor- author or author of over 250 scientific articles, editor of a book on
in-Chief of Nanoscale and Microscale Thermophysical advanced device simulation, a senior member of IEEE, has been
Engineering. He has been a JSPS Visiting Professor at The involved in the program committees of SISPAD, IWCE, ESSDERC,
Tokyo Institute of Technology and received the ONR Young IRPS, IIRW, and ISDRS, and is a recipient of the Best Paper
Investigator Award and the NSF CAREER Award. He and his Awards at IRPS and ESREF. He was also a chairman of SISPAD
group have published more than 100 archival journal articles, 2007.
150 conference papers, and ten books and book chapters, which
have been recognized through best paper awards at SEMI- Green, Keith (5F.3)
THERM, the Multilevel interconnect Symposium, SRC Keith Green received the Ph.D. and M.S. degrees in Electrical
TECHCON, and the IEDM. Engineering from the University of Florida in 1993 and 1990,
respectively, and the B.S. degree in Electrical Engineering from the
Gorini, Giuseppe (4B.3) University of Delaware in 1988. He has been with Texas
Giuseppe Gorini. After graduating cum laude in Physics at Pisa Instruments in Dallas, TX since 1993, with a career dedicated to the
University and Scuola Normale Superiore in 1985, Giuseppe development and extraction of compact models for integrated circuit
design. He has worked on models for CMOS and BiCMOS Gustin, Wolfgang (2A.1)
technologies for analog, digital, and RF design applications. He Wolfgang Gustin received the diploma in physics (1990) from the
has modeled almost every major component type: MOSFETs, University of Stuttgart, and the Ph.D. (1994) from the Max-Planck-
LDMOS, BJTs, diodes, capacitors, resistors, and many more. He Institut Stuttgart. From 1994-1998, he had been with Philips & IBM,
is currently a Distinguished Member of the Technical Staff and working on Integration and Unit Process Issues for Logic and
responsible for the development of new compact models to DRAM Technologies. In 1998 he joined the DRAM Development
support analog technology and circuit development. He is the Group at Infineon Technolgies. Currently, he is the Manager of the
Chairman of the Semiconductor Research Corporation’s Device Reliability Group at Infineon Technologies.
Compact Modeling Technical Advisory Board and the Vice-
Chair of the Compact Model Council, a standards consortium of Haggag, Amr (2D.5, XT.16)
approximately 40 semiconductor and EDA companies from Amr Haggag, from Egypt, was born in Buenos Aires, Argentina, in
Asia, Europe, and the United States. 1975. He received the B.S. degree in computer engineering and the
M.S. and Ph.D. degrees in electrical engineering from the University
Groeseneken, Guido (2A.3, 5A.2, 6A.3, XT.9, XT.10, XT.13) of Illinois at Urbana-Champaign, USA, in 1996, 1999, and 2002,
received the M.Sc. degree in electrical and mechanical respectively. Since September 2002, he has been with Freescale
engineering (1980) and the Ph.D degree in applied sciences (previously Motorola Semiconductors) in Austin, TX. He serves on
(1986), both from the Katholieke Universiteit Leuven, Belgium. both management and technical committees for the International
In 1987 he joined the R&D Laboratory of IMEC (Interuniversity Reliability Physics Symposium and the Integrated Reliability
Microelectronics Center) in Leuven, Belgium, where he is Workshop and has given invited talks/tutorials at conferences such
responsible for research in reliability physics for deep submicron as International Reliability Physics Symposium, VLSI Test
CMOS technologies. From October 2005 until April 2007 he Symposium, International Test Conference, Design for Variability-
was also responsible for the IMEC Post CMOS Nanotechnology Reliability Workshop and also at Middle East Nanotechnology
program within IMEC’s core partner research program. Since Conference patronage of King Abdullah of Jordan. His primary
2001 he is also Professor at the KU Leuven,where he is Program research interests include transistor and chip-level reliability
Director of the Master in Nanoscience and Nanotechnology, and specifically those which integrate logic, SRAM and NVM memory
where he is also coordinating a European Erasmus Mundus and has led the certification of multiple technology nodes co-
Master program in Nanoscience and nanotechnology. He integrating these devices specifically for automotive and networking
became an IEEE Fellow in 2005 and an IMEC Fellow in applications.
2007.He has made contributions to the fields of non-volatile
semiconductor memory devices and technology, reliability Han, T. T. (5D.2, 5D.3)
physics of VLSI-technology, hot carrier effects in MOSFET's, Tzung-Ting Han was born in I-Lan, Taiwan, ROC., on November
time-dependent dielectric breakdown of oxides, Negative-Bias- 18, 1973. He received the B.S. degree in engineering science from
Temperature Instability effects, ESD-protection and –testing, National Cheng Kung University, Tainan, Taiwan, R.O.C. in 1997
plasma processing induced damage, electrical characterization and the M.S. degree in electrical engineering from National Cheng
of semiconductors and characterization and reliability of high k Kung University, Tainan, Taiwan, R.O.C. in 1999. In 1999, he
dielectrics. Recently he has also interest in nanotechnology for joined Macronix International Co., Ltd., Hsinchu, Taiwan, to work
post-CMOS applications, such as carbon nanotubes for on advanced diffusion module process development. Since 2002, he
interconnect applications, tunnel FET’s for alternative nanowire has worked on process integration of advanced non-volatile
devices etc.He has served as a technical program committee memory.
member of several international scientific conferences, among
which the IEEE International Electron Device Meeting (IEDM), Hang-Ting, Lue (5D.4)
the European Solid State Device Research Conference Hang-Ting Lue was born in Hsinchu, Taiwan in 1975. He received
(ESSDERC), the International Reliability Physics Symposium his B.S and M.S degrees in physics from National Tsing-Hua
(IRPS), the IEEE Semiconductor Interface Specialists University (NTHU) in 1997 and 1999, respectively, and Ph.D
Conference (SISC) and the EOS/ESD Symposium. From 2000 degree in electrical engineering in National Chiao-Tung University
until 2002 he also acted as European Arrangements Chair of (NCTU) in 2002. He joined Emerging Central Lab. (ECL) in
IEDM. In 2005 he was the General Chair of the Insulating Films Macronix International (MXIC) in 2003. Currently he is the
on Semiconductor (INFOS) conference, organized in Leuven, department manager of nano-technology R&D, and leads a team to
Belgium.He has authored or co-authored more than 500 develop the advanced Flash Memory devices, and the related
publications in international scientific journals and in theoretical modeling and reliability physics. One of his famous
international conference proceedings, 6 book chapters and 10 invention is “bandgap engineered SONOS” (BE-SONOS), which
patents in his fields of expertise. solves the fundamental problems of SONOS, providing both
efficient hole tunneling erase as well as good data retention.
Grossi, Alessandro (MY.5) Currently he is the project manager of BE-SONOS NAND Flash
Alessandro Grossi received the Laurea degree (cum laude) in R&D, and deep involved in the NAND Flash test chip developments
Physics from the University of Milan in 1991. In 1993 he joined in the company. From 2004 he has published more than 30 papers
the STMicroelectronics R&D Non Volatile Memory in the premier semiconductor conferences including 10 IEDM, 5
Development group. From 1999 he has been Technology VLSI and 15 IRPS, and a total of more than 75 technical papers in
Development Project Leader for NOR memory applications and IEEE journal/letter/conference. He was also invited to give invited
from 2007 he is involved in NAND development. Since 2008, papers in ICSICT, MRS, and several workshops. So far he has 11
he has been with Numonyx R&D as Flash Memory Manager granted US patents, and more than 30 patents in applications. In
working on new architectures for Flash devices. He is coauthor 2007, he received the “Outstanding Young Innovator Award of the
of several publications and patents on abovementioned topics. Industrial Technology Advancement Awards” by Taiwan’s
He has been lecturer in Electron Device Physics at the government. Currently, he is the chair of IRPS 2010 memory
University of Milan, University of Parma and University of subcommittee, and committee member in VLSI-TSA.
Udine.
Hashikawa, Naoto (PI.1, PI.2) Heryanto, Anson (5B.3)
Naoto Hashikawa received his B.S. from the National Defense Anson Heryanto was born in Indonesia in 1985. He received his
Academy in 1987 and his M.S. and Ph. D. in physics from B.Eng (2006) and M.Sc (2007) degrees in Electrical and Electronic
Tokyo University of Science, Tokyo, Japan in 1992 and 1996, Engineering from Nanyang Technological University (NTU),
respectively. Singapore. He is currently working toward a Ph.D degree at the
Since 1996, he has been working for the Process Technology same institution. He is a recipient of the Singapore
Development Div., Renesas Technology Corp. (now Renesas GLOBALFOUNDRIES-NTU Graduate Research Scholarship
Electronics Corporation), on developing ULSI processes and award. His current research interests are reliability of copper
device-analysis engineering. He is engaged in failure analysis of interconnects and 3D-chipstacks. He is currently a Graduate Student
ULSIs using advanced TEM technology. Member of IEEE.

Hashimoto, Masanori (3A.4) Hideaki, Tsuchiya (6A.4)


Masanori Hashimoto received the B.E., M.E., and Ph.D. degrees Hideaki Tsuchiya received the B.S. and M.S. degrees in physics
in communications and computer engineering from Kyoto from Tohoku University, Sendai, Japan, 2000 and 2002,
University, Kyoto, Japan, in 1997, 1999, and 2001, respectively. respectively. In 2002, he was with NEC Corporation, Sagamihara,
Since 2004, he has been an Associate Professor with the Japan. Since 2002, he has been with the Advanced Device
Department of Information Systems Engineering, Osaka Development Division, NEC Electronics Corporation, Kawasaki,
University, Osaka, Japan. His research interests include Japan, where he has been working on reliability engineering of Cu
computer-aided-design for digital integrated circuits, and high- interconnects.
speed circuit design. Dr. Hashimoto was a recipient of the Best
Paper Award at ASP-DAC 2004. He is a member of IEEE, Hideki, Makiyama (PI.3)
IEICE, and IPSJ. He served on the technical program Hideki Makiyama received the B.S. and M.S.degrees in material
committees for international conferences including DAC, engineering from Kyushu University in 2005 and 2007, respectively.
ICCAD, ASP-DAC, ICCD, and ISQED. In 2008, he joined the Renesas Technology Crop., Tokyo, Japan.
Since then, he has been working on research and development of
Heh, Dawei (4A.4) Low Leakage MOSFET devices.
Dawei Heh received the B.S. degree in physics from National
Taiwan University, Taipei, Taiwan, R.O.C., in 1996 and the Hideya, Matsuyama (3A.5, 4A.5)
M.S. and Ph.D. degrees in electrical engineering from the Hideya Matsuyama received his B.S. from Nagoya University
University of Maryland, College Park, in 2001 and 2005, (1985), Nagoya, Japan. He joined FUJITSU LIMITED in 1985. He
respectively.He was with the CMOS and Novel Devices Group, has worked for Product reliability for several years, and has worked
NIST, where he studied the reliability and breakdown for Wafer Level reliability of BEOL and FEOL. Currently he is a
mechanisms of ultrathin dielectrics. He joined SEMATECH as a director of ULSI reliability. He is a chairman of JEITA (Japan
research engineer in the electrical characterization and reliability Electronics and Information Technology industries Association)
group from 2005 to 2009. He is currently a associate researcher Failure Mechanism Wafer Reliability Project Group and IEC
in the National Nano Device Laboratories in Taiwan. His (International Electro technical Commission) TC47/WG5 Japanese
research focuses on simulating and characterizing advanced gate International Expert.
dielectrics on different substrate materials. He also participates
in developing new characterization techniques for future Hirano, Izumi (4C.2)
devices. Izumi Hirano, received the B.S. (2000) and M.S.(2002) in physics
from Kyoto University, Kyoto, Japan. She joined the Advanced LSI
Heiderhoff, Ralf (3C.4) Technology Laboratory, TOSHIBA Corporation, Yokohama, Japan,
Dr.-Ing. Ralf Heiderhoff became head of the group: "Optical and in 2002, where she has been engaged the study of the reliability of
Thermal Microscopy Techniques" at the Department of gate dielectrics, especially High-k gate dielectrics, for ULSI
Electronics within the Faculty of Electrical, Information, and technology. She is a member of the Japan Society of Applied
Media Engineering at the University of Wuppertal, Germany, in Physics.
1997. 1999 he was Visiting Lecturer at the National University
of Singapore followed by a Visiting Professorship at the Hiroko, Mori (4A.5)
Belarusian State University of Informatics and Radioelectronics Hiroko Mori received the B.S. and M.S. degrees in Physics from
2001 and at the Beijing University of Technology 2008. His Keio University, Kanagawa, Japan, in 1996 and 1998, respectively.
major research is focused on failure analyses and reliability She joined Fujitsu Ltd. in 1998. Her currently work is reliability
investigations in the nanometer range as well as the combination physics for gate dielectrics of ULSI devices.
of Scanning Electron Microscopes and Scanning Probe
Microscopes to hybrid systems. Hiroshi, Minakata (4A.5)
Hiroshi Minakata was born in 1969 in Aichi Pref., Japan. He
Hendriks, Teun (SE.1) graduated from Higashiyama technical highschool, Aichi, Japan in
Teun Hendriks received his MSc degree from Delft University 1988. He joined Fujitsu Lab. Ltd., Atsugi, Japan in 1988. He is
of Technology in 1986, with a specialization in aerospace currently concerned with development of the gate-dielectric, SiON
engineering. He subsequently joined Philips Research and High-k, process at Fujitsu Microelectronics Pacific Asia LTD.,
Laboratories in Eindhoven, as a member of Research Staff, R.O.C
continuing in 1988 at Philips Laboratories in Briarcliff Manor,
NY, USA. In 1996, he returned to The Netherlands to work for Hiroshima, Shoichi (4C.1)
Philips on car navigation systems with emphasis on the Joined NEC Corporation. in 1987. He is working on the physical
integration of traffic information. Since 2005, he is employed by analysis of LSI process from 2002, in NEC Electronics Corporation.
the Embedded Systems Institute (ESI) in Eindhoven, The
Netherlands as a research fellow. His research interests lie in
system reliability and interoperability in context of embedded
systems.
Hirotoshi, Terada (FA.4) working on various aspects of metal deposition and process
Hirotoshi Terada received the B.S. degree in Mechanical integration. In 2001 he joined ZMD America, Inc., a mixed-signal
Engineering from Keio Univ., Japan in 1985. He joined ASIC design company, where he worked on two successfully
Hamamatsu Photonics K.K., and worked as an optics engineer. productized IC designs. He worked from 2002 to 2003 as an
He has studied video microscopy and developed confocal independent consultant for a telecommunications start-up company
microscope at Marine Biological Laboratory, M.A.,U.S.A. in as well as a small company in the transportation sector before
1991. He is now in charge of developing optical systems in rejoining Applied Materials in 2004. Mr. Hofmann received a
Hamamatsu Photonics K.K..He is a member of Reliability Diplom-Ingenieur degree in Electrical Engineering (MSEE) from
Engineering Association of Japan. Chemnitz University of Technology in Germany.

Hiroyuki, Yoshimoto (PI.3) Holman, Tim (3A.2)


Hiroyuki Yoshimoto received the B.S., M.S., and Ph.D. degrees W. Timothy Holman received the B.S.E.E. degree from the
in solid state physics from Waseda University in 2000, 2002, University of Tennessee, Knoxville, in 1986 and the M.S.E.E. and
and 2006, respectively. In 2006, he joined the Central Research Ph.D.E.E. degrees from the Georgia Institute of Technology,
Laboratory, Hitachi, Ltd., Tokyo, Japan. Since then, he has been Atlanta, in 1988 and 1994, respectively.From 1994 to 2000, Dr.
working on research and development of CMOS devices Holman was an Assistant Professor at the University of Arizona. In
including SOI and high-k MOSFETs. Since 2009, he has also 2000, he joined the Department of Electrical Engineering and
been working on research and development of power electronics Computer Science at the Vanderbilt University School of
devices. Engineering, Nashville, Tennessee. His current research interests
include the modeling and mitigation of radiation effects in analog
Ho, Paul (5B.2) and mixed-signal microelectronics. Dr. Holman is a member of the
Dr. Paul S. Ho is the Director of the Laboratory for Interconnect Institute for Space and Defense Electronics at Vanderbilt University.
and Packaging at The University of Texas at Austin. He
received his Ph.D. degree in physics from Rensselaer Hong, Chong-A (MY.7)
Polytechnic Institute. In 1972, he joined the IBM T.J. Watson Chong-A Hong received the B.S. degree in electrical engineering
Research Center and became the Senior Manager of the from Chung-Nam University, Korea, in 2006 She joined the Flash
Interface Science Department in 1985. In 1991, he joined the Memory Division of Hynix Semiconductor Inc.,Gyunggi-Do, Korea
faculty at the University of Texas and was appointed the , where she has been working on the failure analysis of Nand Flash
Cockrell Family Regents Chair in Materials Science and Memories. Currently, she is involved in the development of 3x-nm
Engineering department. His current research is in the areas of Nand flash.
materials and processing science for interconnect and packaging
applications. Hong, Shih-Ping (MY.1)
Shih-Ping Hong was born in Pingtung, Taiwan in 1975. He received
Hoel, Virginie (2E.3) his PhD. in Chemistry from National Tsing-Hua University, Taiwan,
Virginie Hoel received the Ph.D. degree from the university of R.O.C., in 2002. He is currently a project deputy dept. manager of
Lille, Lille, France, in 1998. She is currently an Assistant Etch Process Development Department in Technology Development
Professor at Institut d'Electronique, de Microelectronique et de Center in Macronix International (MXIC).
Nanotechnologie (IEMN), Villeneuve d’Ascq, France. From
1995 to 2000, she was working on design, fabrication and Hsiao, Yi-Hsuan (MY.1)
characterization of InP HEMTs for application in millimeter- Yi-Hsuan Hsiao was born in Chia-Yi, Taiwan in 1980. He received
and submillimeter-wave ranges. Since 2000, she worked on his B.S and M.S degrees in electrical engineering from National
power devices. His main research interests include design, Chiao-Tung University (NCTU) in 2002 and 2004, respectively. His
fabrication and characterization of AlGaN/GaN HEMTs for high M.S thesis focused on TFT devices. He joined Emerging Central
power applications in centimeter- and millimeter-wave ranges. Lab. (ECL) in Macronix International. Co. (MXIC) in 2005, where
She carries out his teaching activities at Lille1 University in the his current research includes developing new nonvolatile memory
field of electrical engineering. devices and reliability studies of nitride trapping Flash Memories.

Hoffmann, Thomas (IC.9, XT.13) Hsieh, Jung-Yu (MY.1)


Dr. Thomas Hoffmann has earned his diploma in electrical Jung-Yu Hsieh was born in Hsinchu, Taiwan, R.O.C., in 1971. He
engineering, specializing in engineering statistics from the received the B.S. degree in chemical engineering from the National
Dresden University of Technology (Germany) in 1988. He Tsing Hua University, Hsinchu, Taiwan, in 1995, and the M.S.
finished his PhD study at the same university on topics of finite- degree in chemical engineering from the National Tsing Hua
element modeling (FEM) of plasma CVD reactors in 1991. After University, Hsinchu, Taiwan, in 1997. He joined the Advanced
post-doc research work in the fields of computer simulation and Module Process Development Division of Macronix International
statistics for semiconductors and microsystems he joined (MXIC), in 1997 where he has been working on the process
GLOBALFOUNDRIES (formerly AMD) (Germany) as quality development of chemical mechanical planarization (CMP). His
engineer in 2001. At GLOBALFOUNDRIES he established and current works are engaged in advanced diffusion module process
improved methods and processes for manufacturing quality and development.
reliability statistics. He is responsible for the coordination of
manufacturing quality support at GLOBALFOUNDRIES Hsieh, Kuang-Yeu (5D.2, MY.1)
(Germany). Kuang-Yeu Hsieh was born in Tainan Taiwan in 1958. He received
his B.S (Physics) and MS (Materials Science) degrees from National
Hofmann, Ralf (NA.2) Tsing-Hua University (NTHU) and National Sun Yet-sen University
Ralf Hofmann is a Member of Technical Staff in the Advanced (NSYSU) in 1980 and 1985, respectively. He obtained his Ph.D. at
Technology Group under the Office of the CTO at Applied North Carolina State University in Materials Science in 1989.
Materials. His work involves the evaluation and development of Before he joined Macronix International in 2001, he had been an
new processing techniques and equipment for new and emerging Associate Professor at Institute of Material Science in National Sun
applications in the IC space as well as the energy sector. He Yet-sen University since 1992. His research interests include MBE
joined Applied Materials in 1994 in the PVD Technology group, thin film growth, characterization of material, solid-state physics, IC
fabrication, and optoelectronic materials. Currently, he is the research and development of exploratory materials and integration
Director of Nano-technology R & Div./Emerging Central Lab in for advanced CMOS technologies.
Macronix and involves in developing new nonvolatile memory
devices and exploring new material for the next generation Huang, I-Jen (5D.3)
nonvolatile memory. Meanwhile, he has more than 70 journal I-Jen Huang received the B.S. degree in electrical engineering from
papers published and 15 patents granted. National Taiwan University, Taiwan, in 1992, and the M.S. degree
in electrical engineering from National Taiwan University in 1994.
Hsieh, Sunnys (2F.3) He joined Macronix International Co., Ltd., Hsinchu, Taiwan, in
Sunnys received a B.S degree in Chemistry major from Chung- 1996 as a process integration engineer. He has worked on process
Yuan Christian University, Taiwan in 1993, and the M.S. degree integration of non-volatile memory and SRAM for several
in Chemical Engineering from National Tsing-Hua University, generations. He is presently engaged in array characterization of
Taiwan in 1995. He worked in Mosel-Vitelic in 1995 and joined NVM products.
TSMC since 2000 till now. He involved process integration in
R&D for SRAM development, and then joined HV reliability Huang, Jyun-Siang (5D.3)
team as a section manager in 2005. Currently, he works on HV Jyun-Siang Huang was born in Chiayi, Taiwan,R.O.C., in 1981. He
PMIC Field Technology Service in Asia-Pacific Business Unit. received the M.S. degree from Electrophysics Department, National
Chiao Tung University, Hsinchu, Taiwan, in 2006. In 2006, he
Hsu, Fang-Hao (MY.1) joined the Advanced Device Department of Macronix International
Fang-Hao Hsu received the M.S. degree in mechanical Company Ltd., Hsinchu, Taiwan. His research interests include the
engineering from the National Taiwan University of Science and device characterization of Flash memory devices
technology, Taipei, Taiwan, R.O.C., in 2006. He is currently a
Principal Engineer in the etch process development department Huang, Rui (IC.6)
of Technology Development Center, Macronix International Rui Huang received the B.S. degree in materials science and
(MXIC). engineering from Zhejiang University, Zhejiang, China, and the
M.S. degree in materials science and engineering from Kiel
Hsu, Tzu-Hsuan (5D.3, MY.1) University, Kiel, Germany. He is currently working toward the
Tzu-Hsuan Hsu received the B.S. and M.S. degrees from the Ph.D. degree with Kompetenzzentrum Automobil-und
Department of Electrical Engineering and Institute of Industrieelektronik GmbH (KAI), Villach, Austria, in cooperation
Microelectronic Engineering, National Cheng-Kung University, with the institute of Microelectronics, Technische Universität Wien
Tainan, Taiwan, R.O.C., in 2000 and 2002, respectively, and (TU Vienna), Vienna, Austria.
Ph.D. degree in Institute of Electronics, National Tsing-Hua His research is focused on mechanical and thermo-mechanical
University (NTHU) in 2009. He joined Emerging Central Lab properties of copper metallization used in semiconductor devices.
(ECL) in Macronix International Company, Ltd., Hsinchu,
Taiwan, R.O.C., in 2002 working on nanodevice research and Huang, Yu-Hui (2F.3)
development. His current research areas include high-density Yu-Hui Huang was born in Taoyuan, Taiwan, R.O.C., on Sep. 19,
memory development, nitride-trapping memory devices, and 1979. She received the B.S. degree in Physics from National Central
advanced nonvolatile memory technologies. University, Taoyuan, Taiwan, R.O.C., in 2002, and the M.S. degree
in electronics engineering from National Chiao Tung University,
Hu, Youzhou (XT.5) Hsinchu, Taiwan, R.O.C., in 2004. She has been working in Taiwan
Y. Z. Hu, received the B.Sc. and M.Sc. degrees in Applied Semiconductor Manufacturing Company (TSMC), Hsinchu,
Physics from TianJin University, China, in 2001 and 2004, Taiwan, R.O.C., as an High Voltage Process Integration Engineer
respectively. He worked as a Process Integration Engineer in from 2004 to 2008 and a HV Reliability Engineer now.
SMIC of China and Chartered Semiconductor Manufacturing for
two and half years. He is currently pursuing the Ph.D. degree in Hurkx, G. A. M. (2C.5)
the School of Electrical and Electronic Engineering, Nanyang Fred Hurkx was born in Best, The Netherlands, in 1956. He received
Technological University. His research interest lies in the the MSc degree in physical engineering and the Ph.D. degree from
meaurement methodologies and mechanisms of bias temperature the Technical University of Eindhoven, The Netherlands, in 1985
instability. and 1990, respectively. His thesis involved the modeling of
downscaled bipolar transistors. In 1979 he joined Philips Research
Huang, I. J. (5D.2) Laboratories, Eindhoven, where he has been working in the field of
I-Jen Huang received the B.S. degree in electrical engineering semiconductor research since 1983. Currently he is with NXP-
from National Taiwan University, Taiwan, in 1992, and the M.S. TSMC Research Center, Leuven, Belgium.
degree in electrical engineering from National Taiwan
University in 1994. He joined Macronix International Co., Ltd., Hurley, Paul (BD.1)
Hsinchu, Taiwan, in 1996 as a process integration engineer. He Paul Hurley received his Ph.D. (1990) and B.Eng.(1985 - First class
has worked on process integration of non-volatile memory and honors) in Electronic Engineering at the University of Liverpool. He
SRAM for several generations. He is presently engaged in array is a Senior Research Scientist at the Tyndall National Institute,
characterization of NVM products. University College Cork where his work focuses on high dielectric
constant (high-k) materials intended for use as gate level insulators
Huang, Elbert (5A.5) in transistors for future integrated circuits. The emphasis of his
Elbert Huang received B. S. degrees in Chemical Engineering current research is the formation and characterisation of high-k films
and Materials Science from the University of Minnesota in 1995 on silicon and III-V semiconductor substrates. Paul is a member of
and his Ph. D. in Polymer Science and Engineering from the the Technical Committee of the Insulating Films on Semiconductors
University of Massachusetts in 1999. From 1999 through 2001 (INFOS) conference and the International Workshop on Dielectrics
he worked at the IBM Almaden Research Center on the in Microelectronics (WoDiM). In addition to research activities, he
development and characterization of ultralow-k porous is a part time lecturer in the Department of Microelectronic
dielectrics. He moved the IBM T. J. Watson Resarch Center in Engineering at University College Cork. He has published over sixty
Yorktown Heights in 2001, where he has been involved in the papers in the field of microelectronics.
Hutter, Herbert (XT.6) gate stacks. Currently, he is in charge of the high-k gate stack
Herbert Hutter received his Ph.D. degree in technical sciences process development at Process & Manufacturing Engineering
from the TU Wien where he is currently employed as an Center, Toshiba Corporation.He is a member of the Japan Society of
Associate Professor. Dr. Hutter is the co-author or author of over Applied Physics.
140 scientific articles; all of them were published in the field of
Secondary Ion Masspectrometry (SIMS) of materials and Ioannou, Dimitris (EL.3, XT.1)
measurement data treatment. His main working areas are Dimitris E. Ioannou: BS in Physics (1974), University of
material analysis, corrosion of nitrides, and diffusion of oxygen Thessaloniki, Greece; MS (1975) and PhD (1978) in Solid-State
in oxides (using isotope enriched oxygen) and oxidation of Electronics, University of Manchester, UK. Prior to joining George
metals. In the field of semiconductors he is working on diffusion Mason University as a professor of electrical engineering, held
and migration of light mobile ions and high energy implantation positions at Manchester and Middlesex Universities (UK),
Democritus University of Thrace (Greece), University of Maryland
Ielmini, Daniele (5D.1, 6C.2, 6C.3) (USA) and the Institute National Polytechnique de Grenoble
Daniele Ielmini received the Laurea (cum laude) and Ph.D. in (France). Authored or coauthored over two hundred fifty research
Nuclear Engineering from Politecnico di Milano in 1995 and papers and conference presentations, and advised over thirty five
1999, respectively. In 1999, he joined the Dipartimento di research students. Technical program chairman (2001) and general
Elettronica e Informazione, Politecnico di Milano, where he is chairman (2002) of the IEEE Inter. SOI Conf. Recipient (twice: 04
an Assistant Professor from 2002. In 2006 he was Visiting and 05) of the IBM Faculty award and Fellow IEEE (2010).
Scientist at Intel Corporation and the Center for Integrated
Systems (CIS), Stanford University. His most recent research Irene, Tee (3C.5)
interests include the modeling and the characterization of Irene TEE was born in Malaysia, she attended Informatics Computer
emerging phase change memory (PCM) and resistive switching School where she completed diploma & advance diploma in
memory (RRAM). He authored/coauthored two book chapters, computer science discipline before gained her Bachelor Degree,
more than 140 papers in international journals and international Information System & Software Engineering from Oxford Brooke
conferences. University (UK) in 2005.
Irene is currently working as Failure Analysis Engineer for
Iida, Hitoshi (FA.4) GLOBAL FOUNDRIES SINGAPORE. Her main responsibilities
Hitoshi Iida Received MSEE from Tokyo Institute of include Transmission Electron Microscopy (TEM) sample
Technology in 1971. Has been involved in technical preparation & analysis and overall laboratory IT supports. She is the
management for the development of various image author of 1 paper and co-author of several papers plus 1 patent on
acquisition/analysis/processing systems at Hamamatsu failure analysis and TEM sample preparation technique.
Photonics K.K. Currently, General Manager of Systems
Division at Hamamatsu Photonics K.K. Japan Ishigaki, Takashi (XT.2)
Takashi Ishigaki received the B.E. and M.E. degrees in electrical
Inaba, Yutaka (PI.1) and electronic engineering from Kobe University, Hyogo, Japan, in
Yutaka Inaba received his B.E. in electrical engineering from 1999, 2001, respectively. He joined Compound Semiconductor
Kinki University, Osaka, Japan in 1988. He joined Mitsubishi Division, NEC Corporation in 2001, where he was involved in the
Electric Corporation, Hyogo, Japan in 1988. Since 2003, he has research and development of GaAs HJFETs and HBTs for
been working as a senior engineer for Renesas Technology microwave power amplifiers and RF switch ICs. Since 2004, he has
Corp. (now Renesas Electronics Corporation), Ibaraki, Japan, been working in Central Research Laboratory, Hitachi, Ltd. on the
where he is engaged in developing diffusion processes. Mr. research and development of high-capacity flash memories and SOI
Inaba is a member of The Japan Society of Applied Physics. CMOSFETs. Mr. Ishigaki is a member of the IEEE Electron
Devices Society.
Inan, Umran (3A.3)
Umran S. Inan is Professor of Electrical Engineering and Islam, Ahmad Ehteshamul (2B.3, XT.7)
Director of the Space, Telecommunications, and Radioscience Ahmad Ehteshamul Islam received the B.S.E.E from Bangladesh
Laboratory at Stanford University. Prof. Inan has published over University of Engineering and Technology (BUET) in 2004. He is
300 refereed journal articles and 2 textbooks in currently enrolled in the direct Ph.D. program at ECE, Purdue
electromagnetism, and actively conducts research on near-Earth University. During 2004-2005, he worked as Lecturer in the
low frequency electromagnetic waves and their applications in Department of EEE, BUET. His current research focuses on the
space telecommunications. Prof. Inan is a Fellow of IEEE, the variation resilience aspects of strained/III-V CMOS transistors. He
American Geophysical Union (AGU), and the American Physics has (co)-authored more than 20 journals and conference papers. He
Society (APS). He is the recipient of the Appleton Prize of the is a student member of the IEEE EDS and APS and also serves as a
International Union of Radio Science and Royal Society. He is reviewer for several IEEE, Elsvier, APS and ECS journals. He is
also currently President of Koç University, Istanbul, Turkey. also the recipient of Kintar-Ul-Haque Gold Medal (2005) for his
undergraduate result, and IEEE EDS PhD Fellowship (2008), Intel
Inumiya, Seiji (4C.2) PhD Fellowship (2009-2010) for his work on transistor reliability.
Seiji Inumiya received B.S. and M.S. degrees in physics from
Waseda University, Tokyo, Japan, in 1992 and 1994, Itaru, Yanagi (PI.3)
respectively. He joined the R & D Center, Toshiba Corporation, Itaru Ynagi received the B.S., M.S. degrees in solid state physics
in 1994, where hewas engaged in the research on the process from Waseda University in 2003, 2005. In 2005, he joined the
and the reliability of thin silicon oxide in Si MOS devices. In Central Research Laboratory, Hitachi, Ltd., Tokyo, Japan. Since
1997, he moved to the Process & Manufacturing Engineering then, he has been working on research and development of non-
Center, Semiconductor Company, Toshiba Corporation, volatile memory.
Yokohama, Japan, where he has been engaged in the research
and the development of high-k gate dielectrics and silicon Ito, Shuu (4C.1)
oxynitride for logic LSI devices. In 2004, he joined Received the B.S. (1990) and M.S. (1992) in mathematical science
Semiconductor Leading Edge Technology, Inc., where he had from Osaka Prefecture University, Osaka, Japan. In 1992, he joined
worked on the process development and the reliability of high-k NEC Corp. He is currently engaged in the research and development
of embedded flash memory LSIs. He is a member of the diffusion module process development, and technology development
Physical Society of Japan and the Japan Society of Applied of NAND Flash and charge trapping memories.
Physics.
Jeong, YeonJoo (MY.7)
Iwai, Hidenao (FA.4) YeonJoo Jeong received the B.S. degree in Quantum and Electronic
Hidenao Iwai received the B.S. (1995) in Mechanical Engineering from the University of Tsukuba, Tsukuba, Japan, in
Engineering and the M.S. (1997) in Biomedical Engineering 2007, and the M.S. degree in Electrical Engineering from the
from Keio Univ., Japan. In 1997, he joined Hamamatsu University of Tokyo, Tokyo, Japan, in 2009. He is currently with
Photonics K.K., and worked on diffused optical tissue the Flash Memory Division, Hynix Semiconductor Inc., Cheongju,
spectroscopy. He was at Massachusetts Institute of Technology Korea, working on device engineering of Hynix’s multi-level Flash
from 2001-2002 as a visiting scientist, where he was involved in cell.
low-coherence interferometry. Currently he moved back to
Hamamatsu Photonics K.K. His research interests include Jeong, Yoon-Ha (2C.4)
biological application of interferometric quantitative phase Received the Ph.D. (1987) in electronics engineering from the
microscopy. University of Tokyo, Japan, where he pioneered in situ vapor phase
deposition and the development of photo-chemical vapor deposition
Jack, Nathan (4D.5, EL.1) (CVD) technology for InP metal–insulator–semiconductor field-
Nathan Jack received a B.S. from Utah State University in 2007 effect transistors (MISFETs). In 1987, he joined the Pohang
and a M.S. in 2009 from the University of Illinois at Urbana- University of Science and Technology (POSTECH), Pohang, Korea,
Champaign, both in Electrical and Computer Engineering. He is where he is a Professor with the Department of Electronics
currently pursuing a Ph.D. degree at UIUC in ECE. His Engineering and a Director of the National Nano Devices Center for
research interests include on-chip ESD protection and ESD test Industry, where he is involved with nano-CMOS devices and
methods. He has completed five summer internships at Micron circuits for RF applications. His research interests include
Technology, Inc, in Boise, Idaho, of which two were in the microwave and millimeter-wave device fabrication, RF circuit
ESD/LUP R&D Reliability Group. design, single electron transistors, and nano-CMOS devices. He was
a conference chair in IEEE Nanotechnology Materials and Devices
Jagannathan, Hemanth (XT.14) Conference 2006 (IEEE NMDC). He was nominated on Who`s Who
Hemanth Jagannathan (M’98) received the M.S. and Ph.D. in Science and Technology. He is IEEE senior member, director in
degrees in electrical engineering from Stanford University in National Nanodevices Center for Industry, IEE fellow member,
2003 and 2007 respectively. He is currently a Research Staff director in National Center for Nanomaterials Technology (NCNT)
Member at IBM Research based at IBM @ Albany NanoTech, of the MKE (Korea), industrial developement committee member in
Albany, NY and IBM T. J. Watson Research Center, Yorktown Ministry of Commerce Industry and Energy (MOCIE), chair in
Heights, NY. His research interests include high-k/metal gate IEEE EDS Yeongnam chapter (Korea), and board member in
CMOS devices and integration, semiconductor technology, National Science & Technology Council (Korea).
semiconductor nanowire synthesis and device design, nanoscale
science, materials, and technology. Jimenez, Jose (4F.3)
Jose Jimenez received the B.A (1992) in Electrical Engineering
Jain, Palkesh (6A.1) from the Universidad Politecnica de Madrid and the Ph. D. (1996) in
Palkesh Jain graduated from the Indian Institute of Technology Electrical Engineering from Columbia University in New York. He
(IIT) Bombay in 2004, with Bachelors and Masters in Electrical has worked in both integrated optics and in transport and
Engineering. His Masters thesis research was on soft errors and optoelectronics semiconductor devices for the last 15 years in
Radiation Reliability. Since then, he has been with the Telefonica R&D (Spain), T. J. Watson IBM Research Laboratory,
Reliability CAD Group at ASIC, Texas Instruments India. He Beckman Institute and Nanovation. For the last six years, he has
has contributed to the CAD and methodology development for been part of the R&D organization of TriQuint Semiconductor
several technology nodes for reliability assessment. He has over focusing early on in 4'' inch optoelectronics devices (DFB lasers and
ten international publications and 6 filed patents to date. high speed photodetectors) and later in GaN FET technology
(physics, test and reliability).
Jammy, Raj (2B.4)
Raj Jammy received his doctoral degree in Electrical Jo, Das (2E.4)
Engineering from Northwestern University (1996). He joined Jo Das received the M.Sc. and Ph.D. degrees in electrical
IBM’s Semiconductor Research and Development Center in engineering from the Catholic University of Leuven, Leuven,
East Fishkill, NY, where he worked on various aspects of Belgium, in 1998 and 2003, respectively. His research topic for his
DRAM technology development in engineering and managerial Ph.D. dissertation is on magnetic random access memories. Since
roles. In 2002 he moved to IBM T. J. Watson Research Center 2003, he has been Senior Engineer with imec, Leuven, Belgium. His
in Yorktown Heights, NY, to manage IBM’s efforts on high k current research interests are the fabrication and circuit integration
gate dielectrics and metal gates. From 2005 to 2008 he was an of GaN-based Devices for Power switching and RF power
IBM assignee to SEMATECH as the Director of the Front End applications.
Processes Division in Austin, TX. Since June 2008 he has been
with SEMATECH and currently serves as Vice President of John, Aitken (5A.5)
Materials and Emerging Technologies. He holds more than 50 John Aitken joined IBM in 1974 at the T.J. Watson Research
patents and is an author/co-author of over 200 Center. He is a currently a Senior Technical Staff Member at IBM
publications/presentations. Burlington managing the Semiconductor Technology Reliability
Engineering Department evaluating reliability of new technologies
Jeng-Hwa, Liao (5D.4) and materials for advanced semiconductor device technologies . He
Jeng-Hwa Liao was born in Taipei, Taiwan in 1979. He received is a Senior Member of the IEEE and past committee member and
his Ph. D degree in material science and engineering from General Chairman of the International Electron Devices Meeting .
National Tsing-Hua University (NTHU) in 2007. He joined He received a MS and Ph.D. in Physics /Materials Science from
Technology Development Center in Macronix International Rensselaer Polytechnic Institute 1972 and a BS in Physics from
(MXIC) since 2007. His current works are focused on advanced
Fordham University. John is an Adjunct Professor at reliability characterization of non-volatile memories. He is
University of Vermont. currently working on metal nanocrystal based non-volatile
memories for NAND application. He is a student member of the
Jung, Seong-Ook (RM.3) IEEE.
Seong-Ook Jung received the Ph.D. degree from University of
Illinois at Urbana Champaign in 2002. From 1989 to 1998, he Kaczer, Ben (2A.3, XT.10, XT.13)
was with Samsung Electronics where he worked on specialty Ben Kaczer received the M.S. degree in physical electronics from
memories and merged memory logic. He led thyristor based Charles University, Prague, Czech Republic, in 1992 and the M.S.
memory design team in T-RAM Inc. from 2001 to 2003. He also and Ph.D. degrees in physics from Ohio State University (OSU),
worked on embedded memories, process variation tolerant Columbus, in 1996 and 1998, respectively. For his Ph.D. research on
circuit , and low power circuit in Qualcomm Inc. from 2003 to the ballistic-electron emission microscopy of SiO2 and SiC films, he
2006. He has been an associate professor in Yonsei University received the OSU Presidential Fellowship and support from Texas
since 2006. His research interest includes process variation Instruments, Inc. Since 1998, he has been with the reliability group
tolerant circuit, low power circuit, mixed-mode circuit, and of IMEC, Leuven, Belgium, where his activities have included the
future generation memory. research of the degradation phenomena and reliability assessment of
SiO2, SiON, high-k, and ferroelectric films, planar and multiple-gate
Jungemann, Christoph (2F.4) FETs, circuits, and characterization of Ge/III-V and MIM devices.
Christoph Jungemann holds the chair for Microelectronics at the He is the author or a coauthor of more than 100 journal and
Bundeswehr University. He has more than 15 years of conference papers and has presented eight invited presentations and
experience in the field of physics-based device simulation. He two International Reliability Physics Symposium (IRPS) tutorials.
developed the first full-band Monte Carlo device simulator for Dr. Kaczer is the recipient of Best and the Outstanding Paper
SiGe HBTs and pioneered numerical methods for the solution of Awards at IRPS and the Best Paper Award at IPFA. He has served
the Langevin-Boltzmann equation. He is a co-developer of or is serving at various functions at the International Electron
hierarchical device simulation including the first 2D bipolar Devices Meeting (IEDM), IRPS, IEEE Semiconductor Interface
hydrodynamic model for noise in SiGe devices, where all Specialists Conference (SISC), and Conference on Insulating Films
transport and noise parameters are generated by consistent on Semiconductors (INFOS).
Monte Carlo simulations. He developed CPU efficient models of
impact ionization for the classical device simulators. He has Kalya, Shubhakar (4A.1, BD.2)
authored one book on hierarchical device simulation and more Shubhakar K received his B.E (Electronics and Communication,
than 180 journal and conference papers in this field. He is a 2000) and M.E (Microelectronics, 2007) from NMAMIT Nitte,
member of the editorial board of the IEEE Transaction on Mangalore and Indian Institute of Science (I.I.Sc), Bangalore
Electron Devices and a recipient of the IEEE EDS Paul- respectively. He is currently pursuing his Ph.D. at the Division of
Rappaport-Award for 2005. He was or is a program committee Microelectronics, School of EEE, Nanyang Technological
member of IEDM, ICCAD, and IWCE. University working on reliability physics and degradation
mechanisms in novel high-κ dielectric materials using scanning
Jung-Yu, Hsieh (5D.4) tunneling microscopy (STM) and conductive atomic force
Jung-Yu Hsieh was born in Hsinchu, Taiwan, R.O.C., in 1971. microscopy (CAFM) technique.
He received the B.S. degree in chemical engineering from the
National Tsing Hua University, Hsinchu, Taiwan, in 1995, and Kamino, Takeshi (PI.1)
the M.S. degree in chemical engineering from the National Takeshi Kamino received his B.E. and M.E. in materials physics and
Tsing Hua University, Hsinchu, Taiwan, in 1997. He joined the engineering from Osaka University, Osaka, Japan in 1992 and 1994,
Advanced Module Process Development Division of Macronix respectively. He joined Sumitomo Metal Industries, Ltd., Osaka,
International (MXIC), in 1997 where he has been working on Japan in 1994 and worked on the development of magnetic thin-film
the process development of chemical mechanical planarization heads for hard disk drives. In 2000, he joined Mitsubishi Electric
(CMP). His current works are engaged in advanced diffusion Corp., Hyogo, Japan and was then transferred to Renesas
module process development. Technology Corp. (now Renesas Electronics Corporation), Hyogo,
Japan in 2003. He has been engaged in the research and
Jurczak, Malgorzata (6C.1) development of deep-submicron CMOS devices and process
Malgorzata Jurczak received M.Sc. and Ph.D. in electrical integration. He is working on the research and development of
engineering from the Warsaw University of Technology where advanced SoC technology.
she worked as teaching assistant and research scientist. She was
with NMRC, Ireland and Kyung Hee University, Korea in 1994 Kang, Han-Byul (3C.3)
and 1997, respectively. In 1998-99 she worked on 0.18 and Han-Byul Kang received the Ph. D. degree in advanced material
0.12µm CMOS and alternative CMOS approaches at CNET, science & engineering from Sungkyunkwan University, Korea, in
France Telecom. In 2000 she joined IMEC, Belgium. From 2000 2004. His thesis topic was interfacial reaction between
to 2003 she was leading the IMEC-Philips JDP on 90nm and environmental friendly solder and Ni-P UBM by using analytical
65nm CMOS. From 2003 to 2007 she coordinated FINFET transmission electron microscopy. He is currently with Technology
project. From 2008 she has been the manager of NVM and Reliability, Q&R team in SYSTEM LSI division, Samsung
Emerging Memories programs. Electronics as a senior engineer and is involved in package level
reliability. He has authored/coauthored over 30 international papers
K Singh, Pawan (2C.3) and patents.
Pawan K Singh received B. Tech. and M. Tech. combined
degrees (2006) from Electrical Engineering department of Kang, Jinfeng (MY.4)
the Indian Institute of Technology (IIT) Bombay, India. Since Kang Jinfeng received his B.S. degree in physics from Dalian
2006, he is pursuing Ph.D. in Electrical Engineering department University of Technology in 1984, and M.S. and Ph.D degrees in
in IIT Bombay. He is an AMAT fellow for PhD in IIT Bombay solid-state electronics from Peking University in 1992 and 1995
since 2006. He has been a graduate intern at Applied Materials, respectively. Next, he joined Institute of Microelectronics in Peking
Santa Clara, CA from June 2007 - July 2008. His research University as a post-doctoral fellow. In 1997 he joined the faculty
interests include technology development, physics, and first as an associate professor then professor in 2001. He is the
author of a book and more than 100 conference and journal Kauerauf, Thomas (6A.3, XT.9, XT.13)
papers. Currently his research interests are in the areas of novel Thomas Kauerauf received his degree in electrical engineering from
memory technology, high-k/metal gate technology and MOS the Technical University of Ilmenau, Germany, in 2001 and the
device physics, as well as solar cell technology. Ph.D. degree from the Katholieke Universiteit Leuven, Belgium, in
2007. In 2006 he joined imec, Leuven, Belgium, where he is
Kang, Min-gu (RM.3) currently working in the Device Reliability and Electrical
Min-gu Kang was born in Seoul, Korea, in 1981. He received characterization group focusing on high-k gate stacks and the impact
the M. S. degree in the electrical and electronic engineering of Cu contacts on the FEOL reliability. From 1999 to 2000 he stayed
from Yonsei University, Seoul, Korea, in 2009. Currently, He is several months at Bell Laboratories, Murray Hill, USA. Thomas
working in SAMSUNG Semiconductor. His current research Kauerauf has authored or co-authored more than 50 publications and
interests is FinFET SRAM circuitary. received the IEEE SISC Ed Nicollian Award for the best student
Kato, Koichi (4C.2) paper in 2001.
Koichi Kato, received the B.S., M.S., and Ph.D. degrees in
physics from the University of Tokyo, Japan, in 1977, 1979, and Kazuyoshi, Torii (PI.3)
1982, respectively. He joined Toshiba Research & Development Dr. Torii received B.S and M.S degrees in physics from Keio
Center from 1982. His early activiy includes SOI device University,Tokyo, Japan, in 1986 and 1988, and a Ph.D. degree in
technology of body floating effects and thin body effects. He engineering from Tokyo Institute of Technology, Tokyo, Japan, in
joined the Atom Technology national project from 1993 to 1997 1998. From 1988 to 1999, he was with Central Research Laboratory,
in Tsukuba. His careers have moved to more fundamental Hitachi, Ltd., Tokyo, Japan. He worked on process and integration
technologies including layer-by-layer oxidation, novel SiON of capacitor dielectrics for DRAM and FeRAM. From 2000 to 2004,
films, high-k insulating films, and dipole comforting Schottky he was working on high-k gate dielectrics for CMOS. In 2002, he
barriers mostly through a theoretical viewpoint, but including an joined Semiconductor Leading Edge Technology, Inc (SELETE).
experimental viewpoint. His current research interest is interface Dr. Torii is a member of the Japan Society of Applied physics and
engineering with atom manipulation. He is a member of the IEEE.
Japan Society of Applied Physics and a member of the Physical
Society of Japan. Kees, Beenakker (3F.3)
Kees Beenakker studied chemistry and physics at Leiden University,
Katsuji, Ono (4A.5) the Netherlands. He received the Ph.D. degree at the FOM-Institute
Katsuji Ono received the M.S. degree in electrical engineering for Atomic and Molecular Physics, Amsterdam, the Netherlands, in
from The University of Electro-Communications, Tokyo, Japan 1974. In 1974, he joined Philips’ Research Laboratories, Eindhoven,
in 1987, where his research focused on Liquid-phase selective the Netherlands. In 1982, he moved to the Philips Semiconductor
epitaxial growth of GaAs for Junction FET. He joined Division, Nijmegen, the Netherlands. In 1987, he co-founded
Compound Semiconductor Devices Laboratory of Fujitsu Eurasem, a European hi-rel IC Assembly Company. Since 1990, he
laboratories, Kanagawa, Japan in 1987. He is currently working has been a full Professor, Faculty of Electrical Engineering,
on characterizing CMOS devices for advancing device Mathematics and Computer Science (EEMCS), Delft University of
performance and fabrication technologies in Fujitsu Technology, Delft, the Netherlands and Chairman of the Department
Microelectronics Limited (FML). of Microelectronics.

Katsuto, Tanahashi (4A.5) Keiji, Takahisa (3A.5)


Katsuto Tanahashi was born in Gifu, Japan, in December 1964. Keiji Takahisa received the B.S. degree from the Hokkaido
He received the B.S. and M.S. degrees in materials science from University, in 1986, and the M.Sc. and Ph.D. degrees in physics
the Nagoya Institute of Technology, Aichi, Japan, in 1992 and from Tohoku University, in 1988 and 1991, respectively. In 1991,
1994, and Ph.D. degree in Physics from Osaka Prefecture he began work on nuclear physics in RCNP, Osaka University. He is
University, Osaka, Japan, in 2001. He was a Research currently Radiation Handling Supervisor, Division of Radiation
Fellowship for Young Scientist of Japan Society for the Security Control, RCNP, Osaka University.
Promotion of Science in 2001 and 2001. He joined Fujitsu
Laboratories Ltd., Atsugi, Japan, in 2001, where he studied Keita, Nishigaya (4A.5)
physical analysis of semiconductor devices using FT-IR, Keita Nishigaya is an engineer in Si processes development
photoluminescence, light scattering. Since 2010, he has been department at Fujitsu microelectronics limited and is involved in
studying failure analysis of semiconductor devices. gate dielectrics Reliability of 45nm technologies. He received his
Bachelor's degree and Master's degree in engineering from
Katsuya, Shiga (PI.3) Tokyo Institute of Technology.
Katsuya Shiga received the B.S. (1994) and M.S. (1996) in
electronics engineering from Kansai Univ., Osaka, Japan. He Keller, Robert (2F.4)
joined Mitsubishi Electric Corporation in 1996 (Renesas Robert Keller received his Ph.D. in Geophysics from the Ludwig-
Technology Corporation from 2003) and he has been engaged in Maximilians University in Munich in 1998. Since that time he is
development of reliability engineering for ULSI devices. working at Infineon Technologies Munich.

Katsuyuki, Horita (PI.3) Ken, Shono (3A.5)


Katsuyuki Horita received the B.S. and M.S. degrees in Ken Shono received M.S Degree in Applied Physics from Osaka
electronics from Waseda University in 1993 and 1995, university, Japan in 1979. He joined Fujitsu in 1979 and he has
respectively.In 1995, he joined the ULSI Laboratory of been managed reliability-related activities in technology
Mitsubishi Electric Corporation, where he was engaged in the development of deep submicron MOSFET and multi-layer
research and development of CMOS device and process metallization system. He is also interested in mitigation of soft
technology. He is now with Renesas Technology Corporation, errors in LSI.
continuing the research and development of CMOS.
Ker, Ming-Dou (EL.6) Kim, Daesig (6C.4)
Ming-Dou Ker is now served as Chair Professor and Vice Daesig Kim received the B.S. degree in metallurgical engineering
President of I-Shou University, Kaohsiung, Taiwan. On the from Hanyang University, Seoul, Korea in 1982. He received the
topic of reliability and quality design for integrated circuits, he M.S. and Ph.D. degrees in materials science and engineering from
has published over 380 technical papers in international journals Stevens Institute of Technology, Hoboken, New Jersey, in 1991.
and conferences, and has been granted with 154 U.S. patents and From 1991 to 2001, he was with Samsung Advanced Institute of
146 R.O.C. (Taiwan) patents. He was selected as the Technology, Korea, as a principal researcher in Material and Device
Distinguished Lecturer in the IEEE Circuits and Systems Division working on the development of new thin film materials and
Society (2006–2007) and in the IEEE Electron Devices Society thin film processes. He joined Ramtron International Corporation,
(2008-2010). In 2008, he has been elevated as an IEEE Fellow. Colorado Springs, CO, in 2004 as a senior reliability engineer and
He was the President of Foundation in Taiwan ESD Association. has been working on the reliability of high density FeRAM.

Kerber, Andreas (2B.1, 4A.3) Kim, SangBum (2C.5)


Andreas Kerber was born in Schnann, Austria, in 1973. He SangBum Kim received the B.S. degree from Seoul National
received his Diploma in physics from the University of University, Seoul, Korea, in 2001, and the M.S. degree from
Innsbruck, Austria, in 2001 and a PhD in electrical engineering Stanford University, Stanford, CA, in 2005, all in electrical
from the TU-Darmstadt, Germany in 2004 (granted with honor). engineering. He is currently working toward the Ph.D. degree in
He worked as intern at Bell Laboratories, Lucent Technologies, electrical engineering at Stanford University, Stanford, CA, USA.
Murray Hill, NJ, USA (1999-2000), at IMEC in Leuven, His current research focuses on fabrication and characterization of
Belgium (2001-03) as Infineon Technologies assignee to novel phase-change memory (PCM) structures with reduced
International SEMATECH, for the Reliability Methodology programming power and cell size, and measurement of phase-
Department at Infineon Technologies in Munich, Germany change material properties to understand how they can affect PCM
(2004-06), for AMD in Yorktown Heights, NY (2006-09), and operation.
for GLOBALFOUNDRIES in Yorktown Heights, NY (since
2009). Much of his work centered around Front-End-Of-Line Kim, Seong Soo (5C.1)
(FEOL) reliability research with focus on metal gate / high-k Seong Soo Kim was born in Busan, Korea, on May 22, 1974. He
CMOS technologies. He has co-authored 65 papers in Journals received the M.S. and the Ph. D. degree
and Conferences. in physics from Seoul National University, Seoul, Korea in 2001
and 2006, respectively. He has been working for Samsung
Kerst, Uwe (IC.2) electronics since 2006 as a member of Flash Memory Process
Dr.-Ing. Uwe Kerst is heading the electronic devices failure Architecture team. His main activities have been in TCAD
analysis lab at Berlin University of Technology. Additionally he simulation and analysis of device operation.
is lecturing Quality Management and Reliability in the
Semiconductor Industry. Before joining the University he Kim, Yong Seok (5C.1)
worked for Infineon Technologies in the LDA alliance East Yong Seok Kim was born in Seoul, Korea, on July 16, 1969. He
Fishkill subsequently in the Fiber Optics division. His Ph.D. received Ph.D. degrees in electronic engineering from Seoul
thesis (2000) covered the integrated series interconnection in National University, Seoul, Korea, in 2004. Since 2005, he has been
thin film solar cells. working in device engineering of the NAND Flash memories at
Samsung Electronics Corporation, Korea. His current interests
Killat, Nicole (4F.3) include reliability issues and the scaling of floating type NAND
Nicole Killat received the Dipl. Ing. degree in technical physics Flash memories.
from the Ilmenau University of Technology, Germany, in 2008.
She is currently working towards the Ph.D. degree at the Kimura, Shin'ichiro (XT.2)
Applied Spectroscopy Group, University of Bristol. Her current Shin'ichiro Kimura received the B.S. and M.S. degrees in materials
research interests include studying thermal and electrical science from Tohoku University, Sendai, Japan, in 1978 and 1980,
properties of GaN-based devices using micro-Raman and respectively, and the Ph.D. degree from the University of Tokyo,
electroluminescence spectroscopy. Japan, in 1989. Since 1980, he has been with the Central Research
Laboratory, Hitachi, Ltd.. During 1988 to 1989, he was a Visiting
Kim, Dae Mann (2C.4) Research Associate at the University of Warwick, Coventry, U. K.
Received his B. S. degree from Seoul National University, Currently, he is a Chief Senior Researcher. His current research
Seoul, Korea and M.S. and Ph. D. degrees from Yale University, interests include new submicrometer MOSFET devices and
New Haven, CT, all in physics. He was a research associate at processes. Dr. Kimura is a member of the Japan Society of Applied
the Massachusetts Institute of Technology, MA, and taught at Physics and the IEEE Electron Devices Society.
three levels of professorship with the Department of Electrical
and Computer Engineering, Rice University, Houston, TX from King, Sean (IC.13)
1970 to 1984. After working as a Principal Scientist at Dr. Sean King is a Senior Technical Contributor for Intel
Tektronix, Inc., he became a professor with the Department of Corporation’s Portland Technology Development (PTD) Division.
Electronics and Electrical Engineering, Pohang University of Dr. King received a B.S. degree in Materials Engineering from
Science and Technology, serving as the Chairman of the Virginia Tech in 1991, and a Ph.D. in Materials Science and
department and the Dean of the Graduate School. Currently he is Engineering from North CarolinaStateUniversity in 1997. Since
a professor in the Computational Sciences Division, Korea joining Intel in 1997, Dr. King has held a variety of technical
Institute for Advanced Study and a visiting professor in the positions in the development of Intel’s 0.35 mm - 22 nm
department of electrical engineering, Seoul National University, technologies. Currently, Dr. King is leading development of low-k
Seoul, Korea. His current research interests are in nano-CMOS dielectrics for 16 nm Cu interconnects. Dr. King’s research interests
devices, flash memory technology, and Schottky contacts in include thin film deposition, diffusion barriers, and electrical
molecular and CNT devices. He is a member of American properties of interfaces.
Physical Society and a fellow of Korean Academy of Science
and Technology.
Köck, Helmut (4C.5) Krause, Jonathan (3A.1)
Helmut Köck studied communication engineering at the Jon Krause coordinates the design, verification, and release of
Carinthia University of Applied Science, Klagenfurt, Austria. Standard Cell Libraries for several Intel Server Development Group
He received the M.S. degree in 2007. He is currently working Processors. Jon has been involved in the implementation, backend
toward the Ph.D. degree at the Technical University of Vienna, circuit verification, and full chip integration of multiple high-
Vienna, Austria. Since 2006 he has been with Infineon performance microprocessors, including the Digital Alpha 21264
Technologies and KAI GmbH, Villach, Austria, respectively. and 21364 processors. Jon obtained a BSEE from the University of
His research involves the development of optical methods for Cincinnati in 1998.
device characterization and reliability studies on smart power
semiconductors. Krick, John (5E.5)
John joined Texas Instruments in 1993 and is currently the Director
Koh, Yohwan (MY.7) of Advanced CMOS SPICE Models and Design Kits.
In 1989, he joined Hyundai Electronics Industries Co., Ltd
(changed to “Hynix” after merging with LG semiconductor in Krishnan, Anand (4A.6, 5E.5, XT.18)
2001), Korea where he has been engaged in Advanced DRAM Anand T. Krishnan received his Bachelor of Technology degree in
device and process architecture development for 64M DRAM to Metallurgical Engineering from Institute of Technology, BHU,
256M DRAM until 2002. During his R&D period, he and his Varanasi, India in 1994, and M.S. and Ph.D degrees in Materials
team developed the world’s 1st fully functional SOI 64Mb from The Pennsylvania State University in 1997 and 2000,
DRAM in 1996, and then the SOI 1Gb DRAM with 0.18um respectively. In 2000, he joined the Silicon Technology
technology in 1997. From 2002, he worked in Manufacturing Development Group at Texas Instruments, where he is currently
Fab. in Eugene, OR where he was Vice President of Device working as a Reliability Engineer. His interests and activities are in
Engineering group until 2005. He was project manager for 80nm the areas of negative bias temperature instability, dielectric
DRAM development. He also took care of ProMOS foundry breakdown physics and plasma charging damage. He has served in
business and Graphics/Mobile DRAM development with 80nm the technical program committee for the International Reliability
& 66nm technologies. From the end of 2007, He moved his Physics Symposium (IRPS), Integrated Reliability Workshop (IRW)
position to Flash Development Division. He also developed and for the Plasma Process-Induced Damage Symposium (P2ID)
41nm 32Gb, 32nm 32Gb and 26nm 64Gb NAND Flash. He is and as a Guest Editor for IEEE Transactions on Device and
now Senior VP and Head of Flash Development Division. Materials Reliability. He has authored or co-authored more than 50
papers, including 10 at IEDM/VLSI, and holds 12 patents.
Koichi, Hashimoto (4A.5)
Koichi Hashimoto received B.S. degree in applied chemistry and Krishnan, Srikanth (5F.3, 6A.1, XT.18)
M.S. degree in chemical energy engineering from the University Srikanth Krishnan received his B.Tech in Electrical Engineering
of Tokyo, Tokyo, Japan, in 1983 and 1985, respectively. In from the Indian Institute of Technology (IIT) in 1985, M.S. and
1985, he joined Fujitsu Ltd., Kawasaki, Japan, where he Ph.D from Pennsylvania State University (PSU) in 1988 and 1992.
engaged in the research and development of dry etching He is a Distinguished Member of Technical Staff and Manager,
technology and DRAM process technology. Since 2002, he has responsible for Analog Technology Reliability at Texas Instruments.
been engaged in the research and development of high Srikanth has published or presented 60 papers. He serves on the
performance CMOS logic devices for CPU applications, such as IRPS Management committee. He has received 3 Outstanding Paper
SPARC64™ series. awards and 1 Best Paper award at IRPS, as a co-author and mentor.
He is a Centennial Fellow of the Penn State Engineering Science
Kopf, Rose (FA.5) Department.
Rose F. Kopf is a Member of the Technical Staff at Alcatel-
Lucent Technologies, Bell Labs, in the High-Speed Electronics Ku, Shaw-Hung (5D.3)
and Optoelectronics Research Dept.. She is also a Member of Shaw-Hung Ku was born in Taipei, Taiwan, R.O.C. 1977. He
The Alcatel-Lucent Technical Academy. She received a B.S received the M.S. and Ph.D. degree in electronics engineering from
degree in chemistry from Northeastern University in Boston, the National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in
MA in 1982, and M.S. and Ph.D. degrees in materials science 2001 and in 2006. Then, he joined Macronix International
and engineering from Steven Institute of Technology in Company, Ltd. and is responsible for the developement of nitride-
Hoboken, NJ in 1987 and 1991, respectively. Since 1992, she based and floating-gate storage memories.
has been involved in process development and integration for
HBT high-speed circuits and optoelectronic integrated circuits. Kuang-Chao, Chen (5D.4)
She has over 200 publications and holds 20 patents. Kuang-Chao Chen received the M.S. degrees in chemistry from the
National Chong-Shan University, Taiwan, in 1987. From 1989 to
Kota, Funayama (PI.3) 1995, he joined Electronic Research and Service Organization
Kota Funayama received B.E. and M.E. degrees in materials (ERSO), Hsinchu, Taiwan, where he has been involved in the
science from Tohoku University, Sendai, Japan, in 1995 and development of BEOL planarization process technology. From 1995
1997, respectively. He joined Hitachi Ltd., Tokyo, Japan, in to 1998, he was with Mosel-Vitelic International Co., Ltd, Hsinchu,
1997. Since 2003, he has been working as an engineer for Taiwan. He performed yield improvement in manufacturing line. In
Renesas Technology Corporation (present Renesas Electronics 1998, he joined Vanguard International Semiconductor Co., Ltd,
Corporation), Ibaraki, Japan, where he is currently engaged in Hsinchu, Taiwan, as a department manager. He was responsible for
the research and development of advanced MCU technology. thin film module development. In 2000, he joined Macronix
International (MXIC), where he worked on advanced module
Koyama, Shin (4C.1) development. He is currently Executive Director of Technology
Received BS and MS degree of physics from Tohoku University Development Center.
in 1995 and 1997, respectively. He joined NEC in 1997, had
worked on the development of gate dielectric process. He is now Kuball, Martin (2E.5, 4F.3)
participating in JDA with IBM from 2009, and working on the Received his Ph.D. from the Max-Planck Institute for Solid State
gate dielectric and metal electrode for 32nm/28nm node and Physics, Stuttgart, Germany in 1995. After a two year stay at Brown
beyond. University, Providence, USA as Feodor-Lynen Postdoctoral Fellow,
he joined the faculty of the University of Bristol (UK) in 1997, such as flattening, cleaning, and high-integrity gate insulator film
where he is presently Professor in Physics and Director of the formation; compact MISFET modeling developments for circuit
CDTR. His current research interests include the optical, simulation; and reliability characterization, including negative-bias-
thermal, and electrical study of advanced electronic and temperature and hot-carrier instabilities. Dr. Kuroda was the
optoelectronic materials and devices, especially of III-nitride, recipient of the IEEE Electron Devices Society Japan Chapter
GaAs, and boron-based structures, with focus on reliability Student Award in 2005.
physics and engineering. He is author or co-author of more than
150 scientific publications. Labat, Nathalie (2E.3)
Nathalie LABAT joined the University of Bordeaux, France in
Kufluoglu, Haldun (5E.5) 1987. She received the Ph.D. degree in Electronics in 1990 and the
He obtained his B.S., M.S., and PhD in 2001, 2003, and 2007, dissertation “Habilitation à Diriger des Recherches” in 1999, all
respectively from Purdue University. His research interests from the University of Bordeaux, France. She is currently Professor
include MOSFET reliability, characterization and modeling of in IMS Laboratory in the Department of Electronics Engineering at
semiconductor devices. His PhD research focus was the University of Bordeaux and head of the Doctoral School on
measurements and theoretical modeling of MOSFET Physical Sciences, Electronics and Mechanical Engineering. Her
degradation mechanisms such as NBTI, HCI and TDDB, and research interests include the reliability of microwave technologies
their implications on VLSI design. He also participated in off- using the finite element simulation, electrical and physical analysis
state transistor reliability assessment. In 2006, he held a summer and low frequency noise characterization.
internship at Intel Corporation, LTD FE Q&R, Hillsboro, OR,
on experimental NBTI reliability and recovery modeling. He is Lacaita, Andrea Leonardo (5C.2, 5D.1, 6C.3, MY.5, MY.6)
now with Texas Instruments and working on parametric Prof. Andrea L. Lacaita. Full Professor of Electronics at the
reliability modeling with EDA focus. Politecnico di Milano. Scientist since 1987, he has been Visiting
Professor at the AT&T Bell Laboratories, Murray Hill, NJ (1989-
Kulkarni, Pranita (XT.14) 90), IBM T.J. Watson Research Center, Yorktown Heights, NY
Pranita Kulkarni received her B. Engg. in Materials Science and (1999). 2009 IEEE Fellow. He has contributed to study quantum
Engineering from University of Pune, India in 1999, and M.S. effects as well as experimental characterization techniques and
and Ph.D. degrees in the same discipline from Carnegie Mellon numerical models of non-volatile memories, both Flash and
University (CMU), Pittsburgh in 2006 and 2008, respectively. emerging (PCM,RRAM). He is co-author of more than 200 papers,
Prior to joining CMU for graduate studies, she worked at patents and several educational books in Electronics.
General Electric Co., Niskayuna NY (2003) and Cosmo Films
Ltd., India (2000) as Polymer Engineer. Since 2008 she is a Lai, Sheng-Chih (MY.1)
Research Staff Member at IBM Corp., NY where she performs Sheng-Chih Lai was born in Taichung, Taiwan in 1976. He received
process and device modeling of electronic devices that would his B.S., M.S. and Ph.D. degrees in department of materials science
meet the scaling requirements of future generation(s) of and engineering from National Tsing-Hua University, Taiwan, in
semiconductor chips. 1999, 2001 and 2008, respectively. He joined Emerging Central
Laboratory (ECL) in Macronix International (MXIC) in 2001, where
Kumashiro, Shigetaka (5F.4) his current research is engaged in reliability studies of nitride
Shigetaka Kumashiro received his B.E. and M.E. degrees from trapping Flash memories, especially incorporated with high-K and
the University of Tokyo in 1981 and 1983, respectively, and his metal gate.
Ph.D. degree from Carnegie Mellon University in 1992. He
joined NEC Corporation in 1983 and has been working in the Lamontagne, Patrick (IC.8)
field of the modeling and simulation of ULSI process and Patrick Lamontagne received the engineering degree in Materials
device. Now he is a Chief Engineer of Core Development and Nanotechnologies from the Institut National des Sciences
Division, NEC Electronics Corporation. In 2007, he was Appliquées de Rennes, Rennes, France and M.S. degree of Physic
appointed as theme leader of Environmental Variability Tolerant from the Université de Rennes 1, Rennes, France in 2007. He is
Device Technology Program of MIRAI project. Dr. Kumashiro currently working toward the Ph.D. degree with the Electrical
is a senior member of the Institute of Electrical and Electronics Characterization and Reliability Group at STMicroelectronics,
Engineers. Crolles, France. His research field is the electromigration issues in
advanced copper interconnects.
Kuper, Fred (2D.2)
Fred G. Kuper starting working in the field of wafer level Langer, Eckhard (IC.2)
reliability in 1987 with Philips Semiconductors, working on Eckhard Langer received his PhD in electrical engineering & micro
GOI, EM, ESD etc. In 1996 he received the outstanding paper systems technology from the Technical University of Chemnitz,
award of the IRPS for his paper on the relation between yield Germany. He started his professional carrier at the Fraunhofer
and reliability. In 2002 Fred joined the automotive division of Institute of Mechanics of Materials Halle, where he worked in the
Philips that is now part of NXP Semiconductors. First as quality field of microelectronics and materials analysis. In 1997 Eckhard
manager and since 2008 in an automotive quality and reliability Langer joined Advanced Micro Devices (AMD) in Dresden (today
specialist position. Furthermore, since 1998 Fred Kuper is an Globalfoundries). As a senior member of technical staff he is
extraordinary professor at the University of Twente in the field responsible for the electron microscopy group (SEM, TEM, FIB.)
of Integrated Circuit Reliability. and Failure Localization. He is member of the EUFANET board.

Kuroda, Rihito (5F.2) Larcher, Luca (4A.4, 4F.4, 6C.1)


Rihito Kuroda (S’05) was born in Tokyo, Japan, on July 23, Luca Larcher graduated in Electronics Engineering from University
1982. He received the B.S. degree in electronic engineering and of Padova in 1998. He received the PhD degree in 2001 from the
the M.S. degree and Ph. D. degree in the Graduate School of University of Modena and Reggio Emilia, where he is currently
Engineering, Tohoku University, Sendai, Japan in 2007 and Associate Professor of Electronics. His research interests are
2010, respectively. He is engaged in researches on advanced twofold. He focused on the experimental characterization, reliability
semiconductor device and process technologies, such as novel- and modeling non-volatile memories and logic devices. He worked
structure SOI CMOS developments; silicon surface processing, on the characterization, reliability and design of both RF Integrated
Circuits for telecommunications and circuits for energy Flash technologies. Prior to joining Hynix, he had worked at Leadis
harvesting from renewable sources in CMOS technology. He technology, Inc. and Samsung Electronics, Co. in Korea, where he
authored and co-authored a book, more than 85 technical papers was involved in product engineering and process integration of
published on international journals and conferences. EEPROM embedded display driver IC, and NAND and NOR Flash
respectively for 14 years.
Laurin, Luca (5C.4)
Luca Laurin was born in Monza, Italy on 10/02/1982. He Lee, Hsiao-Heng (3A.3)
received the master degree in physics from Bicocca university, Hsiao-Heng Kelin Lee received his B.Eng in Computer Engineering
Milan, Italy in 2008. He studied, in an atomistic approach, from McGill University, Montréal, Canada, in 2000 and his M.S. in
Boron diffusion and clustering during his Master thesis work. In Electrical Engineering from Stanford University, Stanford CA, in
2008, he joined Numonyx Corp., in Agrate, Italy, to work in 2002, where he is currently pursuing his Ph.D. in the Department of
TCAD simulation team, dealing with the develop of innovative Electrical Engineering. His research interests include low
PCM memory technology. power/high performance digital circuits, digital signal processing
algorithms and soft error resilient circuits. While at Stanford, Mr.
Lavizzari, Simone (6C.3) Lee was supported by the National Sciences and Engineering
Simone Lavizzari was born in 1982. He took his first level Research Council of Canada (NSERC) Postgraduate Scholarship
Laurea (Bachelor) degree in 2004, and the second level Laurea from 2000 to 2002.
(Master) degree in 2006, both in electronic engineering with 1st
class honors at the Politecnico di Milano, Italy, where he was Lee, Jeong-Soo (2C.4)
also awarded with the best student medal within his course. He Received the B.S. (1991), M.S. (1993) and Ph.D (1996) in
worked on Phase Change Memories (PCMs) within the electronics engineering from the Pohang University of Science and
Department of Electrical Engineering of Politecnico di Milano, Technology (POSTECH), Pohang, Korea. His main research is
where he achieved his PhD degree in 2009 working on PCMs related to fabrication and characterization of nano-CMOS devices
and design of VLSI analog instrumentation. At the moment he is and its reliability issues.
employed in Numonyx R&D working on PCM products.
Lee, Sang-Hyun (2C.4)
Lee, Byoungil (2C.5) Received the B.S. (2009) in electronics engineering from the Pohang
Byoungil Lee received the B.S. degree in Electrical Engineering University of Science and Technology (POSTECH), Pohang, Korea.
from the Korea Advanced Institute of Science and Technology He is currently in M.S. and Ph.D. integrative program course at
(KAIST) in 2005. He received the M.S. degree in Electrical POSTECH. His main research is related to nanowire FET.
Engineering from Stanford University in 2007. He is currently
pursuing the Ph.D. degree in Electrical Engineering at Stanford Lee, S-C (IC.10)
University. He is a recipient of the Samsung Scholarship since S.C. Lee received the B.S. (1994) in physics from National Sun Yat-
2005. His research interests are on resistive non-volatile Sen Univ., Kaohsiung, TW, and M.S. (1996) from Institute of
memories including metal-oxide memory and phase change Electro-Optical Engineering of National Chiao-Tung Univ., Hsin-
memory. chu. In 1998, he joined TSMC where he work on Cu/Low-k
interconnect reliability including Cu electromigration and Low-k
Lee, Chi Kyoung (5C.1) dielectric reliability breakdown physics.
Chi Kyoung Lee was born in Seoul, Korea, on March 08, 1971.
He received the B.S. degree in electronics engineering from Lee, Y.H. (2F.3)
Korea Aerospace University, Gyunggi-Do, Korea, in 1995 and Yung-Huei Lee (S’82-M’86-SM’06) received Ph.D. in EE from
the M.S degree in electronics engineering from Korea Ohio State University. He is a 23 years veteran of Intel and has
University, Seoul, Korea, in 2004. He has been working at worked in the development of various CPU, RF/analog, and Flash
Samsung electronics, Gyunggi-Do, Korea since 1995. he has technology generations. He is currently a Technical Director at
been in a Flash Memory Process Architecture team and his main TSMC. Dr. Lee holds 2 US patents and has published over 60
research is fail analysis for yield & cell characteristic technical papers.
improvement. His current work is for the reliability
improvement of high-density NAND flash memories sub 30nm Lenahan, Patrick (2A.5, IC.13, XT.11, XT.18)
technology, and research interests are NVM reliability, cell Patrick Lenahan earned a B.S. from Notre Dame and a Ph.D. from
technology, cell characteristic modeling. Illinois and did a brief post-doc at Princeton. From 1980-1985, he
was with Sandia. Since 1985, he’s been at Penn State where he is
Lee, Dong Jun (5C.1) Distinguished Professor of Engineering Science. In 2001, he was
Dong Jun Lee was born in Seoul, Korea, on August 18, 1976. Visiting Professor of Electronics and Computer Engineering at
He received the B.S.degree in materials science and engineering Nihon University. He served as Technical and General Program
from Yonsei University, Seoul, Korea, in 2001 and the M.S Chairman of the IEEE IIRW in 2008 and 2009 respectively. He’s
degrees in materials science and engineering from Pohang authored about 130 journal articles which have been cited
University of Science and Technology, Pohang, Korea, in 2003. approximately 3500 times. He is a fellow of IEEE.
He has been working at Samsung electronics, Gyunggi-Do,
Korea since 2003. From 2003 to 2010, he was a Flash Process Leu, Lii-Cherng (CD.3)
Architecture team and worked on 120nm 1Gb NAND, 90nm Lii-Cherng Leu received received his Ph.D. in Materials Science and
4Gb NAND, 51nm 16Gb NAND, 42nm 16Gb NAND, and Engineering at University of Florida in 2008 with an emphasis on
35nm, 32nm, 27nm 32Gb NANDs. electronic thin film materials processing and characterization. After
his postdoctoral work with Dr. Pearton and Dr. Ren on the failure
Lee, Dong-Kyu (MY.7) mechanism of InGaAs/InAlAs and AlGaN/InGaN based HEMTs, he
Dong-Kyu Lee received the B.S. degree and the M.S. degree in joined the Department of Materials Science and Engineering at
electrical engineering from Sungkyunkwan University, Korea in Boise State University to continue his postdoctoral research in
1994 and in 2002 respectively. Since he joined Hynix August, 2009. His current research is focusing on structural analysis
semiconductor Inc. in 2008, he has been working in process of functional oxide ceramics and minerals using JEOL2100 TEM.
integration and reliability improvement in advanced NAND
Li, Xiang (MY.4) REL/CPMT/ED Chapter in 2009 and 2010 respectively. He is the
Li Xiang received his B.Eng in Electrical and Electronics Organizing Committee Member, Co-chair of Technical Sub-
Engineering (EEE) from Nanyang Technological University committee and Technical Co-chair of IPFA 2008, 2009 and 2010
(NTU) Singapore in 2005. He is currently pursuing his PhD respectively; and the Technical Sub-committee Member of IRPS
degree in EEE, NTU working on failure analysis of advanced 2009 - 2010.
gate stacks. His research interest includes device reliability and
nano-scale characterization using transmission electron Lin, Chung-Hsun (CD.1, XT.14)
microscopy and electron energy loss spectroscopy. Since 2006, Chung-Hsun Lin received the B.S. and M.S. degrees in electrical
he has been a student member of IEEE. engineering from National Taiwan University, Taipei, Taiwan,
R.O.C., in 1999 and 2001, and the Ph.D. degree in electrical
Lian, Nan-Tzu (MY.1) engineering from the University of California, Berkeley, in 2007. He
Nan-Tzu Lian was born in Kaohsiung, Taiwan in 1969. He joined the IBM Thomas J. Watson Research Center in 2008 as a
received his M.S in material science and engineering from Research Staff Member in the area of CMOS technology and device
National Taiwan University (NTU), Taiwan, R.O.C., in 1993. modeling for 22nm node and beyond. He has authored or coauthor
He joined Macronix International (MXIC) since 1995. He is of more than 50 technical papers. He is currently a Reviewer of the
currently a project deputy division manager of the advanced IEEE TRANSACTIONS ON ELECTRON DEVICES and
module process development division in Technology ELETRON DEVICE LETTERS.
Development Center.
Lin, Hung Sung (FA.1, FA.2, FA.3)
Liang, James (5A.3) Hung-Sung Lin was born in Hsinchu, Taiwan in 1972. He received
James Laing received his B.S. (1992) in Ceramic Engineering the M.S. in MEMS from National Tsing Hua University, Hsinchu,
from Rutgers University and M.S. (1994) in Material Science Taiwan in 1997. He joined Micro System Laboratory, ITRI,
from New Jersey Institute of Technology. He joined United Hsinchu, Taiwan in 1999 where he worked on the research of
Microelectronics Corp. in 2002 and has been involved in the advanced MEMS devices and process development. In March 2000,
quality and reliability of CMOS process. Currently he is a staff he joined Product Engineering Division, UMC, Hsinchu, Taiwan.
engineer of reliability department. He is a section manager of Logic&MM group and presently in
charge of failure analysis of Logic and MM products. He has
Liang, Zhongning (2D.2) published 13 papers at international conferences, and he received
Zhongning Liang received the MSc (1987) in Solid States UMC ten best composing invention disclosure Award in 2006 and
Physics from ZhongShan University, Guangzhou, China and the 2008 for the contribution on composing patents in Microelectronic
PhD (1994) from Groningen University of the Netherlands. He Device.
joined Philips Semiconductors in 1995 as a process reliability
engineer and currently is the reliability manager in a business Lin, Mingte (5A.3)
line at NXP semiconductors. He is a member of the Automotive Mingte Lin received his B.Eng. (1987) in Power Mechanical
Electronic Council Technical Committee, with broad and deep engineering and M.S. (1992) in Physics from Tsing Hua University
interests in automotive requirements and quality. Taiwan. He joined United Microelectronics Corp. in 1997 and has
been involved in the quality and reliability of CMOS process.
Lilja, Klas (3A.3) Currently he is a staff engineer of reliability department.
Klas Lilja is CEO and co-founder of Robust Chip Inc. (RCI).
Prior to founding RCI, he was CEO and VP Engineering of Lin, Shang-Wei (5D.3)
Integrated Systems Engineering and Head of TCAD at Avant! Shang-Wei Lin was born in Kaohsiung, Taiwan, ROC., on July 5,
Corporation. He received his M.S. and Ph.D. in Physics, from 1979. He recieved the M.S. degree in Electronics Engineering from
Chalmers University of Technology, Gothenburg, Sweden, and National Central University, Taoyuan County, Taiwan, in 2004. He
the Swiss Federal Institute of Technology, Zurich, Switzerland, joined Macronix International Company, Ltd., Hsinchu, in 2005, as
respectively. At RCI, Dr. Lilja has lead the development and a Process Integration Engineer in technology development center.
market introduction of the company’s new simulation and Since 2005, he has been engaged in the development and integration
design tools. In the area of soft-error hardened design, he is for the nitride-based NBit technology at Macronix.
working on layout techniques and on RCI’s single event
simulation for cross-section and error-rate prediction. Lin, Shihuan (NA. 1)
Shihuan Lin recevied B.E. degree in electrical engineering from
Lim, Phyllis Shi Ya (XT.4) Beijing Insititute of Technology, Beijing, China, in 2001. Since
Phyllis S.Y Lim received the B.Eng. (hons) degree in electrical 2006, has been a research student in Nanyang Technology
and electronic engineering from the National University of University, research interests are nano device physics and modeling.
Singapore (NUS) in 2007. She is now working towards a Ph.D.
degree at NUS under the NUS Graduate School for Integrative Ling-Wu, Yang (5D.4)
Sciences and Engineering (NGS) scholarship. Her research Ling-Wu Yang received the M.S. degrees in material science and
interests include nanofabrication and CMOS device physics, engineering from the University of National Sun Yat-Sen, Taiwan,
high mobility channel materials and advanced junction R.O.C., in 1996. From 1996 to 1999, he joined Vanguard
engineering International Semiconductor Co., Ltd, Hsinchu, Taiwan, R.O.C., as
a process engineer. In 2000, he joined Macronix International
Lim, Yeow Kheng (5B.3) (MXIC) for advanced diffusion module development. He is
Yeow-Kheng Lim received Bachelor (Hons.), Master and Ph.D. currently a Project Deputy Director of Advanced Module Process
degrees in Electrical and Electronic Engineering from Nanyang Development Division in Technology Development Center.
Technological University, Singapore in 1999, 2001 and 2008
respectively. He works in Technology Development Linscott, Ivan (3A.3)
Department of Global Foundries Singapore (formerly Chartered Ivan Linscott is a Senior Research Associate in the Space
Semiconductor Manufacturing Ltd) for more than 9 years. Telecommunications and Radio Science Laboratory, in the
Yeow-Kheng is a senior member of IEEE and the Executive Electrical Engineering Department of Stanford University. Dr.
Committee Member and Treasurer of the Singapore IEEE Linscott received his Ph.D. in Elementary Particle Physics from the
University of California, Berkeley CA, in 1974, and after a Post- reliability in Logic and Flash devices from 2002 at NEC Electronics
Doctoral residence at Syracuse University, and the Brookhaven Corp. She is currently interested in chemistry and physics of
National Laboratory, transitioned to radio astronomy at the hydrogen at surfaces and interfaces contained in the MOS stacks.
Arecibo National Observatory before accepting a National
Academy of Sciences Fellowship with the SETI Project at the Liu, Wei (5B.3, IC.5)
NASA/Ames Research Center, Moffett Field CA, to develop Wei Liu received his B.E. (2000) and M.E. (2003) in physical
high performance signal processing systems. He has been at electronics and optoelectronics from Huazhong University of
Stanford since 1984. Science and Technology, China, and Ph.D. (2009) in
microelectronics from Nanyang Technological University,
Lipp, Dieter (4A.3) Singapore. He has been working on the advanced back-end-of-line
Dieter Lipp was born in Goerlitz, Germany, in 1972. From the process integration and technology qualification in the Technology
Technical University of Dresden he received his Diploma in Development department of GLOBALFOUNDRIES Singapore
physics in 1997 and a PhD (Dr. rer. nat.) in physics in the field since Oct 2007.
of thermal low temperature properties of superconductors in
2002. From 2002 till 2004 he worked as scientific staff memeber Lo, Chien-Fong (CD.3)
at the University of Dresden were he worked on Tantalum based Chien-Fong Lo received the B.Sc. and the M.Sc. degrees from the
barriers against copper diffusion in CMOS copper damascene Chemical Engineering Department, National Taiwan University, and
technologies. From 2004 until 2007, Dieter worked at AMD as then joined the Department of Chemical Engineering, University of
manufacturing engineer in chemical mechanical planarisation Florida, in 2007 for the Ph.D. degree pursuing. He has been working
(CMP) operations. Since November 2007, Dieter has been on the research in AlN/GaN high electron mobility transistors
working as reliability engineer at AMD from 2007 till 2009 and (HEMTs) and InGaAsSb based double heterojunction bipolar
since 2009 at GLOBALFOUNDRIES with focus on Front-End- transistors (DHBTs).
of-Line reliability (gate oxide reliability/TDDB) for CMOS
technologies. He has co-authored 13 papers in Journals and Lofrano, Melina (5B.4, 6A.3)
conferences. Melina Lofrano received the BSc degree in Physics in 2001 and the
MSc in Mechanical Engineering in 2003 at the University of São
Liu, Bin (XT.4) Paulo, Brazil. From 2003 until 2006 she worked in research and
Bin Liu received the B.Eng. degree in electrical engineering product development, where she was responsible for the mechanical
from the National University of Singapore (NUS) in 2008, modeling and analysis. From 2006 she was involved with several
where he is currently working toward a Ph.D. degree at the CAE research projects at Katholieke Universiteit Leuven, Belgium.
Silicon Nano Device Laboratory (SNDL) with the NUS In 2008 she joins IMEC modeling and reliability team.
Graduate School for Integrative Sciences and Engineering
(NGS) scholarship. His research interests include strained- Lu, Chih-Yuan (5D.2, 5D.3, MY.1, MY.3)
silicon MOSFETs and reliability physics of CMOS device Chih-Yuan Lu received B.S. degree from National Taiwan
University in 1972, and Ph.D. degree in physics from Columbia
Liu, Chien-Chih (2F.3) University, NYC, in 1977. In Dr. Lu has been a professor in
Chien-Chih Liu received the M.S. degree in Materials Science National Chiao-Tung Univ. and with AT&T Bell Labs from 1984-
and Engineering from National Chiao-Tung University, 1989; later joined ERSO/ITRI in 1989 as a Deputy General Director
Hsinchu, Taiwan, R.O.C.in 1995. He joined the Taiwan responsible for the MOEA grand Submicron Project. This project
Semiconductor Manufacturing Company (TSMC) , Hsinchu, successfully developed Taiwan first 8-inch manufacturing
Taiwan, R.O.C. in 2000. He has worked on the development for technology with high density DRAM/SRAM. He was therefore
several logic technologies in R&D, and then moved to FEOL granted the highest honor prize--National Science & Technology
reliability department for HV device reliability qualification. Achievement Award by the Prime Minister of ROC, due to his
Currently, he is the HV reliability section manager in leadership and achievement in this Submicron Project. In 1994, Dr.
Technology Quality and Reliability Division. Lu becomes the co-founder of Vanguard International
Semiconductor Corporation, which is a spin-off memory IC
Liu, Tsu-Jae King (XT.17) Company from ITRI’s Submicron Project. He was the VP of
Tsu-Jae King Liu received her Ph.D. degree in Electrical Operation, VP of R&D, and later President from 1994-99. Dr. Lu
Engineering from Stanford University. She joined the Xerox now is the founding chairman and CEO of Ardentec Corp. a VLSI
Palo Alto Research Center as a Member of Research Staff in testing service company; and also serves Macronix International as a
1992. In August 1996 she joined the faculty of the University of Senior VP/CTO, and now the President. Dr. Lu led MXIC’s
California at Berkeley, where she is now Conexant Systems technology development team to successfully achieve the state of
Distinguished Professor of Electrical Engineering and Computer the art nonvolatile memory technology and now responsible for
Sciences and Associate Dean for Research in the College of MXIC’s overall operation. Dr. Lu has published more than 300
Engineering. Her awards include the DARPA Significant papers and has been granted 140 worldwide patents, and was elected
Technical Achievement Award (2000) for development of the a Fellow of IEEE, and a Fellow of APS. He also received IEEE
FinFET and the 2010 IEEE Kiyo Tomiyasu Award for Millennium Medal, and the most prestige semiconductor R&D
contributions to nanoscale MOS transistors, memory devices, Award in Taiwan from Pan Wen Yuan Foundation.
and MEMs devices.
Lu, Chi-Pin (MY.1)
Liu, Ziyuan (4C.1) Chi-Pin Lu was born in Hsinchu, Taiwan, R.O.C., in 1978. He
Received the B. S. (1982) and the M. S. (1985) in Materials received the B.S. degree in mechanical engineering from the
science and engineering from Beijing Institute of Aeronautics, National Central University, Taoyuan, Taiwan, in 2001, and the
China, and the Dr. Sc. (1994) in Material Science from Tokyo M.S. degree in material science and engineering from the National
Institute of Technology, Japan. From 1996 to 1997, she worked Tsing-Hua University, Hsinchu, Taiwan, in 2003. Since 2003, he
as a frontier researcher in RIKEN (The Institute of Physical and has been with the Technology Development Center, Macronix
Chemical Research). Since 1997 she joined NEC Corporation, International (MXIC), where his current works are engaged in
and was engaged in the development of device analysis advanced diffusion module process development as well as the
technology. She is working on the development of gate oxide
dielectric characteristics studies of the nitride-trapping non- Ma, Huan-Chi (MY.3)
volatile memories. Huan-Chi Ma was born in Tainan, Taiwan, R.O.C., in 1981. He
received the B.S. and M.S. degrees in electronics engineering from
Lu, Lei (TF.1) National Chiao-Tung University, Hsinchu, Taiwan, in 2003 and
Lei Lu was born in Xuzhou, China, in 1985. He received the 2005, respectively, where he is currently working toward the Ph.D.
B.S. degree in microelectronics in 2007 from Soochow degree. His research interests include negative bias temperature
University, Suzhou, China, where he is currently working instability degradation, nonvolatile memory reliability issues, and
toward the M.S. degree in the Department of Microelectronics. flicker noise characterization.
His current research work is about charge pumping and substrate
current of poly-Si TFTs. Ma, Zhe (SE.1)
Zhe ma received his Ph.D. in E.E. from K.U.Leuven, Belgium in
Lu, Wen-Pin (5D.3) 2006. Since then he has been working in the Digital Component
Wen-Pin Lu was born in I-Lan, Taiwan, R.O.C. on December group at IMEC, Leuven as a senior researcher. His main research
20, 1967. He received the B.S. degree in electronics engineering activies focus on the optimization of embedded systems design.
from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C.
in 1990 and the M.S. degree in electrical engineering from Maconi, Alessandro (MY.5)
National Taiwan University, Taipei, Taiwan, R.O.C. in 1992. He Alessandro Maconi was born in Carate Brianza, Italy, in 1983. He
joined Macronix International Co., Ltd., Hsinchu, Taiwan, in received the Laurea degree in electronics engineering from the
1994 as a device engineer. From 1994 to 1999, he has worked Politecnico di Milano, Milano, Italy, in 2008, where he is currently
on device analysis of non-volatile memory, especially in working toward the Ph.D. degree in the Dipartimento di Elettronica
floating-gate flash memory. Since 2000, he has been engaged in e Informazione. He is also with the Italian University Nano-
the development of PACAND Flash memory technology, and Electronics Team, Milano, Italy. His research activities mainly
has accomplished 0.18mm and 0.15mm of delivering. He is involve characterization and modeling of advanced nonvolatile
presently responsible for the Nitrite-based NBit technology and memories, with particular interest to TANOS memories.
also floating gate NOR flash development at Macronix.
Mahapatra, Souvik (2C.3NA.2, XT.15)
Lu, Xiaowei (TF.1) Souvik Mahapatra received his PhD in Electrical Engineering from
Xiaowei Lu was born in Suzhou, China, in 1986. He received the Indian Institute of Technology (IIT) Bombay, India, in 1999. He
the B.S. degree in microelectronics in 2009 from Soochow was at Bell Laboratories, Murray Hill, NJ, USA during 2000-2001.
University, Suzhou, China, where he is currently working Since 2002 he is with the Department of Electrical Engineering, IIT
toward the M.S. degree in the Department of Microelectronics. Bombay, India, and presently holds the position of Professor. His
His main research interests include charge pumping of poly-Si research interests are in the area of characterization, modeling and
TFTs and device noise models. simulation of CMOS and Flash memory devices, and device
reliability. He has published more than 90 papers in peer reviewed
Lue, Hang-Ting (5D.25D.3, MY.1) journals and conferences, delivered invited talks at leading
Hang-Ting Lue was born in Hsinchu, Taiwan in 1975. He international conferences in the USA, Europe and Asia-pacific
received his B.S and M.S degrees in physics from National including at the IEEE IEDM, delivered reliability tutorials at the
Tsing-Hua University (NTHU) in 1997 and 1999, respectively, IEEE IRPS, and acted as a reviewer of several international journals
and Ph.D degree in electrical engineering in National Chiao- and conferences. He also holds an honorary graduate faculty
Tung University (NCTU) in 2002. He joined Emerging Central position at Purdue University, USA, is a distinguished lecturer of
Lab. (ECL) in Macronix International. Co., Ltd. (MXIC) in IEEE EDS and senior member of the IEEE.
2003. Currently he is the project manager of BE-SONOS NAND
Flash and 3D TFT Memory. From 2004 he has published more Maheta, Vrajesh (XT.15)
than 30 papers in the premier semiconductor conferences Vrajesh D. Maheta received the B.E. degree in Electronics
including IEDM, VLSI and IRPS, and a total of more than 75 Engineering from Sardar Patel University, Gujarat, India, in 1993,
technical papers in IEEE journal/letter/conference. In 2007, he the M.E. degree in Microelectronics from Birla Institute of
received the “Outstanding Young Innovator Award of the Technology and Science, Pilani, India, in 2002, and the Ph.D.
Industrial Technology Advancement Awards” by Taiwan’s degree in electrical engineering from Indian Institute of Technology
government. Currently, he is the chair of IRPS 2010 memory (IIT) Bombay, Mumbai, India, in 2009. From 1998 to 2009, he was
subcommittee, and committee member in VLSI-TSA. with G. H. Patel College of Engineering & Technology, as a regular
faculty. Since 2010, he has been with Middle East College of
Lwin, Zin Zar (2C.3) Information Technology, Muscat, Oman, where he is currently
Zin Zar Lwin received B.Eng degree from Nanyang working as an Associate Professor in the department of electronics
Technological University, Singapore, in 2009. She is currently and communication engineering. His research interests are in the
pursuing her Ph.D in Electrical and Electronic Engineering at field of semiconductor device physics and simulation, modeling and
Nanyang Technological University, Singapore. Her research characterization of CMOS silicon devices
interests include physics and reliability characterization of
memory devices. She is a student member of IEEE. Mairena, Andrew (XT.17)
Andrew Mairena is a fourth year undergraduate in the Electrical
M, Sivatheja (NA.2) Engineering and Computer Sciences Department at the University of
Siva Theja M is pursuing B. Tech. and M. Tech. combined California, Berkeley. For the past two years, his research interests
degrees from Electrical Engineering department of the Indian have included reliability issues in SRAM such as HCI, NBTI, PBTI,
Institute of Technology (IIT) Bombay, since 2004. His research and RTS.
interests include semiconductor device modeling and simulation.
He is currently working on metal nanocrystal based non-volatile Makabe, Kazuya (2D.4)
memories. Kazuya Makabe received the B.S. degree in physics from Chuo
University, Tokyo, Japan, in 1983. He joined Hitachi ULSI
Engineering Corp., Japan, in 1983. He engaged in process
development of bipolar devices, DRAM devices, and so on. He is
now with Renesas Technology Corp. Currently, he engaged in working toward a Ph.D. investigating potential reliability issues of
the process reliability of BEOL. He is a member of the Japan GaN-based devices both for RF and switching applications at the
Society of Applied Physics. Catholic University of Leuven and imec, Leuven, Belgium.

Makabe, Mariko (4C.1) Marshall, Andrew (5E.5)


Received the B.S. (1990), and the M.S. (1992) in physics from Andrew Marshall is an analog and digital process verification
Tokyo University of Science, Japan. Since 1992 she has been expert, working on leading edge and future technologies, including
engaged in development and manufacturing technology of sub-45nm processes and SOI. He is a Distinguished Member of
FEOL at NEC Corporation. From 2002 she was in charge of Technical Staff at Texas Instruments Incorporated, Dallas, TX. Dr.
gate oxide process and reliability in Logic and Flash devices at Marshall has authored/co-authored approximately 50 patents and 60
NEC Electronics Corporation. papers. He is co-author of the book ‘SOI Design: Analog, Memory
and Digital Techniques’ and sole author of “Mismatch and Noise in
Malbert, Nathalie (2E.3) Modern IC Processes”. Dr. Marshall is a Fellow of both the IEEE
Nathalie Malbert received the Ph.D. degree in Electronics in and Institute of Physics.
1996; and the professoral dissertation (Habilitation à Diriger des
Recherches) in 2004, all from the University of Bordeaux, Masaharu, Mizutani (PI.3)
France. She is currently Full Professor in IMS Laboratory in the Masaharu Mizutani received the B.S. (2000) and the M.S. (2002) in
Department of Electronics Engineering at the University of electrical engineering science from the University of Osaka, Japan.
Bordeaux. Since 2007, she is head of the team “Characterisation He joined the Process Development Dept, MITSUBISHI Ltd.,
and Reliability of microwave technologies” in the Hyougo, Japan in 2002. In 2003, he moved to Process Development
Nanoelectronic group. Her research topics covered electrical Dept., RENESAS Technology Corp., Hyogo, Japan. Throughout his
characterization, modelling, physical simulation and reliability career at MITSUBISHI and RENESAS, he has been working on
assessment of compound semiconductor based HEMT such as research and development of advanced CMOS devices with high-
GaN HEMT. k/metal gate stacks.

Mandich, Mary (FA.5) Masao, Inoue (PI.3)


Mary Mandich is a Distinguished Member of Technical Staff in Masao Inoue received the B.S., M.S. and Ph.D. degrees in electrical
the Reliability Physics Group in the Chief Technology Office engineering from Osaka University, Osaka, Japan, in 1993, 1995
Reliability Engineering organization of Alcatel-Lucent. Her and 1997, respectively. In 1997, he joined Mitsubishi Electric Co.
current work is focused on reliability and failure mode analysis He has been working on research and development of gate oxide,
of wireline and wireless telecommunication systems. Previous tunnel oxide and high-k/metal gate CMOS devices. He is currently
research areas include high speed electrical and optical with Process Development Department in Renesas Technology Co.
backplanes, and low cost optical platforms for high capacity
optical networks. Mandich is the Alcatel-Lucent project leader Masataka, Kase (4A.5)
for this research effort and contributes technically to the Masataka Kase joined Fujitsu Limited, Kawasaki, Japan in 1986,
collaborative ALU-EPA-DHS program to assess the impact of where he has been engaged in development of advanced Si LSI
biodecontamination agents on electronic equipment. Mandich processes. Since 2008, he has been deputy general manager in the
has a Ph.D. in Physical Chemistry from Columbia University. device development division of Fujitsu microelectronics limited. His
present activities include the advanced process development of LSI
Marathe, Amit (2D.3, 4C.6, 5A.4) device technologies, especially, which are including leading edge
Amit P. Marathe earned his M.S. and Ph.D. in Materials Science technology and science of ion implantation, msec annealing, gate
and Engineering from the University of California, Berkeley in dielectric formation, gate dielectric reliability, and stress control. He
May 1991 and August 1996 respectively. His research work was has served as committee of several international technology
in the field of high temperature superconductor thin film conferences. He has authored or coauthored more than 50 articles.
processes for integrated circuits with emphasis on high-Tc
SQUIDs and Josephson Junctions. After graduating from Mascellino, Evelyne (MY.5)
Berkeley, he joined Analog Devices, Inc. in Santa Clara, CA Evelyne Mascellino was born in Petralia Sottana, Italy, in 1982. She
where he was working on the process development and received the Bachelor Degree in Electronics Engineering from the
integration of BiCMOS Integrated circuits technology for over a Politecnico di Milano, Italy, in 2005. She's currently working on her
year. Since joining AMD in Sunnyvale CA in October of 1997, Master Degree thesis on Charge-Trap Memories, collaborating with
he has led the reliability development of interconnect the Dipartimento di Elettronica e Informazione, Politecnico di
metallization for AMD’s memory and microprocessor Milano, Milano, Italy, and the R&D Technology Development of
technologies. Currently, he is the Department Manager of the Numonyx, Agrate Brianza, Italy.
Technology Reliability Development Group at
GLOBALFOUNDRIES. His group is involved with Massengill, Lloyd (3A.2, 6E.2)
development of reliability methodologies and modeling failure Lloyd Massengill received the Ph.D. degree in solid state circuits
mechanisms of ultra thin gate dielectrics, advanced transistor from North Carolina State University, Raleigh, in 1987. He is
structures as well as Cu/low-k metallization. He has co-authored currently a Professor with the Department of Electrical Engineering
over 40 technical research publications. He is also a co-inventor and Computer Science, Vanderbilt University, Nashville, TN, where
of over 15 patents granted and over 50 pending US patents in the he teaches microelectronic circuit analysis and design, and studies
area of technology & reliability development. the effects of radiation on the operation of integrated circuits,
particularly the modeling of circuit-level soft errors. He also serves
Marcon, Denis (2E.4) as the Director of Engineering for the Vanderbilt Institute for Space
Denis Marcon was born in Conegliano, Italy, on March 12, and Defense Electronics, Nashville.
1981. He received a M.S. degree in computer science with the
thesis entitled “Assessment of trap mechanisms in in-situ Masuduzzaman, Muhammad (XT.7)
passivated Si3N4/AlGaN/GaN HEMTs by means of pulse IV Muhammad Masuduzzaman received the B.S. degree in Electrical
measurements: impact of field-plate and passivation technology” and Electronic Engineering (EEE) from Bangladesh University of
from the University of Padova in 2006. Since 2007, he is Engineering and Technology (BUET), Dhaka, Bangladesh, in 2004.
During 2005-2006, he worked as a Lecturer in the Department (CICFAR). Her field of research is in failure analysis techniques and
of EEE, BUET. He is currently enrolled in direct Ph.D. program their potential applications in semiconductor industry.
at the Department of Electrical and Computer Engineering,
Purdue University, West Lafayette, IN, USA. His research Mertens, Robert (2E.4)
interest includes physics, simulation and characterization of Robert P. Mertens received the electrical engineering and the Ph.D.
nanoscale devices. Currently he is working on reliability issues degree from the Catholic University of Leuven, Belgium, in 1969
in ferro-electric and high-κ devices. and in 1972 respectively. Today he is Senior Vice President of imec,
heading the Scientific Leadership Team of imec. He is also
Mauri, Aurelio (MY.5) professor at the University of Leuven, teaching courses on
Aurelio Mauri was born in 1969. He received the M.S. degree in semiconductor devices and on technology of electronic and
plasma physics (cum laude) from the University of Milano, optoelectronic systems. In 1995 Robert Mertens was elected Fellow
Milano, Italy, in 1995. In 1996, he started to work for a of the IEEE for contributions to heavily doped semiconductors,
semiconductor company focused on the chemistry treatment of bipolar transistors and silicon solar cells. He has authored or co-
silicon surfaces. In 2004, he joined the nonvolatile technology authored more than 450 publications and has received several best
development of STMicroelectronics in the TCAD group paper awards.
working particularly on NOR/NAND memories and then with
the same function in the R&D—Technology Development, Miccoli, Carmine (5C.2)
Numonyx, Agrate Brianza, Italy. He is a coauthor of more than Carmine Miccoli was born in Cantù, Italy, in 1984. He received the
20 scientific conference papers on different physics topics. Bachelor (BS) and the Master (MS) degrees with full marks (cum
laude) in Electronics Engineering from the Politecnico di Milano,
Mendenhall, Marcus (4B.2, SE.3) Milan, Italy, in 2006 and 2009, respectively. Since 2009 he has been
Marcus Mendenhall received his PhD from Caltech in 1983. He with the Dipartimento di Elettronica e Informazione, Politecnico di
has been involved with ion-beam analytical techniques and Milano, where he is currently pursuing the Ph.D. degree in
computational methods for ion scattering and transport. He Information Technology. His research activities include
served as the associate director for operations of the Vanderbilt characterization and modeling of ultra-scaled Flash memories.
Free Electron Laser, and was the physics lead on a project to
develop the first practical tunable Compton Xray source. His Milor, Linda (IC.3)
current work at Vanderbilt is in computer modeling of radiation Linda Milor is an associate professor of electrical and computer
effects in solids, numerical computing methods, and engineering at the Georgia Institute of Technology. Her research
development of new xray sources for radiobiological interests include yield and reliability modeling, testing, and design-
applications. for-testability of analog and digital circuits. She has a PhD in
electrical engineering from the University of California, Berkeley.
Meneghesso, Gaudenzio (3F.2, 4F.2)
Gaudenzio Meneghesso graduated in Electronics Engineering at Miranda, Enrique (BD.1)
the University of Padova in 1992 working on the failure Enrique Miranda received his Ph.D. degrees in Electronics
mechanism induced by hot-electrons in GaAs MESFETs and Engineering and Physics from Universitat Autònoma de Barcelona
HEMTs. His research interests include Electrical (UAB), Spain and Universidad de Buenos Aires (UBA), Argentina
characterization, modeling and reliability of microwave and in 1999 and 2001, respectively. From 1987 to 2003, he was
optoelectronic devices like compound semiconductors HEMTs Associated Professor at the Faculty of Engineering-UBA and from
and MESFETs, RF-MEMS switches, and organic 2001 to 2003, Associated Researcher at the National Council of
semiconductors devices. He is also developing ESD protection Science and Technology (CONICET), Argentina. Since 2004, he is
structures. Within these activities he published over 350 Professor at the Escola d’Enginyeria-UAB. Dr. Miranda serves as
technical papers (of which more than 35 Invited). He is reviewer Editorial Advisor of Microelectronics Reliability and is member of
of several international journals and he is Associate Editor of the the Distinguished Lecturer program of the IEEE-Electron Devices
IEEE Electron Device Letter for the compound semiconductor Society. He has served in the technical committees of
devices area since 2007. INFOS’07&09 and IRPS’08,09&10. His research interests include
dielectric physics and reliability.
Meneghini, Matteo (4F.2)
Matteo Meneghini received the degree in electronics Mishra, Rahul (EL.3)
engineering from the University of Padova, Italy. In 2008 he Rahul Mishra received his M.Sc.(Engg.) degree in Instrumentation
received the PhD in Electronic and Telecommunication in 2004 from Indian Institute of Science , Bangalore, where his
Engineering (University of Padova), working on the research was focused on synthesis and optimization of ZnO thin-
optimization of GaN-based LED and laser structures. He is now films with nano-particles for gas sensors. He received his PhD
Research Fellow at the Department of Information Engineering degree from George Mason University in Electrical Engineering in
of the University of Padova. His main interest is the 2008 with research focus on interaction of ESD, NBTI and HCI in
characterization, reliability and simulation of compound nano-scale bulk and SOI MOSFETs. During the summer and fall
semiconductor devices. On these (and related) subjects, he has 2006 he worked at IBM Microelectronics on a student internship in
coauthored approximately 80 papers published in international ESD/Latchup Development Group. In summer 2007 he was again at
journal and conference proceedings, and a number of invited IBM Microelectronics on a student internship in TCAD Technology
papers Enablement group where he worked on 3D device simulations for
substrate noise isolation. In 2008, he joined IBM's Semiconductor
Meng, Lei (4E.4) Research and Development Center (SRDC) focusing on ESD device
Lei Meng received her B.Eng. degree in Electrical Engineering development and compact modeling in 32nm, 28nm and 20nm
from National University of Singapore in 2009. She worked as CMOS technologies.
an Associate Engineer in GLOBALFOUNDRIES Singapore
from 2005 to 2006 and an intern in Seagate Technology, Mishra, Umesh K. (2E.5)
Bloomington MN USA for a period of three months during her Received the M.S. degree in electrical engineering from Lehigh
B. Eng. program in 2008. She is currently a Master student at University, Bethlehem, PA, in 1981 and the Ph.D. degree in
the Centre for Integrated Circuit Failure Analysis and Reliability electrical engineering from Cornell University, Ithaca, NY, in 1984.
He is a Professor with the Department of Electrical and University. His research interests include reconfigurable architecture
Computer Engineering, University of California, Santa Barbara. and its VLSI design. Mr. Mitsuyama is a member of IEEE, IEICE,
He made major contributions in the area of high-speed field and IPSJ.
effect transistors at every laboratory and academic institution
that he was with, including North Carolina State University, Moise, Ted (5F.3, 6C.4)
Raleigh, Hughes Research Laboratories, Malibu, CA, University Ted Moise (M ’91) earned the B.S. degree in Physics and
of Michigan, Ann Arbor, and General Electric, Syracuse, NY. Engineering from Trinity College, Hartford, CT, in 1987 and the
His research interests include electronics and photonics: high- Ph.D. degree in electrical engineering from Yale University, New
speed transistors, semiconductor device physics, quantum Haven, CT, in 1992. He joined Texas Instruments in 1992, where
electronics, optical control, design and fabrication of millimeter- he was responsible for the development of high-performance III-V
wave devices, in situ processing, and integration techniques. quantum-effect devices and circuits. In 1997, he initiated work on
the development of scaled ferroelectric capacitors leading to the first
Mitani, Yuichiro (4C.2) demonstration of low-voltage, high-density, embedded ferroelectric
Yuichiro Mitani received the B. E. and M. E. in material science memory in 2002. In conjunction with Ramtron International
and engineering from Tohoku University, Sendai, Japan, in 1990 Corporation, Ted and his team have also produced the first high-
and 1992, respectively. He received the Ph.D. from the density (4Mb) ferroelectric memory products on an advanced
University of Tokyo in 2009. He joined the R&D Center, (130nm) silicon technology node. Ted is a distinguished member of
Toshiba Corporation in 1992. His primary works were TI’s technical staff and is currently the non-volatile memory
concerned in the Si-CVD and the ultra-shallow junction process department manager within TI’s Analog Technology Development
technology. Since 1999, he has been with the Advanced LSI organization. Ted has authored or co-authored over 60 papers,
Technology Laboratory, Corporate R&D Center, Toshiba served as conference and session chair for several international
Corporation, Yokohama, Japan. His present research interests technical conferences, presented numerous invited lectures, and
and activities cover the ultra-thin oxide process technology and holds more than 35 issued patents. Ted was presented with an
the study of the reliability of ultra-thin gate dielectrics (SiO2, outstanding achievement award at the 2008 ISIF conference.
SiON and High-k) for ULSI technology. He serves (or served)
on the technical committees of International Conference on IC Monaco, Gianni (3F.2)
Design & Technology (ICICDT) and IEEE International Gianni Monaco received the Laurea degree in Material Science in
Reliability Physics Symposium (IRPS). He is a member of the 2004, and a Ph.D. in Material Science and Engineering in 2009, both
JSAP. from the University of Padova - Italy. He is currently a research
assistant at LUXOR Laboratory of the National Institute of
Mitra, Subhasish (3A.3) Nanophotonics (CNR-INF). His research is focused on deposition
Subhasish Mitra is an Assistant Professor in the Departments of of thin films for optical applications (from Soft-X ray to Infrared)
Electrical Engineering and Computer Science at Stanford by means of Pulsed Laser Deposition (PLD) and e-beam. He is
University where he leads the Stanford Robust Systems Group. working on thin films analysis by studying the optical constants (in
His research interests include: 1. Robust system design; 2. VLSI the Soft X-ray), Atomic Force Microscope (AFM) and spectroscopic
design, CAD, validation and test; 3. Design for emerging measurements using synchrotron light.
nanotechnologies. Prof. Mitra has co-authored over 125
technical papers, and is the recipient of multiple honors Monzio Compagnoni, Christian (5C.2, MY.5, MY.6)
including the Presidential Early Career Award for Scientists and Christian Monzio Compagnoni received the Laurea degree (cum
Engineers, National Science Foundation CAREER Award, laude) in Electronics Engineering and the Ph.D. degree in
Terman Fellowship, IEEE CAS/CEDA Donald O. Pederson Information Technology from the Politecnico di Milano, Milan,
Award, ACM SIGDA Outstanding New Faculty Award and the Italy, in 2001 and 2005, respectively. Since 2002, he has been with
Intel Achievement Award, Intel’s highest corporate honor. the Dipartimento di Elettronica e Informazione, Politecnico di
Mitsuaki, Hori (4A.5) Milano, where he became an Assistant Professor in 2006. His
He received the B.S. degree in Physics from Tokyo University research activities include characterization and modeling of
of Science in 1992. He joined Fujitsu limited,Kawasaki,Japan in advanced non-volatile memories and MOS devices. Dr. Monzio
1992, where he has been engaged in development of LSI Compagnoni received the Outstanding Paper Award at the IRPS in
processes. He is now working on development of advanced 2008 and was a member of the memory committee of the IRPS in
FEOL such as ultra thin gate dielectrics formation and gate 2009 and 2010.
dielectric reliability at Process development dept. of
Fujitsumicroelectronics limited, Japan. Mora, Pascal (4A.2)
Pascal Mora Ph.D, STMicroelectronics, Hopewell Junction, NY
Mitsuhiro, Fukuda (3A.5) Dr. Mora received his Engineering degree from "L' Ecole Nationale
Mitsuhiro Fukuda received the B.Sc., the M.Sc. and Ph.D. Supérieure de Physique de Grenoble", M.S.E.E. from "L'Institut
degrees in physics from Osaka University, Osaka, Japan, in National Polytechnique de Grenoble", and Ph.D. in Micro and Nano
1983, 1985 and 1988, respectively. From 1988 to 2005, he Electronics from "L'Institut National Polytechnique de Grenoble",
worked on the acceleration technologies of cyclotrons and ion Grenoble, France. His doctoral research studied the Reliability of
beam irradiation techniques for the related applications at Japan Embedded Non-Volatile Memories in Advanced CMOS and Bi-
Atomic Energy Agency. In 2006 he joined Research Center for CMOS technologies. He has been a direct contributor for the
Nuclear Physics, Osaka University, and worked in the fields of qualification of several of STMICROELECTRONICS’s most
accelerator physics. He is currently responsible for upgrading advanced technologies and has actively worked in Semiconductor
the RCNP cyclotrons for nuclear physics experiments and reliability for 7 years.
various ion beam applications such as SEU analysis.
Morassi, Luca (4A.4, 4F.4)
Mitsuyama, Yukio (3A.4) Morassi Luca, received in 2009 his academic master degree in
Yukio Mitsuyama received the B.E. and M.E. degrees in Electronic Engineering from University of Modena e Reggio Emilia,
information systems engineering from Osaka University, Osaka, Italy. During 2009 he collaborates with University of Modena and
Japan, in 1998 and 2000, respectively. He is currently an Reggio Emilia with a research activity focused on electrical
Assistant Professor with Graduate School of Engineering, Osaka characterization of high-k material for NVM devices. Since 2010 he
is a PhD student at ICT Electronics & Telecommunications Murakami, Eiichi (2D.4)
Doctorate School, Modena, Italy. Currently his research activity Eiichi Murakami received the B.S./M.S./Ph.D. degree in applied
is based on III-V compound semiconductor FETs physics from Waseda University, Tokyo, Japan, in 1981/1983/1995,
characterization. respectively. He joined the Central Research Laboratory, Hitachi,
Ltd. Japan, in 1983. He worked on Si-SPE, SiGe, ultrashallow-
Morin, Pierre (3C.2) junction, and MOSFET’s design & characterization studies. He is
Pierre Morin was born in France in 1965. He received the Ph.D. now the manager of Process & Device Analysis Engineering
degree in electronics in 1995 from Pierre & Marie Curie Development Department in Renesas Technology Corp. His current
University, Paris. From 1995 to 2000 he was with Phillips, interest is in reliability and failure physics in Si-LSI. Dr. Murakami
involved in electron optics and physical processes developments is a member of the Japan Society of Applied Physics and the IEEE
for cathode ray tubes. He joined ST Microelectronics in 2000 to EDS. He served as a sub-committee member of CMOS and
work on thin film processes development. He was then in charge Interconnect Reliability in IEDM 2004,05.
of the integration of low thermal budget deposition processes in
FEOL CMOS flows and of stressor modules in 65nm and 45nm Myny, Kris (4F.2)
CMOS technology nodes. He is member of the R&D technical Kris Myny was born in Hasselt, Belgium on July 26, 1980. He
staff, in charge of FEOL processes for CMOS and Flash received the master degree at the Katholieke Hogeschool Limburg in
memories and has managed the project dedicated to solve the Diepenbeek, Belgium in 2002. He joined imec in Leuven in 2004 as
pattern effects issues in the 45/40nm node. He has authored or a member of the Large Area Electronics group. In 2008, he started a
co-authored more than 50 publications or conferences PhD on the design of organic circuits. His main research interests
presentations in the microelectronics field and owns 3 patents. are the design, fabrication and optimization of digital organic
circuits for, amongst others, organic RFID tags and AMOLED-
Morita, Yusuke (XT.2) backplanes
Yusuke Morita received the B.S. and M.S. degrees in materials
engineering from Shonan Institute of Technology, Kanagawa, Nagalingam, Dayanand (4E.4)
Japan, in 1999 and 2001, respectively, and the Ph.D. degree Dayanand Nagalingam received his B.E from Anna University,
from the Tokyo Institute of Technology, Tokyo, Japan, in 2004. India in 2006 and then M.Sc from National University of Singapore
He joined the Central Research Laboratory, Hitachi, Ltd. in in 2009. He worked as an intern in Advanced Micro Devices for a
2005 where he has been working on the research and period of 7 months during his M.Sc program. Currently he is
development of CMOS devices including SOI MOSFETs. Dr. working in National University of Singapore as a Research Engineer
Morita is a member of the Japan Society of Applied Physics. since 2009. His field of research is Characterization of Solar Cells.

Mottadelli, Riccardo (5C.2) Nagarajan, Raghavan (4A.1, BD.2)


Riccardo Mottadelli was born in Seregno, Italy, in 1985. He Nagarajan Raghavan was born in Bangalore, India in 1985. He
received the Laurea degree in Physics Engineering from the received his B.Eng, 1st Class Honors, (Electronics Engineering,
Politecnico di Milano, Milan, Italy in December 2009. Since 2007), S.M. (Advanced Materials for Micro & Nano Systems, 2008)
2009 he has been working on the reliability of Flash memories and M.Eng (Materials Science and Engineering, 2008) from
and multilevel products. Nanyang Technological University (NTU), National University of
Singapore (NUS) and Massachusetts Institute of Technology (MIT)
Mukherjee, Shubu (3A.1) respectively. He was the recipient of the prestigious Nanyang
Shubu Mukherjee is a Principal Engineer and Director in Intel's Scholarship, NTU President Research Scholar and Singapore-MIT
Microprocessor and Graphics Architecture Group. His interests Alliance (SMA) Graduate Fellowship awards. He is also one of the
include computer architecture, fault tolerance, and innovation five recipients to be bestowed with the IEEE Reliability Society
"confluencing." He is the winner of the 2009 Maurice Wilkes Graduate Scholarship award in 2008 for his research
award, a Fellow of IEEE, and has written a book titled, accomplishments in reliability and its application to nanoelectronics.
"Architecture Design for Soft Errors." He is currently pursuing his Ph.D at the Division of
Microelectronics, School of EEE, NTU focusing on reliability
Mukhopadhyay, Gautam (NA.2) modeling and statistical characterization of novel high-κ dielectric
Gautam Mukhopadhyay received M.Sc.(Physics), with 1st rank materials in nanodevices. He serves on the review committee for
from IIT-Kharagpur, India (1966); BARC Training School IEEE Transactions on Device and Materials Reliability (TDMR). He
(1967) with 2nd rank, Bombay, India; Ph.D.(1973) in Solid is currently a Graduate Student Member of IEEE (2005-present).
State Theory from Theory Group, Tata Institute of Fundamental
Reasearch (TIFR), Bombay, India; International Atomic Energy Nakamura, Hideyuki (5F.4)
Agency (IAEA) Fellow (Jan-July, 1973) at International Centre Hideyuki Nakamura received the B.S. and M.S. degrees in
for Theoretical Physics (ICTP), Trieste, Italy; Visiting Scientist electronic engineering from University of Electro-Communications,
(March, 1973- September 1978) in Institute of Theoretical Tokyo, Japan, in 1991 and 1993, respectively. He joined NEC
Physics, Chalmers University of Technology, Gothenburg, Corporation in 1993. He has been working in NEC Electronics
Sweden; Assistant Professor (1978-1987) at Physics Corporation from its establishment in 2002. He has been working on
Department, IIT-Bombay; Associate of ICTP, Trieste (1980-85); development of soft error reliability technologies of SRAM and
Senior Solid State Fellow (1985-87) at ICTP, Trieste, Italy; logic circuits on SoC. He joined Environmental Variability Tolerant
Professor of Physics (1987-) at IIT-Bombay. He has worked on Device Technology Program of MIRAI project in 2007.
various areas of Theoretical Condensed Matter Physics, like
electronic energy band calculations for Ce, electron correlations Nakamura, Tomonori (FA.4)
for homogeneous and inhomogeneous electron systems, surface Tomonori Nakamura received the Master's and Doctor's degree in
physics, Magnetism in Rare Earth Iron Garnets (RIG), optical Engineering from the Tohoku University in 2001 and 2004. He has
properties of dielectric and magnetic nanoparticles, etc. He has been working at system department of Hamamatsu Photonics K.K.
more than 100 papers in peer reviewed journals and conference Japan. Currently, He is in charge of reserching and developping
Proceedings. semiconductor circuits failure analysis systems.
Nakasaki, Yasushi (4C.2) Nelhiebel, Michael (XT.6, XT.8)
Yasushi Nakasaki, received the B.E. (1983) and M.E. (1985) in Michael Nelhiebel received the M.Sc. degree in physics from the
nuclear engineering from Kyoto University, Kyoto, Japan. He Vienna University of Technology, Austria, and the PhD degree in
joined the ULSI Research Labs, Research & Development solid state physics from Ecole Centrale Paris, France, working on
Center, Toshiba Corp., Kawasaki in 1985. Since 1996, he joined interferometry in electron energy loss spectrometry. In 1999, he
the Advanced LSI Technology Lab, Research & Development joined Infineon Technologies Austria as a Reliability Engineer of
Center, Toshiba Corp., Kawasaki. He has been engaged in the the silicon wafer production. He is currently a Senior Staff Engineer
research on metallization and dielectrics in both frontend and with the quality department of the Automotive Business Division,
back-end process technology using first principles calculations. responsible during the development phase for technology related
product reliability. He has coordinated the qualification of major
Naoyoshi, Tamura (4A.5) automotive technology platforms and participates in research
He received the B.S. in Physics from Yokohama City University activities of Infineon Technologies Austria targeting technology
in 1985. He also joined FUJITSU LIMITED working on reliability.
Advanced FEOL such as New Rapid Thermal technologies,
Reliability of ultra thin gate dielectrics and Advanced process Nelson, Tan (SE.5)
induced strained technologies for 20 years (He joined Fujitsu Received the B.S. degree in chemical engineering and the M.S. and
Laboratories from 2003 to 2008 in order to research embedded Ph.D. degrees in EECS from the University of California, Berkeley,
SiGe technologies) Now He is working on the classification of in 1984, 1989, and 1991, respectively. At UC Berkeley, his research
1/f noise and Random Telegraph Signal originated Si/SiO2 was on the characterizing and modeling of optical resists under
interface and improvement of interface on Advanced RF/Mixed electron-beam lithography. In 1991, he joined Intel Corporation,
Signal device. He is a member of the Japan Society of Applied Santa Clara, CA, where he worked on the development of phase
Physics. shifting mask (PSM). In 1997, he joined the Enterprise Processor
Division as a Quality and Reliability Engineer focusing on
Narasimham, Balaji (3A.2) microprocessor reliability issues. His research interests include
Balaji Narasimham received the B.E. degree in electrical simulation and experimental techniques for determining radiation
engineering from the University of Madras, Chennai, India, in effects on microprocessors. He joined Marvell Semiconductor, Inc.
2003 and the M.S. and Ph.D. degrees in electrical engineering in 2006 as a Principle Reliability Engineer focusing on soft error
from Vanderbilt University, Nashville, TN, in 2005 and 2008, reliability issues in various ASIC and SoC devices.
respectively. He is currently a Staff Reliability Scientist with
Broadcom Corporation, Irvine, CA, where his work focuses on Ney, David (IC.8)
device- and circuit-level reliability and characterization of soft David Ney is a graduate of the engineering school of Physics of
errors for memory and logic circuits. He was with Intel Grenoble, France (ENSPG) in 2003. In 2006, he received his PhD in
Corporation, Hillsboro, OR, and IBM T. J. Watson Research Microelectronics from INPG (Institut National Polytechnique de
Center, Yorktown Heights, NY, where he held a graduate level Grenoble, France). His graduate work focused on electromigration
cooperative position. Dr. Narasimham's research interests issues in advanced copper interconnects. Since 2006, he is working
include CMOS circuit design, radiation effects and reliability of on interconnect reliability issues at Central R&D labs of
semiconductor devices and circuits. He has authored or co- STMicroelectronics, Crolles.
authored over 30 papers related to his research and has authored
a book chapter on single-event transients. He has served in the Ngan, Paul (2D.2)
technical committee of IRPS and is the recipient of the Best Paul Ngan is Reliability Manager of Regional Quality Center at
Paper Award at the 2007 RADECS conference. NXP Semiconductors, San Jose. He holds a BS, MS in Physics and
MBA in Finance. His previous works include qualifying BiCMOS
Nardi, Federico (5D.1) technologies for RF and championed the implementation of
Federico Nardi was born in 1984 in Milano, Italy. He received knowledge-based qualification. He is a member of JC14.1 and 14.3
his Bachelor degree (BS) in 2006 and his Master degree (MS) in subcommittee and various task groups, including JEP122 working
2008, both in Electronic Engineering from Politecnico di group. His primary research interest is in reliability circuit
Milano, Italy. For his first level graduation thesis he worked on simulation and ESD robustness design techniques (especially for
organic non volatile memories and for his second level RF). Occasionally when he is taking a break from reliability, you
graduation thesis he succeeded in studying resistive-switching will see him “playing” with general relativity, quantum field theory
effects in oxide-based memories (RRAMs). He is currently and quantitative finance.
pursuing his Ph.D degree in Information Technology
Engineering in the Dipartimento di Elettronica ed Informazione, Nicollian, Paul (4A.6)
Politecnico di Milano, Italy. He is also with the Italian Paul E. Nicollian received the B.S. degree in Physics from The
Universities Nanoelectronics Team, Politecnico di Milano. Pennsylvania State University in 1983, the M.S. degree in Physics
from The University of Texas at Dallas in 1990, and the Ph.D.
Nassif, Nevine (3A.1) degree in Electrical Engineering from The University of Twente
Nevine Nassif is a member of the Massachusetts Microprocessor (The Netherlands) in 2007. He was employed by Mostek
Design Center at Intel, Massachusetts, and is responsible for full Corporation in 1984. He joined Texas Instruments in 1985 and is
chip physical integration. She also focused on the design of currently a Senior Member of the Technical Staff in the Advanced
sequential circuits that are resilient to soft errors. Throughout CMOS Technology-Design Integration department. His research
her career at Digital Equipment Corporation, Compaq, Hewlett interests include the reliability physics of dielectric materials. He
Packard and Intel, she has worked on several vax, alpha, x86, has co-authored 32 publications and is a recipient of the 2000 IRPS
and itanium microprocessor designs. Her major contributions Best Paper Award. He has served on the IEDM and IRPS Technical
are in the area of timing, including algorithm development, Program Committees. Dr. Nicollian is a Senior Member of the
methodology, and modeling for which she has been awarded 7 IEEE.
patents. She holds a Ph.D. in Electrical Engineering from
McGill University
Nicolosi, Piergiorgio (3F.2) activities for the International Electron Devices Meeting. He has
Piergiorgio Nicolosi is full professor at University of Padua edited 2 conference proceedings on microelectronics materials
since 2004. His research activity has been mainly devoted to reliability for the Materials Research Society.
plasma and atomic spectroscopy, spectroscopic studies of laser
generated plasmas, to the development of spectroscopic Obradovic, Borna (5F.3)
instrumentation for laboratory, synchrotron and FEL sources Borna Obradovic was born in Zagreb, Croatia, in 1970. He received
and space applications, to the development of nano-structured a B.S. degree in Physics in 1993, and MSE and Ph.D. degrees in
multilayer coatings for the extreme ultraviolet spectral range. Electrical Engineering in 1996 and 1999, from the University of
Texas at Austin. From 1999 to 2006 he was a TCAD engineer at
Nigam, Tanya (4A.3) Intel Corp, working on simulator infrastructure, physical models,
Tanya Nigam received her Bachelor’s degree in Physics (Hons.) and applications, in particular regarding stress-mobility effects, SiGe
from St. Stephens College, Delhi University. She obtained a HBTs and Graphene transistors. Since 2006, he has been at Texas
M.Sc in Physics from IIT Kanpur and a M.Sc in Electrical Instruments, developing SPICE models for Non-Volatile Memory
Engineering from the Katholieke Universiteit Leuven in 1995. devices.
Between 1995 and 1999, she obtained Ph.D in the area of ultra-
thin gate oxides at IMEC, Belgium. From 1999 until 2001, O'Connor, Eamon (BD.1)
Tanya was a Member of Technical Staff at Bell Labs where she Eamon O’Connor was born in Cork, Ireland in 1980. He received
worked on novel device geometries to overcome sub-50nm his B.E. in Electrical and Microelectronic Engineering from
device challenges. From 2001 until 2005, she was with Agere University College Cork in 2002. He was awarded an MEngSc in
Systems, formerly the Microelectronics Division of Lucent 2005 for research at the Tyndall Institute (University College Cork)
Technology. At Agere, she worked on reliability issues for on the fabrication and characterization of electroluminescent devices
power LDMOS devices, and HCI/NBTI reliability concerns for based on organic and inorganic materials. Since 2006 his research
CMOS. From October 2005 till 2007 Tanya worked as a Senior has been focused on the electrical characterisation of MOS device
Staff at Cypress Semiconductor involved in the optimization of structures utilising high-k dielectric materials on high-mobility III-V
65nm CMOS. In 2008 she was with AMD and since 2009 she is compound semiconductors. He is currently a PhD student in the
with GLOBALFOUNDRIES as SMTS working on the research group of Dr. Paul Hurley at the Tyndall Institute.
correlation between device and product level degradation. She
has co-authored 30 papers in Journals and Conferences. Ogasawara, Makoto (2D.4)
Makoto Ogasawara received B.S. (1981) and M.S. (1983) degrees
Nikolic, Borivoje (XT.17) in electronics from Toyohashi university of technology , Aichi,
Borivoje Nikolic is a Professor of Electrical Engineering and Japan. In 1983, he joined Device development center, Hitachi ,Ltd.
Computer Sciences at the University of California, Berkeley. From 1983 to 2003, he engaged in process development of DRAM
He received the Dipl.Ing. and M.Sc. degrees in electrical and gate oxide reliability in MOS devices. He is now with Renesas
engineering from the University of Belgrade, Serbia, in 1992 Technology Corp. Currently, He is responsible for the process
and 1994, respectively, and the Ph.D. degree from the reliability of FEOL and BEOL
University of California at Davis in 1999. His research activities
include digital and analog integrated circuit design in scaled Ohmi, Tadahiro (5F.2)
technologies and VLSI implementation of communications and Tadahiro Ohmi received the B.S., M.S., and Ph.D. degrees in
signal processing algorithms. electrical engineering from Tokyo Institute of Technology, Tokyo,
Japan, in 1961, 1963, and 1966, respectively. Prior to 1972, he
Nobuyuki, Yoshioka (2D.4) served as a Research Associate in the Department of Electronics,
Nobuyuki Yoshioka received B.S. (1980), M.S. (1982) and Ph.D Tokyo Institute of Technology, where he worked on Gunn diodes
(1989) degrees in physics from Collage of Science and such as velocity overshoot phenomena, multivalley diffusion and
Technology, Nihon University, Tokyo, Japan. In 1982, he frequency limitation of negative differential mobility due to an
joined LSI research and development laboratory, Mitsubishi electron transfer in the multi-valleys, high-field transport in
Electric Corp. From 1982 to 2000, he worked on development semiconductor such as unified theory of space-charge dynamics in
of x-ray lithography and photomask technology. From 2000 to negative differential mobility materials, Bloch-oscillation-induced,
2004, he worked on infrastructure development of photomask negative mobility and Bloch oscillators, and dynamics in injection
technology in Selete (a consortium for development of lasers. In 1972, he moved to Tohoku University, Sendai, Japan,
semiconductor technologies in Japan). Currently, He is where he is currently a Professor at the New Industry Creation
responsible for the DFM(Design For Manufacturability) Hatchery Center. He is engaged in researches on high-performance
technology in Renesas Technology Corp.. ULSI such as ultrahigh-speed ULSI based on gas-isolated-
interconnect metal-substrate SOI technology, base store image
Oates, Anthony (IC.10) sensor (BASIS) and high-speed flat-panel display, and advanced
Tony Oates received his Ph.D. in physics from the University of semiconductor process technologies such as low kinetic-energy
Reading, U.K. in 1985. He then joined AT&T Bell Laboratories particle bombardment processes including high-quality oxidation,
in Allentown, PA, as a post-doctoral member of the technical high-quality metallization, very-low-temperature Si epitaxy, and
staff, where his research focused on defects in silicon crystals. In crystallinity-controlled film growth technologies from single-crystal,
1987, he joined the VLSI technology development laboratory of grain-size-controlled polysilicon and amorphous highly selective
AT&T Bell Laboratories and since then he has studied failure CVD, highly selective RIE, and high-quality ion implantation with
mechanisms in CMOS technologies. He is currently a member low-temperature annealing capability based on ultraclean
of the technology development organization of Agere Systems technology concept supported by newly developed ultraclean gas
(formerly the Microelectronics Division of Lucent supply system, ultrahigh vacuum-compatible reaction chamber with
Technologies), where he is a technical manager with self-cleaning function, and ultraclean wafer surface cleaning
responsibility for technology reliability. He has published over technology. His research activities are summarized by the
40 papers in the areas of interconnect and circuit reliability. He publication of over 1300 original papers and the application of 1600
is a member of the management committee of the International patents. Dr. Ohmi serves as the President of the Institute of Basic
Reliability Physics Symposium, serving as the symposium Semiconductor Technology-Development (Ultra Clean Society). He
General Chair in 2001. He is also involved in paper selection is a Fellow of the Institute of Electricity, Information and
Communication Engineers of Japan. He is a member of the Society Board of Governors since 2008. He is a member of IEEE,
Institute of Electronics of Japan, the Japan Society of Applied IEICE, IPSJ, and ITE-J.
physics, and the Electrochemical Society. He received the
Ichimura Award in 1979, the Inoue Harushige Award in 1989, Ottogalli, Federica (5C.4)
the Ichimura Prizes in Industry-Meritorious Achievement Prize Federica Ottogalli, since 28-Oct-2007 with Numonyx, Via
in 1990, the Okouchi Memorial Technology Prize in 1991, the C.Olivetti 2, 20041, Agrate Brianza (Milan), Italy. She received the
Minister of State for Science and Technology Award for the doctor degree in Physics from the University of Padova, Italy, in
Promotion of Invention (the Invention Prize) in 1993, the IEICE 1998 with a thesis on crystallographic characterization by RBS-
Achievement Award in 1997, the Okouchi Memorial Channeling and modeling of III-V compounds. She joined the Non-
Technology Prize in 1999, the Werner Kern Award in 2001, the Volatile Memory Technology Development Group of the Central
ECS Electronics Division Award, the Medal with Purple Ribbon R&D of STMicroelectronics in Agrate Brianza (Milan) in 1999.
from Government of Japan and the Best Collaboration Award Since 2002, she has been working on the process development for
(the Prime Minister’s Award) in 2003. phase-change memories based on chalcogenide materials.

Ok, Injo (4F.4) Oualli, Mourad (2E.3)


Injo Ok received the B.S. and M.S. degrees in Electrical Mourad Oualli was born in France in 1982. He was graduated from
Engineering from Changwon National University, Changwon, the Ecole Polytechnique, Palaiseau, France and the Ecole Supérieure
Korea in 2000 and 2002, respectively and the Ph.D. degree in d’Electricité (Supélec), Gif-sur-Yvette, France, in 2007. He then
Electrical and Computer Engineering at the University of Texas, joined the Alcatel-Thales III-V lab as a research engineer in the
Austin. In April 2008, he joined SEMATECH at Albany, development of the AlGaN/GaN and AlInN/GaN HEMT
Albany, NY, where he working on fabrication and technologies. He especially works on the improvement of device
characterization of III-V and SiGe FinFET transistors for 22-nm reliability.
node and beyond. He has authored or coauthored more than 47
technical papers. Ouchi, Tomohiko (2D.4)
Tomohiko Ouchi received B.S.(1988) and M.S.(1991) degrees in
Olney, Andrew (3B.1) physics from Ibaraki University, Ibaraki, Japan. He joined the
Andrew Olney is the Director of Reliability, Product Analysis, Hitachi Research Laboratory, Hitachi ,Ltd, in 1991. He worked on
Calibration & ESD at Analog Devices, Inc. in Wilmington, molecular CAD development, Flash memory design and
Massachusetts. He received a BS degree from Lehigh ferroelectric memory development. He is now with Renesas
University and an MS degree from Boston University, both in Technology Corp. Currently, he is working on the development of
Electrical Engineering. Andrew is responsible for managing DFM method.
ADI’s worldwide Reliability, Product Analysis, Calibration, and
ESD labs and associated engineering organizations in Ireland, Paccagnella, Alessandro (3F.2, 4B.3)
the Philippines, and the United States. Andrew has published Alessandro Paccagnella is Full Professor of Electronics and Director
several papers and holds several patents related to ESD testing of the Department of Information Engineering at the University of
and on-chip protection. He also represents ADI on the Padova. He is the author of more than 300 scientific papers, and
Semiconductor Industry Association (SIA) Anti-Counterfeiting about 200 of them have been published on international journals. In
Task Force. the past, his research has been directed to the study of different
aspects of physics, technology, and reliability of semiconductor
Olson, Nicholas (4D.3) devices. At present, he coordinates the activity of a research group
Nicholas Olson received his B.S. degree in electrical focused on the study of ultra-thin gate dielectrics in MOS devices
engineering from Iowa State University of Science and and on Total Ionizing Dose and Single Event Effects induced by
Technology in 2005. He received his MS degree from the ionizing radiation on integrated circuits.
University of Illinois at Urbana-Champaign in 2008 and is
working towards his Ph.D at the same university. His research Padovani, Andrea (4A.4, 4F.4, 6C.1)
is in the field of ESD and he plans to graduate by 2011. Andrea Padovani graduated in Electronics Engineering at the
University of Modena and Reggio Emilia, Italy, in 2005. He
Ong, Yi Ching (4A.4) received his Ph.D. in 2009 from the University of Ferrara, Italy. He
Y. C. Ong received the B.Eng. (Hons) and Ph.D. degrees in is currently a post-doc at the University of Modena and Reggio
electrical and electronics engineering from Nanyang Emilia, Italy.His research activity focuses on the reliability and
Technological University (NTU) in 2004 and 2010, respectively. modeling of logic transistors based on high-k/metal gate technology,
She is currently a post doctoral fellow in the University of and of innovative non-volatile memories, such as resistive rams
Tokyo. Her research interest is in the application of scanning (RRAM) and charge-trapping devices (NROM, TANOS). He
probe microscopy technique on surface and reliability physics. authored and co-authored more that 25 technical papers in
international journals and conference proceedings. He serves as
Onoye, Takao (3A.4) reviewer for several international journals.
Takao Onoye received the B.E. and M.E. degrees in electronic
engineering, and the Dr.Eng. degree in information systems Pan, Liu (3C.5)
engineering, all from Osaka University, Osaka, Japan, in 1991, Liu Pan was born in Xi’an, Shaanxi province, China, He received
1993, and 1997, respectively. He was an Associate Professor the B.S and M.S degree in material science and technology from the
with the Department of Communications and Computer University of Science and Technology Beijing in 2000 and 2003,
Engineering, Kyoto University, Kyoto, Japan. Since 2003, he respectively. He is currently working for GLOBALFOUNDRIES
has been a Professor in the Department of Information Systems Singapore company and responsible for semiconductor chip failure
Engineering, Osaka University. He has published more than 200 analysis. His strength is in transmission electron microscopy (TEM)
research papers in the field of VLSI design and multimedia sample preparation and image analysis. His interested areas include
signal processing in reputed journals and proceedings of chip function failure analysis and reliability assessment. He also is
international conferences. His current research interests include the author of 8 papers and 2 patents on the failure analysis, material
media-centric low-power architecture and its SoC characterization and TEM sample preparation technique.
implementation. Dr. Onoye has served as a member of the CAS
Park, Chan-Hoon (2C.4) TI, He had researched on various topics in Korean governmental
Received the B.S. (2008) in electronics engineering from the research institutes: 1988-1991 in ETRI and 1996-2002 in KIST.
Kyungbuk National University, Korea. He received the M.S. Young-Joon has authored or co-authored 70+ papers/presentations
(2010) in electronics engineering from the Pohang University of and holds 10+ patents issued or filed.
Science and Technology (POSTECH), Pohang, Korea. He is
currently in Ph.D. course at POSTECH. His main research Pavan, Paolo (4F.4, 6C.2)
interests include nanowires fabrication. Paolo Pavan graduated in Electrical Engineering at the University of
Padova, Italy, in 1990. He received his PhD in 1994 from the same
Park, Hokyung (2B.4) University. From 1992 to 1994 he was at the University of
Hokyung Park received a B.S. (2001) in Avionics from Korea California at Berkeley. He is currently Full Professor of Electronics
Aerospace University and M.S. (2003) and Ph. D (2007) in at the University of Modena and Reggio Emilia. He is the President
Material Science and Engineering from Gwangju Institute of of the IU.net Consortium. His research activity deals with electrical
Science and Technology, KOREA. He is device characterization characterization, modeling and reliability of integrated circuits and
and reliability engineer at SEMATECH from 2008. His current nonvolatile memory devices. He also works on "By-wire" systems
research area is characterization of memory devices and high-k for automotive and wireless embedded systems. He has been
gate dielectric for logic application and reliability evaluation. involved in the technical committees of international conferences
(IEDM, ESREF). He authored and co-authored many papers, one
Park, Hyun-Kook (RM.3) book and two chapters in edited books.
Hyun-Kook Park was born in Ik-san, Jeollabuk-do, Korea, in
1983. He received the B. S. degree in the electrical and Pei, Yi (2E.5)
electronic engineering from Yonsei University, Seoul, Korea, in Received the B.S. degree in Electrical Engineering from Peking
2008. He is studying for M. S degree in Yonsei University. His University, Beijing, China, in 2000, the M.S. and Ph.D. degrees in
current research interests is SRAM stability and FinFET SRAM Electrical Engineering from University of Santa Barbara, USA, in
design. 2005 and 2009, respectively. He joined in Dynax Semiconductor,
Inc. in 2009. His research interests focus on design, fabrication, and
Park, Jongwoo (2D.1, 3C.3) characterization and applications of compound semiconductors,
Jongwoo Park received the Ph. D. degree from Lehigh especially in Nitride-based devices. Dr. Pei has authored and co-
University, Bethlehem, PA, in 1998. After post doctoral authored more than 50 papers in technical journals and conferences.
research at Lehigh University in 1999, he joined Lucent
Technologies as a Member of Technical Staff. His research Pendharkar, Sameer (HV.1)
projects were packaging and reliability associated with Graduated from The University of Wisconsin-Madison in 1996. In
application and characterization of polymeric materials used for 1996, he joined Texas Instruments Inc. where he worked on
microelectronic devices and interfacial failure mechanism. In developing more than 5 generations of BiCMOS-DMOS (LBCTM)
2002, he joined Princeton Optronics as a Manager of technologies. His primary focus was on developing highly efficient,
Quality/Reliability. He was involved in hermetic/nonhermetic robust and cost efficient integrated high voltage and high power
package development and reliability focused on tunable laser semiconductor devices. He is currently a TI Fellow and manages the
module including pump laser, MEMS and VCSEL. Since 2003, High Voltage Component Development Group at Texas Instruments
he is with Technology Reliability, Q&R team in SYSTEM LSI, Inc.
Samsung Electronics as a Director responsible for reliability
qualification of CMOS process and product and has contributed Petitprez, Emmanuel (IC.8)
to the development and reliability of 90, 65, 45, and 32nm logic Emmanuel Petitprez received the Engineering degree in solid state
process technology nodes. physics from the Institut National des Sciences Appliquées,
Toulouse, France, in 1994, and the Ph.D. degree in materials science
Park, Milim (MY.7) from the University of São Paulo, Brazil, in 2001. He was with
Milim Park received the B.S. degree in electrical engineering Serma Technologies, Grenoble, France, as a Characterization
from Chonbuk National Univercity, Chonjo, Korea, in 2005. Engineer. In 2005 he moved to Freescale Semiconductor, Crolles,
From 2005 to 2010, she is with the Flash Device Team, Hynix France working on interconnect reliability issues in SOI
Semiconductor Inc., Korea. She is currently focusing on triple technologies. Since 2007, he has been with the STMicroelectronics
level cell technology development in Nand Flash Memeory. Central R&D Laboratory, Crolles, France where he works on the
reliability of interconnects for advanced CMOS technology.
Park, Suk-Kwang (MY.7)
Suk-Kwang Park received the B.S. degree in Physics from Dong Pey, Kin Leong (2C.3, 4A.1, 4A.4, 5B.3, BD.2, MY.4)
A University, Busan, Korea, in 1999, and the M.S. degrees in Kin Leong Pey received his Bachelor of Engineering (1989) and
Physics from Pusan National University Busan, Korea, in 2002 , Ph.D. (1994) in Electrical Engineering from the National University
Since joining the hynix Semiconductor Inc. Ichon,Korea, in of Singapore (NUS). He has held various research positions in the
2002, he has been working on device and process integration of Institute of Microelectronics, Chartered Semiconductor
high density memory as well as deep submicron devices. From Manufacturing, Agilent Technologies and National University of
2002 to 2005.He and his team developed hynix’s first MLC Singapore. He is currently a Visiting Professor at Nanyang
product ,the world’s first commercial 16MB 3bits NAND flash Technological University (NTU) and an Associate Provost of
in 2008, He is currently working on the development 3bits Singapore University of Technology and Design (SUTD), Singapore
NAND. and also holds a concurrent Fellowship appointment in the
Singapore-MIT Alliance (SMA). He has published more than 150
Park, Young-Joon (6A.1) international refereed publications and 160 technical papers at
Young-Joon Park received his BS from Seoul National international meetings/conferences and holds 33 US patents. Dr. Pey
University in 1986, and MS.D. and Ph.D. in material science is a senior member of IEEE and an IEEE EDS Distinguished
and engineering from KAIST in 1988 and 1995. He was a post Lecturer.
doctoral associate in MIT during 1995-1996. Young-Joon joined
Texas Instruments (TI) in 2002 and is a Senior Member of
Technical Staff, working in the BEOL reliability area. Prior to
Phang, Jacob Chee Hong (3C.4, 4E.4) Electronics, TU Vienna, Austria, where he leads a research team.
Jacob CH Phang received both his BA and PhD degrees from Since 2003 he is Associate Professor at TU Vienna. He published on
the University of Cambridge in 1975 and 1979 respectively. He defect states in semiconductors, low frequency noise and device
joined the National University of Singapore in 1979 where he is reliability physics. His current research interest is in ESD-
now Professor at the Centre for Integrated Circuit Failure phenomena, self-heating effects, current filamentation and thermal
Analysis and Reliability (CICFAR), Faculty of Engineering. His breakdown, power electronics, GaN HEMTs and LEDs, failure
field of research is in microelectronic device failure analysis and analysis, device reliability and development of new optical methods
reliability. He is also Executive Chairman of SEMICAPS for device characterization. He is author or co-author of more than
Corporation, an NUS spin-off company he co-founded in 1988 250 scientific contributions.
to commercialise the technologies developed at CICFAR for
world-wide distribution. Poli, Stefano (HV.1)
Received the Ph.D. degree in Information Technology from the
Piazza, Michele (2E.3) University of Bologna in 2009. In 2005 he was with the
Michele Piazza was born in Pordenone, Italy, in 1981. He Interuniversity Micro Electronic Center, Leuven, Belgium, as an
graduated in Electronic Engineering at the University Of Padova Internship under-graduate student. Since 2006 he is with the ARCES
(Italy) in 2008. He's currently a research Engineer at Alcatel- Research Center, working on the modeling and simulation of ultra-
Thales 3-5 Lab and registered as PhD student at X-LIM, France. scaled CMOS, post-CMOS and CNT based device. In 2007 he was
He works on GaN HEMTs reliability within the Thales with CEA-LETI, Grenoble, France, as a graduate student. He is
Microelectronics Group. currently involved in the modeling, design and TCAD analysis of
low-Rsp power MOSFETs in the frame of a SRC Project in
Pickholtz, Jeffrey (3A.1) collaboration with Texas Instruments (Dallas, Texas).
Jeffrey Pickholtz joined Intel in 2003 where he contributed to
the design of multiple Itanium microprocessors. He is currently Puchner, Stefan (XT.6)
an engineering manager working on a next generation Stefan Puchner finished his diploma thesis, concerning thin layer
microprocessor. Prior to joining Intel, Jeffrey worked for Digital surface analysis with time of flight secondary ion mass spectrometry
Equipment, Compaq and HP where he contributed to a variety in May 2007 and received his M.S. degree in physics from the
of VAX and Alpha microprocessor designs Vienna University of Technology, Austria. Currently he is working
toward his doctor degree at KAI in cooperation with Infineon
Pinato, Alessandro (4F.2) Villach and the Institute for Chemical Technologies and Analytics.
Alessandro Pinato was born in Piove di Sacco (Padova), Italy, in
1982. In 2007 he received the degree (summa cum laude) in Rafik, Mustapha (4A.2)
Electronics Engineering at the University of Padova, working on Mustapha Rafik received the engineering degree in physics from the
the development of an Ion Sensitive Field Effect Transistor Instiut Nationale Polytechnique de Grenoble, and the M.S.E.E
(ISFET) based on organic electronics. He is currently working degree from Joseph Fourier University of Grenoble, France, 2005.
toward the Ph.D. degree in Information Engineering at the In joined STMicroelectronics, Crolles, France in 2005 where he
University of Padova. His main interests are the characterization obtained a PhD degree in micro and nanoelectcronics in 2008. He is
and reliability analysis of organic semiconductor devices, in currently a reliability engineer with STMicroelectronics and his
particular OLEDs and organic photovoltaic solar cells. research focus on advanced gate stack reliability.

Pirovano, Agostino (5C.4) Raghavan, Nagarajan (5B.3)


Agostino Pirovano was born in Italy in 1973. He received the Nagarajan Raghavan was born in Bangalore, India in 1985. He
Laurea degree in electrical engineering in 1997, and the Ph.D. received his B.Eng, 1st Class Honors, (Electronics Engineering,
degree at Politecnico di Milano, Italy, in 2000. He joined the 2007), S.M. (Advanced Materials for Micro & Nano Systems, 2008)
Department of Electrical Engineering in 2000, working on the and M.Eng (Materials Science and Engineering, 2008) from
modeling and characterization of transport properties in Nanyang Technological University (NTU), National University of
MOSFET devices. In 2001 and 2002 he was a consultant for Singapore (NUS) and Massachusetts Institute of Technology (MIT)
STMicroelectronics. From 2002 he teaches 'Optoelectronics' at respectively.
the Politecnico di Milano, where he was a lecturer from 1999. In
2003 he joined the Non-Volatile Memory Technology Ragheb, Tamer (5E.5)
Development Group of STMicroelectronics, working on the Tamer Ragheb received the Ph.D. degree in electrical and computer
modeling and characterization of phase-change memory devices. engineering from Rice University, Houston, TX, in 2008. Since
From 2008 he is with Numonyx, R&D Technology 2008, he has been with Texas Instruments Incorporated, Dallas, TX,
Development, being in charge for the investigation of emerging where he currently works with Advanced CMOS Technology-
NVM technologies. Design Integration Group. His research interests include
interconnect modeling, parasitic extraction, substrate noise
Pobegen, Gregor (XT.8) characterization, and the development of circuit designs to evaluate
Gregor Pobegen received his BSc degree in technical physics and verify performance and power metrics for deep submicron
from the Graz University of Technology, Austria, in 2007. He is technologies. He has published more than 30 papers in peer
currently working toward his MSc degree at KAI GmbH in reviewed journal and conference proceedings.
cooperation with Infineon Technologies Austria. His master
thesis focuses on the electrical characterization of NBTI induced Ragnarsson, Lars-Ake (2A.3, XT.13)
oxide defects. Lars-Åke Ragnarsson received a M.S. degree in 1993 and a Ph.D.
degree in 1999 in Electrical Engineering from Chalmers University
Pogany, Dionyz (4D.4) of Technology, Goteborg, Sweden. He did post-doctoral studies at
Dionyz Pogany received his Dipl. - Ing. degree in solid state the IBM T.J. Watson Research Center in Yorktown Heights, NY,
engineering from the Slovak Technical University in Bratislava USA between 2000 and 2002, focusing mainly on electrical
in 1987. In 1994 he received a Ph.D. degree at INSA de Lyon, characterization of high-k dielectrics. He is since 2002 employed by
France. In 1994-95 he was a postdoc at France Telecom, CNET- IMEC in Leuven, Belgium as a senior research scientist on high-k
Grenoble. Since 1995 he is with the Institute of Solid State dielectrics and metal gates.
Rangoni, Armando (MY.5) interests include the modeling and characterization of transport
Armando Rangoni was born in 1971 in Piacenza, Italy. In 1996 properties and phase-change transition of chalcogenide-based
he graduated in Industrial Chemistry and in 1999 he took the devices. From 2007, he joined STMicroelectronics working on
Specialization in Science of Polymers. In 2000 he was hired advanced technologies for non volatile memories and since 2008 he
from STMicrolectronics as R&D Process Engineer and after, is employed in the emerging non volatile memory group of
from 2004, he worked as R&D SPC Engineer. From 2008, under Numonyx. From 2005 to 2009, he cooperated with Politecnico di
Numonyx, he works in the field of NAND memories electrical Milano, in holding master’s classes on electronics and signal
characterization and reliability. conditioning.

Rao, V. Ramgopal (4D.4, EL.2) Reddy, Vijay (5E.5)


V. Ramgopal Rao received the M.Tech. degree from Indian After receiving the Ph.D. (1994) in Electrical Engineering from the
Institute of Technology (IIT) Bombay, Mumbai, India, in 1991 University of Texas at Austin, Vijay Reddy joined Texas
and Dr. Ingenieur degree from the Faculty of Electrical Instruments and has worked on several topics concerning transistor,
Engineering, Universitaet der Bundeswehr Munich, Germany, in circuit reliability and product qualification methodologies. He is
1997. During 1997–1998 and again in 2001, he was a Visiting currently a Distinguished Member Technical Staff and is focusing
Scholar with the Electrical Engineering Department, University on the digital, analog, and RF spaces. He has served on the
of California, Los Angeles. He is currently a Professor in the IRPS/IEDM program committees and has presented papers at
Department of Electrical Engineering, IIT Bombay. His areas of IRPS/IEDM and invited tutorials at IRPS/ICTMS/VLSI Test.
interest include physics, technology, and characterization of Symposium. He received the 2002 IRPS Outstanding Paper Award,
silicon CMOS devices for logic and mixed-signal application 2004 IRPS Outstanding Paper Award, and the 2002 ESD/EOS
and Nanoelectronics. He has over 250 publications in these areas Symposium Best Paper/Best Presentation Awards. He has received
in refereed international journals and conference proceedings eleven patents with several pending along with more than 26
and holds three patents with eight patents currently pending. publications.
Prof. Rao is a Fellow of the Indian National Academy of
Engineering, a Fellow of the Indian Academy of Sciences and a Reed, Robert (4B.2, SE.3)
Fellow of the Institution of Electronics and Telecommunication Robert A. Reed received his M.S. and Ph.D. degrees in Physics from
Engineers (IETE). He received the Shanti Swarup Bhatnagar Clemson University in 1993 and 1994. After completion of his
Prize in Engineering Sciences in 2005 for his work on electron Ph.D. he worked as a post-doctoral fellow at the Naval Research
devices. He also received the Swarnajayanti Fellowship Award Laboratory and later worked for Hughes Space and Communication.
for 2003–2004, instituted by the Department of Science and From 1997 to 2004, Robert was a research physicist at NASA
Technology, Government of India, 2007 IBM Faculty award, Goddard Space Flight Center where he supported NASA space
2008 ‘The Materials Research Society of India (MRSI) flight and research programs. He is currently a Research Associate
Superconductivity & Materials Science Prize’ and the 2009 Professor at Vanderbilt University. His radiation effects research
TechnoMentor award instituted by the Indian Semiconductor activities include topics such as single event effect and displacement
Association. . He is an Editor for the IEEE TRANSACTIONS damage basic mechanisms and on-orbit performance analysis and
ON ELECTRON DEVICES in the CMOS devices and prediction techniques.
technology area and is a Distinguished Lecturer (DL), IEEE
Electron Devices Society. Prof. Rao was the organizing Reents, William (FA.5)
committee Chair for the 17th International Conference on VLSI William Reents is a Consulting Member of Technical Staff and
Design and the 14 th International Workshop on the Physics of director of the Reliability Physics Group in Murray Hill, NJ. He
Semiconductor Devices and serves on the program/organizing joined Bell Laboratories in 1980, working in several areas of
committees of various international conferences including the research and root cause analysis. His areas of specialty include
International Electron Devices Meeting (IEDM), IEEE Asian package hermeticity, organic contamination and gas and particle
Solid-State Circuits Conference, 2006 IEEE Conference on contamination issues.
Nano-Networks, ACM/IEEE International Symposium on Low
Power Electronics and Design, 11 th IEEE VLSI Design & Test Reggiani, Susanna (HV.1)
Symposium among others. He was Chairman, IEEE AP/ED Received the B.S. and Ph.D. degrees in electrical engineering from
Bombay Chapter during 2002-2003 and currently serves on the the University of Bologna, Bologna, Italy, in 1997 and 2001. Since
executive committee of IEEE Bombay Section besides being the April 1997, she has been working with the Department of
vice-chair, IEEE Asia-Pacific Regions/Chapters Subcommittee. Electronics (DEIS), University of Bologna, in the field of numerical
simulation of semiconductor devices. She became a Research
Recchia, Charles (3A.1) Associate in 2001 and is currently with the ARCES Research
Dr Charles Recchia is currently senior product reliability Center. Since 1999, she has been working on the simulation of
engineering manager at Intel Corporation, having previously electron transport in nanoscale devices such as silicon nanowires,
focused on microlithography process development for next- carbon nanotubes and graphene nanoribbons. She is currently
generation silicon technologies with Portland Technology involved in a SRC project in collaboration with Texas Instruments.
Development. Dr Recchia holds a doctorate in condensed
matter physics from Ohio State University, holding 3 patents Regolini, Jorge Luis (3C.2)
and author on over twenty technical publications spanning Jorge Luis Regolini received his M.Sc. from Centro Atomico
fundamental research, technology development and Bariloche (Argentina) and graduated from Strasbourg University
microprocessor reliability. (France) with a Thesis in Solid State Physics. He was then with the
Atomic Energy Commission in Argentina as a researcher on
Redaelli, Andrea (5C.4) Semiconductor Physics and Technology. At the EE Dept. (Stanford
Andrea Redaelli was born in Italy in 1978. He received the University - USA) he worked as a post-doctoral fellow in laser
Laurea (cum laude) and Ph.D. degrees in electronic engineering processing for silicon recrystalisation and silicide formation. From
from the Politecnico di Milano, Italy, in 2003 and 2007 1986 he was with France Telecom CNET-CNS involved on
respectively. During the Ph.D. thesis he worked on Phase RT/RPCVD for the selective deposition of epitaxial Si/SiGeC and
Change Memories in the Department of Electrical and silicides. He has presented several invited papers on those fields,
Electronic Engineering, Politecnico di Milano. His research concerning material fabrication and characterisation, kinetics and
kinematics aspects of reduced pressure single wafer reactors. mechanism. Since 2008, she has been Technical Leader of the Non
From 2000 he was with STMicroelectronics (Crolles-France) as Volatile Memories Reliability.
Senior Staff Engineer on Advanced Dielectrics for new
generations of DRAMs and gate stacks, and circuit passivation Ribes, Guillaume (4A.2)
issues. He is now R&D expert and he has participated to the Guillaume Ribes received the engineer degree in electrical
development of new Image Sensors Technologies. He holds engineering from the ISEN (Institut Supérieur d’Electronique et du
several patents and more than 100 publications in these fields. Numérique, France), in 2002. He obtained in 2005, the Ph.D degree
in microelectronics from INPG (Institut National Polytechnique de
Reifenberg, John (2C.5) Grenoble). He worked as a reliability engineer at
John P. Reifenberg received the B. S. degree in mechanical STMicroelectronics in the area of advanced devices reliability. He
engineering from Carnegie Mellon University in 2003, and the works now for ISDA as ST assignee in the field of High-K and Low
M. S. and Ph. D degrees in mechanical engineering from K reliability. He has published 40 papers and 20 as first author. He
Stanford University in 2006 and 2010, respectively. His serves the IRPS Technical program committee in 2006 and 2007 for
graduate research developed models and measurements of High-K and in the 2010 for BEOL dielectrics & Interconnects.
nanoscale thermal transport phenomena in phase change
memory data storage devices. He is a recipient of the National
Defense Science and Engineering Graduate (NDSEG) Riedlberger, Eva (2F.4)
Fellowship and an Honorary Stanford Graduate Fellowship Eva Riedlberger obtained her Diploma in Physics from the
(SGF). Technical University Munich in 2007, where she worked on the
growth of III-V based heterostructures by Selective Area Epitaxy at
Reisinger, Hans (2A.1, 2A.3, 2F.4) the Walter-Schottky-Institute. She is currently pursuing a Ph.D.
Hans Reisinger received his diploma in physics (1979) and his degree in Electrical Engineering from the Bundeswehr University in
Ph.D. (1982) both from the Technical University of Munich. In Neubiberg, to graduate by Sept. 2010. She is with Infineon
1982/83 he was with the IBM T.J.Watson Research Ctr. in Technologies since 2007 and is working on the modeling of the
Yorktown Heights working on electronic properties of 2d- degradation of Lateral DMOS Transistors due to Hot Carrier
systems. In 1986 he joined the Siemens Semiconductor Injection.
Department (now Infineon). His work was focused on the study
of thin dielectrics and interfaces in DRAMs and NVMs. Robl, Werner (IC.6)
Currently he is with the Infineon Central Reliability Werner Robl received his PhD in Physics from the University of
Methodology group and mainly works on threshold instabilities Regensburg in 1994. After his degree he joined Infineon (former
of MOSFETs. Siemens Semiconductors). Since then he has been working on
development of new metallization schemes in Regensburg and
Relangi, Prasanthi (3A.3) Munich, Germany and East Fishkill, NY. Currently, he is working
Prasanthi Relangi received her B.E. in Electrical and Electronic as a Principal for Metallization on new metalliza¬tion schemes for
Engineering with Honors from Birla Institute of Technology and semiconductor devices and chip packaging. He is a member of the
Science (BITS), Pilani, India in 2005 and her M.S. in Electrical German Physical Society.
Engineering from Stanford University, Stanford CA, in 2007,
where she is currently pursuing her Ph.D. in the Department of Roche, Philippe (4B.4)
Electrical Engineering. Her research interests include erratic bit Philippe Roche received the M.S. (1995) and Ph.D. (1999) in
errors, soft errors and digital circuits. semiconductor physics from the University of Montpellier, France.
From 1995 to 1999, he worked consecutively at the University of
Remack, Keith (6C.4) Eindhoven, the Netherlands, at the French Atomic Energy
Keith Remack (IEEE M’81) was born in Chicago, IL. He Commission, Military applications centre at Bruyères-le-Chatel, at
received the B.Sc. degree in Electrical Engineering from The the University of Montpellier and in the Radiation Effects Group at
Milwaukee School Of Engineering, Milwaukee, WI. He Vanderbilt University, USA. Since 1999, he has been with
currently works in the Medical Business Unit of Texas STMicroelectronics, Central CAD and Design Solutions, Crolles
Instruments, Inc., Dallas, TX. His current research interests are France, as senior expert and manager of a group in charge of both
the development of product and reliability test methods for SER safety/reliability aspects and subthreshold (~0.3V) IP designs.
embedded FRAM memory arrays. Mr. Remack is a registered His primary research activities are Single Event Effects and Total
Professional Engineer in the state of Texas and has authored or Ionizing Dose, as well as Ultra Low Voltage IPs, on sub-0.25µm
coauthored over 20 technical papers. commercial technologies down to CMOS 20nm. He has been
serving in conferences since 1997, as session chairman and short
Ren, Fan (CD.3) course instructor, in 10 international conferences, such as IRPS,
Fan Ren is Charles Stokes Professor of Chemical Engineering at NSREC, IOLTS, RADECS and SOI conference. Philippe has
the University of Florida, Gainesville, FL, USA. He joined UF coauthored 90 papers and has filed 19 patents and 3 trade marks in
in 1997 after 12 years as a Member of Technical Staff at AT&T radiation hardening.
Bell Laboratories, where he was responsible for high speed
compound semiconductor device development. He is a Fellow Rodriguez, John (5F.3, 6C.4)
of ECS. APS and AVS. Dr. Rodriguez is a Senior Member of the Technical Staff in the
Analog Technology Development Reliability group at Texas
Renard, Sophie (2B.2) Instruments, Dallas, where he has focused on FRAM technology
Sophie Renard received the PhD degree in microelectronics since 2001. He earned his BS, MS and PhD ('99) degrees at Rice
from the Université de Provence, Marseille, France in 2003 University, all in Electrical and Computer Engineering. He joined
working on EEPROM memories reliability through the TI's advanced process development lab in Houston in 1993 as a
collaboration between STMicroelectronics, Rousset, France and summer intern, where he contributed TCAD and SPICE modeling
the L2MP laboratory, Marseille, France. Afterwards, she joined for submicron modular merged technology components including
the reliability group of STMicroelectronics Central R&D, high voltage, analog, non-volatile memories and ESD. He has co-
Crolles, France where she has been working on Front-end authored over 25 conference and journal publications and has been
Reliability, and more specifically on Hot-Carriers Injection awarded 11 US patents.
Rodriguez Latorre, Jose (6C.4) Fab36 LLC & Co KG, 300mm Micro-Processor Fabrication. During
Jose A. Rodriguez was born in Moca, Puerto Rico on June 21, 1996 to 2004 he was the lead CVD Engineer in AMD’s 200mm
1983. He received the B.S. degree in Electrical Engineering fabrication line, responsible for PE-CVD, HDP and Tungsten CVD
from the University of Puerto Rico at Mayaguez in 2007. processes. Prior joining AMD in 1996 he was working for System
Currently, he is a Research Assistant at the University of Puerto Microelectronic Innovation GmbH Frankfurt (Oder) as Senior
Rico at Mayaguez and working toward his M.S. degree. Jose Process Engineer for CVD - Processes and Epitaxy. During 1991 to
has worked at Texas Instruments as a summer intern since 2007 1992 Hartmut Ruelke was Head of the Group Doping Processes /
mostly focusing on product engineering and test development. CVD in the Technology Department of Halbleiter Elektronik GmbH
Frankfurt (Oder). From 1979 to 1991 he worked at the
Rosenbaum, Elyse (4D.5, EL.1) Halbleiterwerk Frankfurt (Oder), a Bipolar and CMOS
Elyse Rosenbaum received the B.S. degree (with distinction) Semiconductor Fabrication, as Process Engineer for Diffusion,
from Cornell University in 1984, the M.S. degree from Stanford Epitaxy and Semiconductor Measurement.Hartmut Ruelke received
University in 1985, and the Ph.D. degree from the University of his Diploma degree in Physics in 1979 from Technical University of
California, Berkeley in 1992. All of these degrees were in Magdeburg, Germany and completed postgraduate study of
electrical engineering. From 1984 through 1987, she was a Semiconductor Technology 1982 at Technical University of Karl-
Member of Technical Staff at AT&T Bell Laboratories in Marx-Stadt, Germany.
Holmdel, NJ. She is currently a Professor in the Department of
Electrical and Computer Engineering at the University of Illinois Rumyantsev, Sergey (2B.4)
at Urbana-Champaign. Dr. Rosenbaum’s present research Sergey L. Rumyantsev received the M.S.E.E. degree from
interests include design, testing, modeling and simulation of Leningrad Electrotechnical Institute, Leningrad, USSR, in 1977, the
ESD protection circuits, design of high-speed circuits with ESD Ph.D. degree in physics from Leningrad Polytechnical Institute in
protection, and latch-up. She has presented tutorials on 1986, and the Doctor of Science (Habilitation) degree from A.F.
reliability physics at the International Reliability Physics Ioffe Institute of Physics and Technology, Lenningrad, in 1996.
Symposium, the EOS/ESD Symposium, and the RFIC From 1999 he is with Rensselaer Polytechnic Institute. He is also a
Symposium. She has authored or co-authored over 100 technical Research Fellow of A.F. Ioffe Institute of Physics and Technology.
papers and is an editor for IEEE Transactions on Device and His current research interests include low frequency noise, wide
Materials Reliability. Dr. Rosenbaum has been the recipient of a bandgap semiconductors, terahertz electronics, nanowires and
Best Student Paper Award from the IEDM, a Technical semiconductor nanotubes, graphene. He published a number of
Excellence Award from the SRC, an NSF CAREER award, an papers and he is a coeditor of 5 books.
IBM Faculty Award, and a UIUC Bliss Faculty Scholar Award.
Ryan, Jason (2A.5, XT.18)
Roussel, Philippe J. (2A.3, 6A.3, XT.13) Jason T. Ryan (S’04) received the B.S. degree in Physics from
Philippe J. Roussel was born in Bruges, Belgium, on May 18, Millersville University, Millersville, PA in 2004. He received the
1955. He recei¬ved the diploma in Electrical Engineering from M.S. degree in Engineering Science and the Ph.D in Materials
the Industriële Hogeschool of Ghent, Belgium, in 1983. In 1984, Science and Engineering from The Pennsylvania State University,
he joined the ESAT labo-ratory of the KULeuven, Belgium as University Park, PA in 2006 and 2010 respectively. He is currently
an assistant in a reli¬ability research project on plastic employed in the Semiconductor Electronics Division at the National
en¬capsulation. From 1987, he assisted in a gov¬ernment Institute of Standards and Technology. His current research
funded project on electromigration at imec, Leuven, which also involves the atomic-scale mechanisms involved in bias temperature
resulted in a maxi¬mum likelihood fitting program for the instabilities and stress induced leakage currents in ultra thin gate
assessment of statistical distributions and acceleration models dielectrics.
from reliability test data. From 1991 till 2000, he worked on
analysis techniques like microprobe, TEM and Spectroscopic Ryan, Shawn (FA.5)
Ellipsometry (SE) in the PT/MCA group, while continuing his Dr. Shawn Ryan is the decontamination research area lead in EPA's
research on Reliability Statistics, SPC and Data Analysis in the National Homeland Security Research Center's (NHSRC)
PT/DRE group. At present he also works in a multi¬disciplinary Decontamination and Consequence Management Division. His
team on the statistics required for Technology Aware Design research includes determining methods for assessing
(TAD). He co-authored papers in fields as diverse as scientific decontamination efficacy; investigating technologies as a function of
handheld programming, virology, SE, ESD, oxide and agent and operation; decontaminant-material interactions (demand,
interconnect reliability, and TAD. compatibility, by-products). He received his B.S. in Environmental
Engineering, M.S. in Chemical Engineer, and Ph.D. in Chemical
Roy, David (2B.2) Engineering all from Rensselaer Polytechnic Institute, Troy, NY.
David Roy received the BS (1997) in physics, the M.S.(1998) in
Physics (from the Institut National Polytechnique de Grenoble Ryoichi, Ishihara (3F.3)
(INPG) and the Magistere (1998) of Physics Research from Ryoichi Ishihara received the Ph.D. degree from the Tokyo Institute
University Joseph Fourier de Grenoble. He worked for the CEA- of Technology, Tokyo, Japan, in 1996. Since 1996, he has been with
Grenoble on the 3D-optical micro-system in the “Laboratoire d the Delft Institute of Microsystems and Nanotechnology (DIMES),
Electronique et des Technologies de l’information” (LETI) in Delft University of Technology, Delft, The Netherlands, where he
1999. In 1999, he joined STMicroelectronics as a reliability has been focusing on location control of grains through a novel
engineer, working on oxide and device reliability. Since 2007, excimer-laser crystallization process and fabrication and
he is in charge of the Front-end Reliability team. His current characterization of high-performance TFTs inside a single grain. He
research interests include transistor reliability as well as low k is currently an Associate Professor with the Faculty of Electrical
interconnect reliability. Engineering Mathematics and Computer Science, Delft University
of Technology.
Ruelke, Hartmut (IC.2)
Dipl. Phys. Hartmut Ruelke is a GLOBALFOUNDRIES Fellow Ryuta, Tsuchiya (PI.3)
Process Engineer working for Module One LLC&Co KG Ryuta Tsuchiya received the B.S., M.S., and Ph.D. degrees in
Dresden, Germany. He is leading the CVD and PVD projects in material science from the Tokyo Institute of Technology, Tokyo,
the Thin Films Module at GLOBALFOUNDRIES, former AMD Japan, in 1993, 1995, and 1998, respectively. He joined the Central
Research Laboratory, Hitachi, Ltd., Tokyo, Japan, in 1998, NXP Semiconductors, where he works on wafer level reliability. His
where he has been engaged in research on fabrication and current activities have a focus on RF reliability and reliability
characterization of high-performance and low-power MOSFETs simulation. He received the IRPS 2006 best poster award.
including thin-film SOI and BOX transistors. Dr. Tsuchiya is a
member of the Japan Society of Applied Physics. Sato, Motoyuki (4C.2)
Motoyuki Sato received the B.S. and M.S. degrees in applied
Sagong, Hyun Chul (2C.4) physics of engineering from the University of Tokyo, Tokyo, Japan,
Received the B.S. (2008) in electronics engineering from the in 1996 and 1998, respectively, and the Ph.D. degree in engineering
Pusan National University (PNU), Pusan, Korea. He is currently from University of Tsukuba in 2008. He joined Toshiba
in M.S. and Ph.D. integrative program cource at the Pohang Corporation, Yokohama, Japan in 1998, and has been engaged in the
University of Science and Technology (POSTECH), Pohang, research for semiconductor device fabrication and its physics. He
Korea. His main research interests include CMOS devices and joined the Semiconductor Leading Edge Technologies Inc.(Selete)
RF measurement. since 2006, and moved to Toshiba in 2010. He received the best
paper award in International Workshop on Dielectric Thin Film
Sahhaf, Sahar (XT.9) (IWDTF) 2008. His current interests and activities include the study
Received the M.Sc. degree in electrical engineering from the of the reliability physics in high-k gate dielectrics. Dr. Sato is a
Catholic University of Leuven, Belgium, in 2006. Currently, she member of technical program committee member in IRPS since
is working toward the Ph.D. degree at the KULeuven university 2008.
(Belguim) and IMEC. The main focus of her work is on the
reliability of high-κ gate dielectrics and metal gates. Schlünder, Christian (2A.1)
Dr. Christian Schlünder has received his Dipl.-Ing. (1999) in
Salman, Akram (4D.3) electrical engineering and his doctoral degree in engineering science
He received his B.Sc. from Alexandria University, M.Sc. from (2006) accompanying his regular work (both from the Technical
AAST, Egypt, and Ph.D. from George Mason University, University of Dortmund, Germany). From 1998-1999 he worked in
Fairfax, VA. He held several positions in the semiconductor a cooperative program between Siemens Corporate Research Labs
industry at IBM and AMD. In 2008 he joined Texas Instruments in Munich and the Technical University of Dortmund. 1999 he
as and ESD specialist working on the ESD development for joined Infineon as a member of the Corporate Research Department,
various analog technologies. He is an author/co-author of more where he was active in research on hot carrier stress in analog and
than 38-refereed publications; he holds 5 U.S patents with 4 mixed signal applications. Since 2000 he works in the Corporate
more pending. Dr. Salman was awarded, the distinguished Reliability Methodology Group. There he manages technology
academic achievement award from GMU, the IBM co-operative qualification and quality assurance for various state-of-the-art
fellowship and the best paper award from the 2005 SOI CMOS-Technologies and technology transfers to silicon foundries.
conference. Furthermore he evaluates the device reliability of innovative
technologies. He leads the NBTI-research for Infineon. His current
San, Tamer (5F.3) work is focussed on recovery phenomena. Christian Schlünder has
Tamer San received the B.S. degrees in electrical engineering published some 30 papers in various conference proceedings and
and physics from Bogazici University, Istanbul, Turkey. He microelectronic journals. Additionally, he has presented invited talks
received the M.S and Ph.D. degrees in electrical engineering in and tutorials at many conferences such as ‘IRPS’, ‘ESSDERC’ or
1991 and 1994, respectively, from Yale University. He joined “ZuE”. He is frequently a member of the Technical Program
Texas Instruments in 1994 where he worked on memory Committee of the IEEE-conferences ‘IRPS’, ‘IRW’ and referee of
technology development. He was involved in development of several microelectronic journals. Moreover he is involved in the
nonvolatile memory (Flash) technology at various technology JEDEC NBTI standard development.
nodes and SRAM technology at 90nm node. From 2005 to 2007,
he was at IMEC as an assignee from TI and worked on Schmitt-Landsiedel, Doris (CR.2)
MUGFET (multi-gate) devices. Since 2009, he is leading FRAM Doris Schmitt-Landsiedel received the Dipl. Ing. degree in electrical
development at 180nm node. Since 1996, he has been a member engineering from the Technical University of Karlsruhe, the diploma
of technical committee of non-volatile semiconductor memory in physics from the University of Freiburg and the Dr. rer. nat.
workshop. He is currently serving as the technical program chair degree from the Technical University of Munich. She joined the
of international memory workshop. Corporate Research and Development Department of Siemens AG,
Munich, Germany, in 1981. There she worked on scaling problems
Sandhya, C. (NA.2) in MOS devices and on the design of high speed logic and SRAM
C. Sandhya (S’07) received the M.Tech. degree in circuits. Since 1989 she has been manager of a research section with
microelectronics in 2006 and Ph.D. degree in electrical projects in future generation memory design, analog and digital
engineering in 2010 from the Indian Institute of Technology CMOS and BICMOS circuits and design-based yield analysis. Since
Bombay, Mumbai, India. During her graduation, she was a 1996 she is a professor of electrical engineering and director of the
recipient of the SRC (GRC) fellowship. Since November 2009, Institute for Technical Electronics at the Technical University of
she is working with Samsung Semiconductor R&D Center, Munich. Her research interests are in robust CMOS circuit design
South Korea. Her research interests are in the field of Flash and reliability as well as in circuits with novel devices and
memory optimization through device characterization and nanomagnetic computing.
understanding device physics through modeling, design, and
simulation. Schneider, Jens (4D.4, EL.2)
Jens Schneider received his diploma in physics (Dipl. Phys.) in 1995
Sasse, Guido (CR.3) from the University of Kaiserlautern working on the condensation of
Guido Sasse received the M.Sc and Ph.D degree, both in quasi-particles in field theories. He then moved to Munich where he
electrical engineering, from the University of Twente, The he received his Ph.D. from Ludwig-Maximilians-University in 2001
Netherlands, in 2003 respectively 2008. He performed his Ph.D. in the filed of atom lasers and Bose-Einstein condensation. Since
research at the MESA+ Institute for Nanotechnology, where he then he is working with Infineon Technologies, first in the field of
studied RF CMOS reliability, reliability simulation, and modelling of process effects in photomask lithography. Since 2003
advanced CMOS characterization techniques. In 2008 he joined he is working in the Infineon ESD/LU group where is involved with
process and device simulation of ESD phenomena and with the 1997, and 2000, respectively. In 2000, He joined Process and
development of HV CMOS ESD protection concepts. Manufacturing Engineering Center, Toshiba Corporation,
Semiconductor Company, Yokohama, Japan, where he worked on
Schrimpf, Ron (SE.3) development of advanced gate dielectric film formation process for
Ron Schrimpf received his BEE, MSEE, and Ph.D. degrees from CMOS device. He is currently engaged in development of dielectric
the University of Minnesota in 1981, 1984, and 1986, film for nonvolatile memory device in Advanced Memory
respectively. He is currently a Professor of Electrical Development Center, Semiconductor Company, Toshiba
Engineering at Vanderbilt and he previously was a Professor at Corporation, Yokkaich, Japan
the University of Arizona. Ron's research deals with the effects
of radiation on semiconductor devices and integrated circuits. Shao, Ingrid (4E.5)
Current projects include use of high performance parallel Ingrid Shao is a research staff member at IBM T.J. Watson Research
computing to simulate single-event effects and soft errors in Center. She got her Ph.D. in materials science and engineering
integrated circuits, atomic-scale modeling of radiation-induced department at Johns Hopkins University in 2002. She joined IBM
defects, and design techniques for reliable integrated circuits. Research soon after graduation. She has worked in research related
Ron is the director of the Institute for Space and Defense to hard disk drive, CMOS interconnect, Phase change memory, and
Electronics. currently working on Si photovoltaics. Ingrid has won a graduate
student gold medal from Materials Research Society in 2001.
Schrimpf, Ronald (4B.2, 6E.2)
Ron Schrimpf is the Orrin Henry Ingram Professor of Electrical Sheldon, Douglas (6E.1)
Engineering at Vanderbilt University and the Director of Doug Sheldon is currently the VLSI Reliability Center Lead at the
Vanderbilt’s Institute for Space and Defense Electronics (ISDE). Jet Propulsion Laboratory. Dr. Sheldon has been at JPL for 7 years
He received his B.E.E., M.S.E.E., and Ph.D. degrees from the and involved in a wide variety of electronic part reliability and
University of Minnesota in 1981, 1984, and 1986, respectively, radiation issues. He is also a principal investigator on several
and served as a Professor of Electrical and Computer NASA and JPL R&D projects regarding new FPGA and memory
Engineering at the University of Arizona prior to joining technology applications to spacecraft. Prior to coming JPL Dr.
Vanderbilt in 1996. Ron’s research activities focus on radiation Sheldon had 20 years experience in various engineering and
effects and reliability in microelectronics and semiconductor management positions in the commercial integrated circuit industry
devices. He has served as General Chairman and Technical including Lattice Semiconductor, Ramtron, and Inmos. Dr. Sheldon
Chairman of the IEEE Nuclear and Space Radiation Effects has a bachelor and master’s degrees in physics from the University
Conference and Chairman of the IEEE Radiation Effects of Colorado and the University of Oregon respectively and a
Steering Group. doctorate degree in management from Colorado Technical
University.
Scozzari, Claudia (MY.5)
Claudia Scozzari graduated in physics from the University of Shi, Quan (3A.1)
Trieste Italy (2005). In 2006 she joined the STMicroelectronics Quan Shi received M.S. from Beijing Normal University in Radio
in Agrate Brianza (Italy) working in the Non-Volatile Memory Frequency Electronics in 1986 and Ph.D. degree from University of
Process Development group within the Dielectric Reliability New Mexico in Electrical Engineering in 2000. From 1998 to 2002,
group of Central R&D. She is coauthored 10 papers published in he worked as a design engineer and Research Assistant Professor
journals or presented in international conferences. Recently, the with NASA Institute of Advanced MicroElectronics to design
memory section of STMicroelectronics has been separated Radiation Tolerant special purpose processors for NASA
forming a new company, Numonyx, and she joined the new applications. He joined Intel in 2002. He is currently working in
company. standard cell library team focusing on library reliability and cell
architecture related issues. His research interests include radiation
Seetharaman, Sridhar (HV.1) hardened sequential design, circuit electromigration and standard
Received the B.Tech degree from the Indian Institute of cell layout architecture.
Technology in Madras, India, and MS and PhD degrees in
Electrical engineering from North CarolinaStateUniversity in Shih, J.R. (2F.3)
Raleigh. He joined Texas Instruments Inc. in 1996 where he Jiaw-Ren Shih received his M.S. and Ph.D. from National Tsing-
worked as a process integration engineer in developing 4 Hua University, Taiwan, in 1992 and 2000, respectively. All are
generations of deep submicron CMOS. Since 2007 he has been with Electrical Engineering. Dr. Shih is the Academician in TSMC
working in the areas of power component design and BCD Academy now, and also serves as the manager of Advanced
process integration. He is currently a Distinguished Member of Reliability Development Program and Mainstream Technology
Technical staff at TI and a BCD development manager. Quality and Reliability Department at Technology Quality and
Reliability Division. Prior to joining reliability division in 2000, he
Seifert, Norbert (3A.1) served as the transistor designer at device department of R&D since
Norbert Seifert currently heads all radiation effects efforts in the 1992. Dr. Shih has served on the IRPS Technical Committee in
Manufacturing Technology Group at Intel Corporation. Prior to 2002, 2004 ~ 2009 for Transistor, Process Integration and Dielectric
joining Intel in 2003, he worked in the fields of compact Sections, respectively. He holds 53 U.S. patents and 40 Taiwan
modeling, device reliability and digital design in the Alpha patents, and also published more than 41 technical papers.
Development Group (DEC, CPQ, HP). He received Diplom
Ingenieur and Ph.D. degrees in physics from the Technical Shin, Changhwan (XT.17)
University of Vienna, Vienna, Austria, in 1990 and 1993, Changhwan Shin received the B.S. degree with top honors in
respectively. He also holds an M.S. degree in physics from electrical engineering from Korea University, Seoul, Korea, in 2006.
Vanderbilt University (Nashville, TN, May 1994). Since 2004, he has received a fellowship from the Korea Foundation
for Advanced Studies (KFAS). He is currently working toward the
Sekine, Katsuyuki (4C.2, MY.2) Ph.D. degree in electrical engineering in the Department of
Katsuyuki Sekine was born in Saitama, Japan, in 1970. He Electrical Engineering and Computer Sciences, University of
received the B.S., M.S., and Ph.D. degrees in electronic California, Berkeley, CA. He won the Best Paper Award and the
engineering from Tohoku University, Sendai, Japan in 1995, Best Student Paper Award at the 2009 IEEE International SOI
Conference. His research interests include non-conventional Sierawski, Brian (4B.2, SE.3)
advanced CMOS device designs and their applications to Brian Sierawski is a Staff Engineer at the Institute for Space and
variation-robust SRAM cell. Defense Electronics. He joined ISDE in January 2005 where his
efforts include modeling of semiconductor devices using
Shinosky, Mike (5A.5) Technology Computer Aided Design (TCAD) simulations and
Mike Shinosky received an Associate of Engineering degree in developing tools for the prediction of single event error rates. He
Electronic Engineering from A.T.E.S. Technical Institute of earned a BSE in Computer Engineering and MSE in Computer
Niles, Ohio in 1982. Mike joined IBM in 1982, where he was Science and Engineering from the University of Michigan in 2002
responsible for building and running Soft Error Rate testers for and 2004 respectively and is currently pursuing his Ph.D. in
DRAM Memory products. In 1985 he began T2 Functionality Electrical Engineering at Vanderbilt University.
Qualification testing of DRAM memory cards for large scale
server applications. In 1999 Mike transferred to an ASICS Simms, Richard J.T. (2E.5)
department doing book level Physical Design Mask Layout Received the M.S. degree in physics in 2006 from the University of
work. In 2003 Mike joined Technology Reliability Engineering, Bristol, Bristol, U.K., where he is currently working toward the
where he is currently and primarily responsible for TDDB Ph.D. degree at the CDTR group. His current research interests
testing of BEOL Dielectric technologies. include studying electrical effects in AlGaN/GaN HFET devices
using dc and time-resolved micro-Raman spectroscopy,
Shrivastava, Mayank (4D.4, EL.2) electroluminescence and electrical characterisation techniques.
Mayank Shrivastava was born in Lucknow, India, in 1984. He Simoen, Eddy (2A.3)
received the B.S. degree in engineering from Rajiv Gandhi Eddy Simoen obtained his Masters ('80) and Doctoral Degree ('85)
Technical University, Bhopal, India, in 2006. He is currently in Engineering from the University of Gent (Belgium). His doctoral
working toward the Ph.D. degree with the Center for Excellence thesis was devoted to the study of trap levels in high-purity
in Nanoelectronics, Department of Electrical Engineering, germanium by deep-level transient spectroscopy. In 1986, he joined
Indian Institute of Technology (IIT) Bombay, Mumbai, India In imec to work in the field of low temperature electronics. His current
July 2006, he joined IIT Bombay as a Research Fellow. He was interests cover the field of device physics and defect engineering in
a Visiting Research Scholar with Infineon Technology AG, general, with particular emphasis on the study of low-frequency
Munich, Germany, from April 2008 to October 2008. His noise, low-temperature behavior and of radiation defects in
current research interest includes ESD- and HCD-aware I/O semiconductor components and materials. He is an imec Researcher
device design, ESD-aware technology development, FinFET and currently involved in the study of defect and strain engineering in
UTB-Planar SOI devices, nonvolatile analog memories, and high-mobility and epitaxial substrates and defect studies in
electrothermal modeling and simulation. He has five U.S. germanium. In these fields, he has (co-) authored over 1000 Journal
patents and one Indian patent pending in the fields of ESD, I/O and Conference papers.
devices, FinFETs, and nonvolatile analog memory. Mr.
Shrivastava was a recipient of the Intel’s 2008 Asia Academic Singh, Pawan (NA.2)
Forum (AAF’08) Best Research Paper Award in the circuit Pawan Singh received B. Tech. and M. Tech. combined degrees and
design category. He also served as a Reviewer for the IEEE PhD from Electrical Engineering department of the Indian Institute
TRANSACTIONS ON ELECTRON DEVICES, the 2009 IEEE of Technology (IIT) Bombay, India in 2006 and 2010 (January)
International Electron Devices Meeting (IEDM’09), and the respectively. He was the recipient of Applied Materials fellowship
2010 International Conference on VLSI Design (VLSI’10). during his PhD in IIT Bombay.. He worked as a graduate intern at
Applied Materials, Santa Clara, CA from June 2007 - July 2008.
Shukla, Vrashank (4D.5) Since February 2010 he is with CEA-LETI-MINATEC at Grenoble,
Vrashank Shukla received his B.E. degree from the National France. His research interests include technology development,
Institute of Technology, Karnataka in 2001. He is currently physics, and reliability characterization of non-volatile memories
working towards a PhD degree in Electrical Engineering at and metal/high-k integration.
University of Illinois Urbana Champaign. He worked at Texas
Instruments, Bangalore, India from 2001 to 2007 in the ASIC Smout, Steve (4F.2)
CAD group. He worked on the development of EDA tools for Steve Smout was born in Leuven, Belgium on March 17, 1984. He
reliability analysis of circuits. received the bachelor degree at the Katholieke Hogeschool Leuven
His current research focus is on full-chip and package modeling in Leuven, Belgium in 2007. He joined imec in Leuven in 2007 as a
for electro-static discharge (ESD) simulations, in particular, member of the Large Area Electronics group. His main focus is the
simulation of charged device model of ESD. He works in the development of new transistor of foil technologies, both for organic
ESD group of Co-ordinated Science Laboratory at University of and oxide semiconductor device concepts.
Illinois Urbana Champaign.
Song, Du Heon (5C.1)
Shur, Michael (2B.4) Du Heon Song received the Ph.D. degree in electronics engineering
Michael Shur received MSEE degree (with honors) from LETI, from Seoul National University. He joined Samsung Electronics in
PhD and Dr. Sc. degrees from Ioffe Institute. He is Patricia W. 2000. Since 2009, he has been working on the process integration of
and C. Sheldon Roberts Professor, Acting Director of Center for NAND flash memories. His current interests include sub-30nm
Integrated Electronics and Director of the NSF I/UCRC at RPI. NAND flash memory development and reliability.
He is also co-founder and Vice-President of Sensor Electronic
Technology, Inc. His area of expertise is physics of Song, Jai Hyuk (5C.1)
semiconductor devices. Dr. Shur is Foreign Member of the Jai Hyuk Song was born in Seoul, Korea, on August 3, 1967. He
Lithuanian Academy of Sciences and Fellow of IEEE, APS, received Ph.D. degrees in electronic engineering from Seoul
IET, ECS, MRS, and AAAS. He received IEEE and other National University, Seoul, Korea, in 1996. Since 1996, he has been
awards and holds Honorary Doctorate from St. Petersburg working in device engineering of sub-micron DRAM & sub-60nm
Technical State University. NAND Flash memories at Samsung Electronics Corporation, Korea.
His current interests include reliability issues and operation
optimization and scaling of floating type NAND Flash memories.
Song, Seung-Chul (RM.3) worked on CVD/ALD deposition of various metal and high-k films
Seung-Chul Song received Ph.D in Solid State Electronics from for DRAM capacitor module application. For the past 3 years, she
the Univeristy of Texas at Austin in 2000. Since then, he had has been working on DRAM- and flash-related programs at IMEC,
been in engineering and managemental positions in various Belgium where she is on an international assignment, representing
organizations including Motorola, Samsung and Sematech. Micron Technology.
Since 2007, he has been with Qualcomm, leading projects of
28nm HK/MG technology enablement and advanced transistor Stathis, James H. (2B.1, BD.3, XT.14)
technology development for future technology nodes. Jim Stathis received the bachelor’s in physics from Washington
University in St. Louis (1980), and the Ph.D. in physics from the
Song, Seung-Hyun (2C.4) Massachusetts Institute of Technology (1986), joining the IBM
Received the B.S. (2004) and Ph.D (2010) in electronics Research Division the same year. At IBM the focus of his work has
engineering from the Pohang University of Science and been the electrical properties of point defects in SiO2, including
Technology (POSTECH), Pohang, Korea. His main research is basic studies of defect structure using magnetic resonance and
related to CMOS devices. electrical measurement techniques, and the role of defects in
wearout and breakdown. He is the author or coauthor of more than
Soo Sien, Seah (3C.5) 100 research papers and over 60 invited talks. From November 2005
Seah Soo Sien received his bachelors in Materials Engineering to February 2007 he served as Technical Assistant to the Vice
from Nanyang Technological University, and is a Engineer in President for Science and Technology, IBM Research Division. In
Quality Reliability Assurance Failure Analysis at Global February 2007 he became manager of High-k/Metal-Gate
Foundries of Singapore. Characterization and Reliability, IBM Research. Jim has served on
technical program committees for SISC, INFOS, IRPS, ESREF,
Spessot, Alessio (MY.6) IPFA, MIEL and other conferences, served as Chair of the
Alessio Spessot received the Laurea degree (cum laude) in dielectrics sub-committee for the 2003 IRPS in Dallas, and is on the
Physics from the University of Triest (Italy) and the Ph.D. IRPS management committee. He was the Technical Program Chair
degree in Solid State Physics from the University of Modena for IRPS 2009 and is Vice-General Chair for IRPS 2010. He has
(Italy), in 2003 and 2006, respectively. In 2006 he joined the presented tutorials on CMOS reliability at IRPS, ESREF, MRS, and
Non-Volatile Memory Process Development Group, Central IPFA and is an Associate Editor of the journal Microelectronics
Research and Development department of STMicroelectronics Reliability. He is a Senior Member of IEEE and a Fellow of the
(now Numonyx), Agrate Brianza, Milano, Italy. His research American Physical Society.
activities include characterization and modeling of MOS devices
and advanced non-volatile memories. He published more than Stecher, Matthias (2F.4, 4D.1)
30 papers in device physics and solid state physics. Dr. Alessio Matthias Stecher studied Electrical and Electronic Engineering at
Spessot received the Best Paper Award at the EMRS in 2006. the VaTech (USA) and at the Rheinisch-Westfälische Technische
Hochschule Aachen (Germany) where he received his PhD in 1995.
Spinelli Sottocornola, Alessandro (5C.2, MY.5, MY.6) Between 1998 and 1994 he was involved in the development of
Alessandro S. Spinelli is a Full Professor of electronics with the device and circuit simulation tools. He joined Infineon Technologies
Politecnico di Milano. He has conducted experimental and in 1994 and has been project manager for several Smart Power
theoretical research in electronics instrumentation and Technologies of Infineon. Since 2003 he has been involved in the
microelectronics, co-authoring more than 130 papers published thermo-mechanical optimization of chip-package systems.
in international journals or presented at international Currently, he holds the position of a technical advisor in the fields of
conferences, and serving in the technical committees of the power technology and package development. He holds more than 20
IEDM and IRPS conferences. His current research interests patents and has published more than 70 papers in technical journals
include experimental characterization and modeling of non- and conferences.
volatile memory cells performance and reliability, development
of innovative non-volatile memory technologies and circuit Stellari, Franco (4E.5)
design for biological signal readout. Franco Stellari received M.S. and Ph.D. degrees in electronics
engineering from the Politecnico di Milano, Italy, in 1998 and 2002
Spitzer, Andreas (2F.4) respectively. He subsequently joined IBM Watson Research Center
Andreas Spitzer received his Diploma in Physics in 1979 and the as a PostDoc becoming a Research Staff Member in 2004. His major
Ph.D. in 1982 from the Technical University Aachen, Germany. interests are the development and use of new optical methodologies
From 1982 to 1984, he specialized there on adsorption on single for testing VLSI circuits. He has more than 55 international
crystal surfaces and on GaAs Schottky contacts. In 1984, he publications, 9 granted patents and several more pending. He has
joined Siemens AG Corporate Research and Development in won the IEEE EDS Paul Rappaport Award for the best Trans. on
Munich, where his research activities have focused on the Electron Devices of 2004, and two Best Paper Awards at the ESREF
physics of dielectrics with a special emphasis on multilayer conference in 2002 and 2004.
films. From 1999 to 2006 he was with the Memory Products
Division of Infineon Technologies AG as head of the process Steve, Pearton (CD.3)
and device simulation department. In 2006, he joined the Steve Pearton is Distinguished Professor and Alumni Chair of
Automotive Division of Infineon. He is now responsible for the Materials Science and Engineering at the University of Florida,
device development and simulation of the technology Gainesville, FL, USA. He has a Ph.D in Physics from the University
development in Munich. of Tasmania and was a postdoc at UC Berkeley prior to working at
AT&T Bell Laboratories from 1994-2004 . His interests are in the
Srividya, Vidya (XT.9) electronic and optical properties of semiconductors. He is a Fellow
Earned a B.S. in Chemical Engineering from Anna University in of the IEEE, AVS, ECS, MRS, TMS and APS.
Chennai, India and a Ph.D. in Chemical Engineering in 1997
from Clarkson University in Potsdam, New York. After Su, K.C. (5A.3)
graduating, she worked at CVC as a Process engineer K C Su received the B.S. degree (1986) and M.S. degree (1988) in
developing PVD and CVD metal films. She joined Micron Electrical Engineering from National Cheng Kung university,
Technology, Boise in 2001 as a CVD Process engineer. She has Taiwan, ROC. Then, he joined United Microelectronic Corp.
(UMC) and worked with process technology development M.S. degree in the Department of Microelectronics. His current
division for over 10 years. He is currently focusing on reliability research work is about Hall effect devices and sensors.
technology & methodology development. His main interests are
reliability engineering, device engineering and logic technology Suñé, Jordi (BD.4)
development. Jordi Suñé is Professor of Electronics at the Universitat Autònoma
de Barcelona (UAB) and IEEE Fellow. He has (co)authored more
Sugawa, Shigetoshi (5F.2) than 280 papers in international journals and relevant conferences,
Shigetoshi Sugawa received his M.S. in 1982 in Physics from among which and 5 tutorials on oxide reliability at IRPS. He has
Tokyo Institute of Technology and his Ph.D. in 1996 in served in technical subcommittees of IRPS, IEDM, and INFOS. He
Electrical Engineering from Tohoku University. In 1982-1999 has received the the IBM Faculty award (2008) and the ICREA
he worked in Canon Inc., where he researched high S/N ratio ACADEMIA award (2010). His main interests are oxide reliability
solid-state imaging devices, high performance amorphous physics and statistics, and the modeling of novel nanoelectronic
silicon devices, high-speed low power SOI devices and high- devices. He coordinates NANOCOMP research group at the UAB.
density liquid crystal display devices. In 1999 he moved to
Tohoku University and he is presently a professor at Graduate Sury, Charlotte (2E.3)
School of engineering, Tohoku University. He is currently Charlotte Sury was born in Bordeaux, France, in 1981. She
engaged in researches on CMOS image sensors, high- obtained both her master degree at the University of Bordeaux and
performance ULSI's and advanced displays such as high- her engineer diploma at the “Ecole Nationale Supérieure
performance, high-speed and low-power circuits/devices, and d’Electronique, d'Informatique, et de Radiocommunication de
advanced semiconductor process technologies related to high- Bordeaux" (ENSEIRB)” in 2006. She is currently working as a PhD
quality low-temperature oxidation, nitridation, CVD and etching student in the team “Characterisation and Reliability of microwave
process using microwave-exited high-density plasma. Dr. technologies” in the Nanoelectronic group of the IMS Laboratory of
Sugawa is a member of the IEEE, the Institute of Image Bordeaux. Her thesis was supported by the DGA and takes part in
Information and Television Engineering of Japan. the ANR project CARDYNAL, which is centered on the parasitic
effects and the degradation mechanisms of AlGaN/GaN HEMTs
Sugii, Nobuyuki (XT.2) designed for microwave power applications.
Nobuyuki Sugii received the B.S., M.S., and Ph.D. degrees in
applied chemistry from the University of Tokyo in 1986, 1988, Suzuki, Hiroyoshi (5F.2)
and 1995, respectively. He joined the Central Research Hiroyoshi Suzuki was born in Sendai, Japan on May 16, 1986. He
Laboratory, Hitachi, Ltd. in 1988 where he had engaged in R&D received the B.S. degree in electronic engineering from Tohoku
of oxide superconducting materials and devices until 1996. University, Sendai, Japan in 2010. He is currently working toward
Since 1996, he has been working in CRL, Hitachi on R&D of the M.S. degree in the Graduate School of Engineering, Tohoku
CMOS devices including SOI and strained-silicon MOSFETs. University.
Since 2004, he also serves as a visiting professor for the Tokyo His reserch interest is fabrication technologies of ultra low-noise
Institute of Technology. Dr. Sugii is a member of the Japan MOSFETs which have structures to suppress low-frequency noise
Society of Applied Physics and the IEEE Electron Devices and Random Teregraph Signal (RTS) noise.
Society.
Tahone, Yang (5D.4)
Suh, Kang-Deog (5C.1) Tahone Yang received the B.S. degree in chemistry from Fu Jan
Kang-Deog Suh was born in Gyunggi-Do, Korea, on October 2, Catholic University, Taipei, Taiwan, in 1989, and the M.S. degree in
1956. He received the B.S. degree in electrical engineering chemistry from National Tsing-Hua University, Hsinchu, Taiwan, in
from Seoul National University, Seoul, Korea, and the M.S. and 1991. In 1991, he was with FAB1, Macronix International (MXIC),
Ph.D. degrees from the Korea Advanced Institute of Science and working on the start-up and manufacturing of Etching /Lithography
Technology (KAIST), Daejeon, Korea, in 1979, 1981, and 1991, Department. In 1999, he transferred to Technology Development
respectively. He joined Samsung Electronics Company, Center. Currently, he is leading the division of advanced module
Gyunggi-Do, Korea, where he was engaged in the CMOS logic process development for nonvolatile memory devices. He has
IC design. Since 1991, he has been developing EEPROMs, flash publications and holds patents in the areas of lithography, OPC,
memories, and MaskROMs. From 2009, he was a professor of etching, thin film, diffusion, yield improvement and nonvolatile
information and communication engineering in SungKyunKwan memory processing.
University, Gyunggi-Do, Korea.
Taiki, Uemura (3A.5)
Summerfelt, Scott (5F.3, 6C.4) Taiki Uemura received M. S. degrees in chemical engineering from
Dr. Summerfelt is DMTS in Analog Technology Development Yamagata University, Japan, in 2004. He joined Fujitsu Laboratories
at Texas Instruments. He has two BS degrees in 1984 from in 2004. He is currently a staff of LSI Quality Assurance in Fujitsu
Iowa State University (Metallurgical Engineering, double major Microelectronics. He has worked on developing SER mitigation
Physics and Mathematics) and MS and Ph.D. degree (1990) technologies and SER evaluation methodology on LSI, CPU for
from Cornell University in MS&E. He joined Texas HPC and ASIC. He joined Environmental Variability Tolerant
Instruments in 1990 to work on (Ba,Sr)TiO3 for DRAM and Device Technology Program of MIRAI project in 2008.
later Pb(Zr,Ti)O3 for FRAM. His research interests are
ferroelectric materials for electronics including electrical Takashi, Hashimoto (PI.3)
properties, electrode materials, processing, design rules, cell Takashi Hashimoto received B.E. and M.E. degrees in applied
design, ferroelectric spice models and integration issues. He is chemistry from Tohoku University, Sendai, Japan, in 1983 and
an author of over 34 refereed, 40 proceeding publications, two 1985, respectively. He joined Hitachi Ltd., Tokyo, Japan, in 1985.
book chapters and 130 US patents Since 2003, he has been working as an engineer for Renesas
Technology Corporation (present Renesas Electronics Corporation),
Sun, Kai (TF.1) Ibaraki, Japan, where he is currently engaged in the research and
Kai Sun was born in Taizhou, China, in 1987. He received the development of advanced MCU technology.
B.S. degree in microelectronics in 2009 from Soochow
University, Suzhou, China. He is currently working toward the
Takeuchi, Kan (5F.4) Ťapajna, Milan (2E.5)
Kan Takeuchi is a group manager of Renesas Technology Corp., Received the M.S. and Ph.D. degrees in 2003 and 2007,
after some experiences as a researcher at the Central Research respectively, in electrical engineering form Slovak University of
Laboratory, Hitachi, Ltd. Renesas was established in 2003, Technology, Bratislava (Slovakia). In 2004, he joined Slovak
merging two semiconductor department of Hitachi and Academy of Sciences, Bratislava (Slovakia). Currently he is a post-
Mitsubishi. He is now working on the SoC reliability design doctoral research assistant in the Center for Device Thermography
methodology at Renesas Technology Corp. He joined and Reliability (CDTR) at University of Bristol (UK). His research
Environmental Variability Tolerant Device Technology Program interest includes electrical and optical study of advanced electronic
of MIRAI project in 2008. He was a visiting researcher at the structures and devices, especially III-nitride and Si-based structures
Cavendish Laboratory, University of Cambridge, U. K., from with focus on defect characterization and reliability.
April 1990 to March 1991.
Tatsunori, Kaneoka (PI.3)
Tan, Juan Boon (5B.3) Tatsunori Kaneoka received the B.S. and M.S. degrees Electrical
Juan-Boon Tan received his B.Eng. with Honours in and Electronic Engineering in from Toyohashi University of
Engineering Science (Electrical) from the University of Exeter, Technology in 1985 and 1987, respectively. He joined Mitsubishi
UK, and Ph.D. in Opto-Electronics from the University of Electric Corp. where he was engaged in the research and
Oxford, UK. He is currently the BEOL Integration Deputy development of the gate dielectrics . He is presently with RENESAS
Director of Technology Development of GlobalFoundries, Technology Corp. where he works on the development of the gate
Singapore. dielectric and process integration for the CMOS and embedded
Flash devices.
Tanaka, Katsuhiko (5F.4)
Katsuhiko Tanaka received the B.S. and M.S. degrees in applied Taylor, Bill (2B.4, 4A.4)
mathematics from University of Tokyo, Japan, in 1984 and Bill Taylor earned a PhD in Mechanical Engineering and Materials
1986, respectively. In 1986, he joined NEC Corp., Sagamihara, Science at Duke University in 1992. He then joined Motorola's
Japan, and transferred to NEC Electronics Corp., Sagamihara, Advanced Products R&D Labs, spending 4 years in the process and
Japan, in 2008. He has been engaged in the research of silicon device simulation group, and 11 years on front-end materials
MOS devices and development of three dimensional mesh development. He joined Sematech in 2008, with responsibilities in
generation system. In 2007, he joined Environmental Variability front-end integration, and electrical & physical characterization. He
Tolerant Device Technology Program of MIRAI project. His has authored or co-authored over 60 papers, and holds 12 patents in
research interest is in the analysis of advanced CMOS devices these areas
through 2D/3D process and device simulations.
Tazzoli, Augusto (3F.2, 4F.2)
Tang, Zhao (3F.4) Augusto Tazzoli (IEEE Member) was born in Padova, Italy, in 1978.
Dr. Zhao Tang was born in Xi’an, Shaanxi, P.R. China. He He graduated in Electronics Engineering at the University of Padova
received B.S. degree in Electronics from Peking University in in 2003, and he received the Ph.D. degree in Electronics and
2001, M.S. degree and Ph.D. degree in Electrical Engineering Telecommunications Engineering from the same University in 2006
from the State University of New York, University at Buffalo in working on the reliability of silicon, compound and MEMS devices.
2003 and 2010, respectively. He is a student member of IEEE, He currently holds a post-doc position, working on the develop of
Society of Information Display (SID) and American Association measurement systems in genre, ESD phenomena, HV systems,
for the Advancement of Science (AAAS). His current research compound and MEMS devices. In his career he has coauthored
interests included the thin film transistors based on amorphous about 80 papers, awarded with 3 best paper awards. He serves as
Si, polycrystalline Si, organic and amorphous oxide, thin film reviewer of several International Journals and in Symposia TPCs.
solar cells and reliability issues.
Teo, Zhiqiang (XT.5)
Tanimoto, Hisanori (PI.1, PI.2) Z. Q.Teo received the B.Eng. (Hons.) degree from the School of
Hisanori Tanimto received his Ph. D. in engineering from Osaka Electrical and Electronic Engineering, Nanyang Technological
University, Osaka, Japan in 1990. He gained a position at the University, Singapore, where he is currently working toward the
University of Tsukuba, Ibaraki, Japan in 1990 and is now an Ph.D. degree under a GLOBALFOUNDRIES Singapore-NTU
Associate Professor. His main scientific interest is the properties Graduate Research Scholarship. His research project is on the
of nanostructured materials such as nanocrystalline metals, thin characterization of bias-temperature instability in state-of-the-art P-
metal films and ultrafine metal particles. Dr. Tanimoto is a MOSFETs.
member of The Physical Society of Japan and of The Japan
Institute of Metals. Teramoto, Akinobu (5F.2)
Akinobu Teramoto (M’02) received the B.S. and M.S. degrees in
Tao, Chen (3F.3) electronic engineering from Tohoku University in 1990 and 1992,
Tao Chen received the Bachelor of Science degree in respectively and Ph.D. in 2001 in Electrical Engineering from
microelectronics from Fudan University, Shanghai in 2005. And Tohoku University. In 1992-2002, he worked Mitsubishi Electric
he got Master of Science degree (cum laude) in electrical Corporation, Hyogo, Japan, where he has been engaged in the
engineering from Delft University of Technology, Netherlands, research and development of thin silicon dioxide films. In 2002, he
in April 2007. After completing his master thesis with the moved to Tohoku University and he is presently an associate
Faculty of Electrical Engineering Mathematics and Computer professor at New Industry Creation Hatchery Center, Tohoku
Science, Delft University of Technology, he continued to work University. He is currently engaged in an advanced semiconductor
as a Phd student with Delft Institute of Microsystems and device technologies and process technologies, such as SOI MOS
Nanotechnology, Delft University of Technology. His research transistors, accumulation-mode transistors, variation and noise of
interests include low temperature (<100oC) high performance transistors, high-quality low-temperature oxidation, nitridation, and
TFTs on flexible substrate, laser crystallization of IGZO and CVD process using microwave-exited high-density plasma. Dr.
location and orientation controlled single grain TFT. Teramoto is a member of the IEEE, the Electrochemical Society, the
Japan Institute of Electronics Packaging, Information and
Communication Engineers of Japan and the Japan Society of Central R&D department of STMicroelectronics in Agrate Brianza
Applied Physics. (Italy) and since then he has been working in process development
and electrical characterization of phase-change memories based on
Tessariol, Paolo (MY.5) chalcogenide materials. He has authored papers and patents on
Paolo Tessariol received his PhD in Physics from University of phase-change memories.
Padova, Padova, Italy in 1997. In 1998 he joined the INFM
institute, Meran, Italy. From 1999 to 2008 he has been with ST Toshiaki, Iwamatsu (PI.3)
Microelectronics Non Volatile Memory Group of Central R&D Toshiaki Iwamatsu received the M.S. degree from Kyushu
(Agrate Brianza, Italy) working on NOR and NAND Flash University, in 1989, and the Ph.D. degree from Osaka University, in
memory process development down to 45nm node. Since 2008 1998. In 1989, he joined the ULSI Development Center, Mitsubishi
he is with Numonyx appointed NAND Flash memory Manager, Electric Corporation, Itami, Japan, where he has been engaged in
working in the development of high density NAND memories SOI process and device technology research. Since 2003 he has been
with particular emphasis in Charge Trap technologies. He is with the Advanced Technology Development Div., Renesas
Technical Coordinator and Member of Strategic Board of EC Technology Corp., Itami, Japan. Presently, he is responsible for the
FP7 “GOSSAMER” project. research and development of the advanced MOSFETs. He has also
been a Visiting Professor at Osaka University since 2007. Dr.
Tobimatsu, Hiroshi (PI.2) Iwamatsu is a Member of the Japan Society of Applied Physics.
Hiroshi Tobimatsu received his B.E. and M.E. in industrial
chemistry from Kyushu Institute of Technology, Fukuoka, Japan Toshifumi, Mori (4A.5)
in 1985 and 1987, respectively. Mori Toshifumi joined Fujitsu Limited in 1989. He has been
He joined Mitsubishi Electric Co., Ltd. in 1987, and then joined engaged in development of advanced Si LSI processes, such as CVD
Renesas Technology Corp., engaged mainly in developing (poly-Si and WSix for gate electrode, dielectric films for side-wall
insulation and metal film deposition technology for DRAM and spacer, and stress control) of FEOL. His present activities are the
logic devices. Since 2010 he has been working for Renesas process development of High Performance LSI device technologies,
Electronics Corporation, where he is engaged in the planning of which are including gate dielectric formation and reliability, Si and
value engineering. SiGe epitaxial films.

Toh, Seng Oon (XT.17) Tous, Santi (BD.4)


Seng Oon Toh received the B.S. degree (highest honors) in Santi Tous is a Ph. D. student in the NANOCOMP research group of
computer engineering from the Georgia Institute of Technology, the Universitat Autònoma de Barcelona. He graduated in Physics in
Atlanta, in 2002. He received the M.S. degree in electrical 1996 and in Electronic Engineering in 2008, both at the UAB.
engineering from the University of California at Berkeley in Master in Micro and Nanoelectronics Engineering from the UAB in
2008, where he continues to work towards the Ph.D. degree. His 2008. He’s been working towards Ph.D. on oxide reliability for
research emphasis is on power-performance optimization as well MOS gate applications since 2005. He has published several papers
as robust design of nanoscale SRAM, with emphasis on dynamic on the modelling of progressive breakdown and high-K stack
stability, RTS, and BTI. Mr. Toh was awarded an IBM Ph.D. insulator breakdown.
fellowship in 2010.
Truong, Connie (5A.5)
Tőkei, Zsolt (5A.1, 5A.2, 5B.4, 6A.3) Connie Truong received her B. S. in Chemical Engineering from the
Zsolt Tőkei is program director for the advanced interconnect University of Massachusetts in 1988, her M.S. in Materials Science
program. He joined IMEC in 1999 and since then he is working from Columbia University in 1994, and her M.B.A. degree from
in the field of copper low-k interconnects. He obtained his M.S. Marist College in 2001. She is also a PMP® certified by PMI and
(1994) in physics from the University Kossuth in Debrecen, IBM since 2001. Connie started working for IBM since 1988,
Hungary. In the framework of a co-directed thesis between the where she held various process engineering positions in IBM East
Hungarian University Kossuth and the French University Aix Fishkill Ceramic packaging manufacturing between 1988 and 1999.
Marseille-III, he earned his PhD (1997) in materials science. In 1999, Connie took on a management assignment and
From 1998 he worked at the Max-Planck Institute of Düsseldorf, subsequently managed various manufacturing process engineering
Germany, as a post-doctorate researcher. In 1999, he joined the departments in IBM System & Technology Group. In 2006, Connie
Interuniversity Microelectronics Center (IMEC), Belgium, joined the IBM Semiconductor Research and Development Center
where he has worked on a range of interconnect issues such as as manager of Development Manufacturing Engineering department
metallization, process development, electrical performance, enabling the technology process transfer from Development to
interconnect scaling and dielectric reliability. He has authored or Manufacturing FAB. Since 2007, Connie has been managing the
co-authored more than 100 publications in international Unit Process Development Engineering department of IBM and
scientific journals and in international scientific proceedings. Alliance engineers focussing on developing Surface Prep, Plating,
and CMP processes for advanced CMOS technologies.
Tomohiro, Kubo (4A.5)
Tomohiro Kubo received the B.S. and M.S. degree in physics Tseng, Joshua (XT.13)
from Nagoya University, Nagoya, Japan in 1991 and 1993, Joshua Tseng received the Ph.D. degree in materials science and
respectively. In 1993, he joined Fujitsu Ltd., Kawasaki, Japan, engineering from National Taiwan University, Taipei, Taiwan, in
where he was engaged in research and development of ultra 1998. His study was focused on materials, processes and electrical
shallow junction technology. He is now mainly workings on properties of BaTiO3 based dielectrics with Ni electrode. In 2000,
development of millisecond anneal technology. he joined Taiwan Semiconductor Manufacturing Company (TSMC),
Hsinchu, Taiwan as a process engineer for CVD, PVD and CMP
Tortorelli, Innocenzo (5C.4) processes. From 2002, he moved to TSMC's R&D group for
Innocenzo Tortorelli, since 28-Oct-2007 with Numonyx, Via advanced technologies related to clean, control, low k film and then
C.Olivetti 2, 20041, Agrate Brianza (Milan), Italy. He received HK/MG as a technical manager. In 2007, he began his work as a
the Laurea degree in Electronic Engineering in 2002 from the TSMC assignee to the Interuniversity Microelectronics Center
Politecnico di Torino, Italy, with a thesis on smart antennas and (IMEC), Leuven, Belgium, focusing on process and integration of
relative beamforming algorithms for UMTS. In 2004 he joined high-k and metal gates with Si or high mobility channel.
Tsuchiya, Ryuta (XT.2) is currently working towards the Ph.D. degree at
Ryuta Tsuchiya received the B.S., M.S., and Ph.D. degrees in STMicroelectronics Crolles, France, and the Institute of Materials,
material science from the Tokyo Institute of Technology, Tokyo, Microelectronics and Nanosciences of Provence, Marseille, France.
Japan, in 1993, 1995, and 1998, respectively. He joined the His research interests include modeling of Single Event Effects in
Central Research Laboratory, Hitachi, Ltd., Tokyo, Japan, in commercial ultra-deep sub-micrometer CMOS technologies in
1998, where he has been engaged in research on fabrication and terrestrial and space environments.
characterization of high-performance and low-power MOSFETs
including thin-film SOI and BOX transistors. Dr. Tsuchiya is a Van den bosch, Geert (6C.1)
member of the Japan Society of Applied Physics. Geert Van den bosch received the M. Sc. (1987) and Ph. D. (1993)
in applied sciences from the Katholieke Universiteit Leuven,
Tsukamoto, Yasumasa (XT.17) Belgium. He is with imec in Leuven since 1987. As a Senior
Yasumasa Tsukamoto received his Ph. D. degree in Applied Researcher, he has made contributions to the fields of hot-carrier
Physics from Osaka University, Japan. After his graduation in degradation, plasma and process induced damage in ultrathin oxides,
2001, he entered Mitsubishi Electric Corporation and was back end - front end of line interaction, and smart power devices.
transferred to Renesas Technology Corp in 2003. He has been Since 2007 his activity moved to charge trap Flash memory. He has
engaged in the research and development of the embedded (co-)authored more than 80 international journal publications and
SRAM design using advanced CMOS processes. Since 2008, he conference contributions, and served as program committee member
has been a visiting industrial fellow at the University of of P2ID, ICICDT, and IRPS.
California at Berkeley, where he is conducting research on the
variability and reliability issues on the advanced SRAM design van Dijk, Kitty (2D.2)
as well as the new device application to the SRAM memory Kitty van Dijk received her MSc (1992) and PhD (1997) degree in
cells. Solid State Physics from the Technical University of Delft, and from
the University of Nijmegen, respectively, both in The Netherlands.
Tsunehisa, Sakoda (4A.5) In 1998 she joined the Research and Development group of ASMI at
Tsunehisa Sakoda received the B.S. (1999) and M.S. (2001) IMEC in Leuven, Belgium, working on gate-oxide quality. In 2000
degrees in physical electronics from Tokyo Institute of she moved to NXP (former Philips) Semiconductors in Nijmegen,
Technology, Tokyo, Japan. He joined Fujitsu Laboratories Ltd. where she started in non-volatile memory reliability, eventually
in 2001 and has been engaged in the research and development broadening to process and product reliability in general. She now
of semiconductor technologies. His present activities are the works on the interaction between process and product reliability,
process development of High Performance LSI device with a focus to Automotive and extreme mission profile
technologies, which are including reliability of gate dielectric in qualifications.
Fujitsu Microelectronics Ltd.He is a member of the Japan
Society of Applied Physics. Van Houdt, Jan (6C.2)
Jan Van Houdt received a Ph.D. from the Katholieke Universiteit
Tuyoshi, Arigane (PI.3) Leuven in 1994. During his PhD work, he invented the HIMOS™
Tsuyoshi Arigane was born in Ibaraki, Japan, on July 30, 1971. Flash memory, which he transferred to several industrial production
He received the B.E, M.E., and D.E. degrees in 1995, 1997, and lines. In 1999 he became responsible for Flash memory at IMEC
2000, respectively, from the University of Tohoku, Miyagi, and as such was the driving force behind the expansion of IMEC's
Japan. In 2000, he joined Hitachi Ltd., Tokyo, Japan, where he memory program. Today he is IMEC's Memory Device Design
has been engaged in the research and development of non- Group Manager and Flash Memory Program Manager. He has
volatile memory and high-k MOSFETs. published more than 160 papers in international journals (incl. 2
book chapters) and accumulated more than 140 conference
Udayakumar, K.R. (6C.4) contributions (incl. about 30 invited papers and panel contributions).
K. R. Udayakumar earned his Ph.D. in Solid State Science from He has filed about 50 patent applications and served on the program
Pennsylvania State University. He joined TI in 1995 where he committees of 10 major conferences.
was involved in the development of monolithic un-cooled
infrared detectors for TI’s Defense Systems & Electronics Van Hove, Marleen (2E.4)
Group. From 2000, he has been working on process integration Marleen Van Hove obtained her degree in Physics from the Catholic
of embedded ferroelectric memories. Udayakumar has University of Louvain in 1980. She continued research at the
published extensively in the area of energetics of point defects in Institute of Nuclear and Radiation Physics group at the Physics
alkaline earth titanates through atomistic simulation, Department of the same university and obtained her PhD in 1985.
thermodynamic phenomenology of perovskites, piezoelectrics After this, she joined imec, Leuven, Belgium. She specialised in
for MEMS, pyroelectric detectors, and FRAMs. His other GaAs and InP III-V processing in the period between 1986 and
interests are in comparative literature and political science. 1997. Afterwards she was responsible for CMOS back-end
integration in imec. At the end 2006 she returned to compound
Uemura, Taiki (5F.4) semiconductor research, being responsible for the development of
Taiki Uemura received M. S. degrees in chemical engineering high-power GaN switching devices.
from Yamagata University, Japan, in 2004. He joined Fujitsu
Laboratories in 2004. He is currently a staff of LSI Quality Vandelli, Luca (6C.1)
Assurance in Fujitsu Microelectronics. He has worked on Vandelli Luca was born in Reggio Emilia, Italy, in 1985. He
developing SER mitigation technologies and SER evaluation received the Master degree in electronic engineering from the
methodology on LSI, CPU for HPC and ASIC. He joined University of Modena and Reggio Emilia Italy, in 2009. Since 2010,
Environmental Variability Tolerant Device Technology Program he has been pursuing the Ph.D. degree at the Department of
of MIRAI project in 2008. Engineering Sciences and Methods of the University of Modena and
Reggio Emilia. His work focuses on modeling and characterization
Uznanski, Slawosz (4B.4) of thin gate oxides in nonvolatile memory devices and MOS
Slawosz Uznanski received the Engineer Degree and the M.Sc. transistors.
degree in microelectronics from Technical University of Lodz,
Poland, and from University of Nantes, France, both in 2008. He
Vandevelde, Bart (6A.3) Entertainment’s development department for Car DSPs, where his
Bart Vandevelde received his Masters degree in mechanical focus is on system infrastructure for next generation software
engineering from the Catholic University of Leuven (Belgium) defined analogue and digital radios. He has a special interest in
in June 1994. In March 2002, he received a PhD degree at imec assessing and addressing the perceived impact of SER for (end-
in the field of thermo-mechanical modeling for electronic )users.
systems. Currently, he is team leader for the packaging
reliability activities at imec and internal project coordinator for Verzellesi, Giovanni (4F.4)
several Flemish and European projects. He is co-founder and Giovanni Verzellesi, “Laurea” degree from the Univ. of Bologna,
member of the organisation committee for the Eurosime Italy, in 1989, PhD in Electrical Engineering from the Univ. of
conference. Dr. Vandevelde is author and co-author of about Padova, Italy, in 1994, Full Professor of Electronics with the Univ.
100 publications in international conferences and journals. of Modena and Reggio Emilia, Italy, since 2006. His research
activity has dealt with: (i) impact-ionization effects in silicon BJTs;
Vayshenker, Alex (4A.3) (ii) silicon optical, chemical, and ionizing radiation sensors; (iii)
Alex Vayshenker received masters degree in opto-electronic compound-semiconductor FETs. He has coauthored more than 130
engineering from Moscow Institute of Geodesy (1972 - 1978). papers in international journals and conference proceedings. He is,
He worked as an engineer in Moscow State Optical Enterprise or has been, member of the technical program committee of IEDM,
qualifying hybrid opto-electronic components (1978 - 1981). He IRPS, ESREF. He is member of the steering committee of
joined IBM Technology group in 1984 and held several HETECH. He is Senior Member of the IEEE.
engineering positions in the fields of Quality and Reliability of
semiconductor components/technology. Most recently he Vincent, Huard (2A.4, 2B.2, 5E.3)
worked on reliability of ultra-thin gate dielectrics. He co- Vincent Huard received the B.S. (1996) in physics and the M.S.
authored several papers on this subject. (1997) in electrical engineering from the Institut National
Polytechnique de Grenoble (INPG). He worked for the CEA-
Veksler, Dmitry (2B.4, 4F.4) Grenoble on the MBE growth of II-VI based doped heterostructures
Dmitry Veksler received his B.S. in 1996 and M.S. in 1998 from and their magneto-optical and electrical characterizations. He
Nizhniy Novgorod State University and Ph.D. in Physics in received his Ph.D. (2000) in physics from the university of
2007 from Rensselaer Polytechnic Institute, Troy, NY. From Grenoble. In 2000 and 2001, he was a Visiting Scholar at the
1998 till 2003 he was with Institute for Physics of University of California, where he worked on devices made of
Microstructures, Nizhniy Novgorod (Russian Academy of ferromagnetic materials on top of semiconductors. In 2002, he
Sciences) investigating optical and transport phenomena in low- joined Philips Semiconductors as a reliability engineer, working on
dimensional semiconductor hetero structures. During 2003-2008 oxide and device reliability. Since 2007, he is at STMicroelectronics
he was with Rensselaer Polytechnic Institute working on plasma as Design-in Reliability project leader, working on device and
wave electronics for THz spectroscopy and imaging circuit reliability modeling and product qualification tests. His
applications. In 2009 he joined SEMATECH Inc., Albany, NY. current research interests include NBTI and hot carrier degradation
His current research focus is on characterization/reliability of both at wafer and product levels as well as Design for reliability. He
high-k and alternative substrate MOSFETs. authored and co-authored more than 70 regular papers, several
invited papers and is IRPS 2009 Circuit Reliability Chairman.
Velamala, Jyothi Bhaskarr (5E.2)
Jyothi Bhaskarr Velamala received his Bachelor of Technology Visalli, Domenica (2E.4)
degree in Electronics and Communications Engineering from Domenica Visalli obtained her degree in Electronic Engineering
Indian Institute of Technology (IIT), Guwahati, India in 2008. from the University of Messina in Italy in 2006. She joined imec in
He is currently working towards his Masters degree in Arizona 2005 where she worked on the development of a new methodology
State University. His research interests include reliability effects for the characterization of advanced Cu/low k interconnects.
in scaled CMOS technology and circuits; design and test Afterwards, in 2007, she started the PhD program at the Catholic
solutions for resilience. University of Leuven and she joined the group of GaN in imec. She
is currently working on the simulation and characterization of GaN-
Ventrice, Domenico (6C.2) based devices for power switching applications.
Domenico Ventrice was born in Italy in 1979. He received the
Laurea degree in electrical engineering from the Politecnico di Visconti, Angelo (4B.3, 5C.2)
Milano, Italy, in 2006. He is currently working as a process Angelo Visconti (M’07) received the Laurea degree in physics (cum
development engineer at Numonyx R&D. His research interests laude) from the University of Milano, in 1997. The same year he
include the modeling of electrical and phase-change properties joined the Non-Volatile Memory Process Development Group,
in PCM devices. R&D department of STMicroelectronics (now Numonyx), Agrate
Brianza, Italy. Since that, he has been involved in the developments
Vereecke, Bart (5B.4) of ten-generations of Flash Memory Process. His current research
Bart Vereecke received a Ph. D. degree in nuclear particle interests include reliability, radiation effects, and multilevel
physics in 2001 at the Catholic University of Leuven, Belgium. applications of Flash cells. He is co-author of more than 100
In 2002, he started working in a development aid project as scientific publications and 14 patents. He is a Lecturer on NVM
computer advisor for the Zambian ministry of education. In Reliability and Radiation Effects on Flash Memory with the
2007, he joined IMEC, Leuven, where he works on the University of Padova and Politecnico di Milano. Since 2005, he has
development of Cu/low-k integration projects. been the ST-rapresentative, and chair from 2009, within the JEDEC
14.3 task-group on NVM reliability. Finally, he was member of the
Vermunt, Frank (SE.1) IEDM-CIR committee, IRPS-PIR committee, IRPS-Memory
Frank Vermunt received his B.Sc. degree in computer committee and co-chair in 2010. Mr. Visconti won the IRPS 2008
technology in 1987 at the ‘Hogere Technische School’ in Venlo. “Outstanding Paper Award” and the JEDEC 2010 Team Award.
In 1989 he joined AT&T in Hilversum, and in 1996 Philips in
Eindhoven, both in The Netherlands. Since November 1999 he Volf, Paul (2D.2)
is with NXP Semiconductors, which is a former division of Paul A. J. Volf (M’93) received his MSc degree in 1994, and his
Philips. Frank is currently working as a system architect at Car PhD degree in 2002 for his research work on data compression, both
in electrical engineering from the Eindhoven University of Award by Taiwan’s Ministry of Education. He has served as
Technology, The Netherlands. In 2000 he joined Philips technical committee member of many international conferences,
Semiconductors, now NXP Semiconductors, where he started as among them International Electron Devices Meeting, International
DfM-engineer, followed by product engineering positions in Reliability Physics Symposium, and VLSI Technology, Systems,
operations and in the automotive business line. Currently he and Applications.
holds a product engineering position in the central department
for process development and foundry interfacing. His activities Wang, Zhongrui (MY.4)
cover the process and product qualifications and product Wang Zhongrui received his B.Eng in Electrical and Electronics
introductions in internal specialty processes and advanced Engineering (EEE) from Nanyang Technological University (NTU)
CMOS foundry processes. Singapore in 2009. He is currently pursuing his PhD degree in EEE,
NTU working on metal oxide based resistive random access
Wang, Li (6C.4) memory device simulation and characterization.
Li Wang received her Ph. D. in physics from the Colorado
School of Mines. She joined Texas Instruments in 1997 and is Warren, Kevin (4B.2, SE.3)
currently working as a product engineer in the Analog Kevin Warren received the B.S. degree in Chemistry from
Technology Development group. Her interests are in the area of Tennessee Technological University in 1994 and his M.S. in
FeRAM functional and reliability testing and product yield Chemistry at Vanderbilt University in 1997. He received his M.S. in
improvement. Electrical Engineering from Vanderbilt University in 1999. From
1998-2000 he worked for Raytheon ITSS as a contractor at the
Wang, Miaomiao (XT.14) Marshall Space Flight Center where he supported the component
Miaomiao Wang Received her B.S. in Electrical Enginnering group as a radiation effects engineer for the International Space
from Peking University, China in 2003, and M.S. and Ph.D. Station and the Space Shuttle Programs. From 2000-2003 he worked
degrees in the same discipline from Yale University in 2005 and at the Johns Hopkins University Applied Physics Laboratories as a
2008 respectively. She is Currently a Research Staff Member at specialist in the testing and qualification of electronic parts. In 2003,
IBM Research @Albany Nanotech, Albany, NY. She is working he joined the Institute for Space and Defense Electronics where he
on Electrical Characterization and Reliability for a variety of has focused on modeling the soft error response of microelectronics
high-k metal gate MOS devices including SOI, FINFET, for terrestrial and space applications.
ETSOI and etc.
Watabe, Shunichi (5F.2)
Wang, Mingxiang (TF.1) Shunichi Watabe was born in Japan, on September 9, 1982. He
Mingxiang Wang (M’07) received the B.S. degree in Physics received the M.S. degree and Ph. D. degree in the Graduate School
and Ph.D. degree in Condensed Matter Physics from Nanjing of Engineering, Tohoku University, Sendai, Japan in 2007 and 2010,
University, Nanjing, China, in 1993 and 1998, respectively. respectively.
From 1998 to 2001, he was a Postdoctoral Research Associate His research interests are the variability of characteristics in
with the Department of Electrical and Electronic Engineering, MOSFETs and the development of device and fabrication processes
the Hong Kong University of Science and Technology, Hong to suppress the variability.
Kong. Afterwards, he joined Semiconductor Manufacturing
International Corporation (Shanghai), as a member of technical Wei, Jun (5B.3)
staff in process reliability engineering. Currently he is with the Jun Wei received the Ph.D. degree from Tsinghua University in
Department of Microelectronics, Soochow University, Suzhou, Materials Science and Engineering. He is currently a Group
China. His research interests include thin-film materials and Manager and Senior Scientist at Singapore Institute of
devices, semiconductor device physics and device reliability. Manufacturing Technology. Currently, he is working on
microsystems and nanosystems fabrication and packaging, low
Wang, Szu-Yu (5D.2, MY.1) temperature wafer/substrate bonding, advanced interconnection
Szu-Yu Wang was born in Kauhsiung, Taiwan in 1974. He materials, packaging for electronics and optoelectronics,
received his B.S and M.S degrees in material science and nanofabrication, nanocomposites as well as advanced
engineering from National Tsing-Hua University (NTHU) in characterization techniques. He is serving several international
1996 and 1998, respectively. Now he is pursuing his Ph.D conference committees. He has published more than 250 technical
degree in electronic engineering in National Tsing-Hua papers in the areas of micro/nano-interconnection, electronics,
University (NTHU). In 2000, he joined Technology nanotechnology, microsystems and nanosystems and solid oxide
Development Center in Macronix International. Co. Ltd., where fuel oxide, and currently holds 30 patents and technology
his current work is focused on dielectric characterizations in disclosures.
nitride trapping Flash Memories.
Weller, Robert (4B.2, SE.3)
Wang, Tahui (MY.3) Robert A. Weller received his Ph.D. in physics from Caltech in
Tahui Wang (S'84-M'85-SM'94) was born in Taoyuan, Taiwan, 1978, and is now a Professor of Electrical Engineering at Vanderbilt
R.O.C., on May 3, 1958. He received the B.S.E.E. degree from University. His research interests include the computational analysis
National Taiwan University, Taipei, Taiwan, in 1980, and the of radiation effects in electronics, device physics, radiation effects in
Ph.D. degree in electrical engineering from the University of materials, and applications of the techniques of nuclear physics.
Illinois at Urbana–Champaign, Urbana, in 1985. From 1985 to
1987, he was with Hewlett-Packard Laboratories, Palo Alto, CA, Wen, Shi-Jie (SE.3, SE.5)
where he was engaged in the development of GaAs HEMT Shi-Jie Wen received his Ph.D in Material Engineering from
devices and circuits. Since 1987, he has been with the University of Bordeaux I in 1993. He joined Cisco Systems Inc.,
Department of Electronics Engineering, National Chiao-Tung San Jose, CA in 2004, where he has been engaged in IC component
University, Hsinchu, Taiwan, where he is currently a Professor. technology reliability assurance. His main interest is in silicon
His research interests include hot-carrier phenomena technology reliability, such as SEU, WLR, and complex failure
characterization and reliability physics in very large scale analysis, etc. He is a member of DFR, SEU core teams in Cisco.
integration (VLSI) devices, RF CMOS devices, and nonvolatile Before Cisco, he worked in Cypress Semiconductor where he was
semiconductor devices. Dr. Wang was given the Best Teacher
involved in the area of product reliability qualification with respectively. Between 2007 and 2008 he spent 16 months as Marie
technology in 0.35u, 0.25u, 0.18u, 0.13u and 90nm. Curie APROTHIN Fellow and Visiting Scholar in the Advanced
Interconnect Program at the Interuniversitair Micro-Elektronica
Wen, Yong-Ru (EL.6) Centrum (IMEC). He was an Institution of Electrical Engineers
Yong-Ru Wen received the B.S. degree from the Department of Robinson Research Scholar and held an Institution of Electrical and
Mechenical Engineering, National Chiao-Tung University, Electronic Engineers Reliability Society Scholarship. Dr. Wilson is
Hsinchu, Taiwan, R.O.C., in 2007, and the M.S. degree from the now the International Mobility Post-Doctoral Fellow in IMEC's
Institute of Electronics, National Chiao-Tung University, Interconnect Process Technology unit, where he is working on
Hsinchu, Taiwan, R.O.C., in 2009. He is currently with military advanced metallization integration and reliability.
service in the Chinese Army.
Wise, Rick (HV.1)
Wen Hu, Liu (4A.1, BD.2) Received the B.S. degree in chemical engineering from the
LIU WENHU was born in Shandong, China in 1986. He University of Arkansas, Fayetteville and the M.S. and Ph.D. degrees
received his B.Eng, 1st Class Honors (Electrical and Electronics in engineering and applied science from Southern Methodist
Engineering) from Nanyang Technological University (NTU) in University, Dallas, Texas. He joined Texas Instruments (TI)
2008. He is currently pursuing his Ph.D. at the Division of Incorporated, Dallas, as a process engineer in 1983. He has worked
Microelectronics, School of Electrical and Electronic on the development of semiconductor device fabrication front-end
Engineering in NTU. His project is focusing on electrical processes utilizing his expertise in CVD and silicon materials. He
characterization and reliability of novel high-κ gate dielectrics in currently works on external research & consortia projects for the
nano-scale MOSFETs. He is currently a Graduate Student Analog Technology Development group. He was elected Texas
Member of IEEE (2008-present). Instruments Fellow in 1998.

Werner, Christoph (CR.2) Witulski, Arthur (3A.2)


Christoph Werner received the Ph.D. degree from Technical Arthur F. Witulski received the Ph.D. degree in electrical
Unversity Munich in 1980. From 1980 until 2007 he was with engineering from the University of Colorado, Boulder in 1988. He
Siemens/Infineon working in research and development of has worked in analog electronics, power electronics, and
advanced CMOS devices and processes and circuits. Since 2007 microelectronics in industry and academia. He has held positions as
he has been with Technical University Munich as a senior design engineer at Storage Technology in Colorado, Associate
scientific consultant. He has published more than 50 scientific Professor at the University of Arizona, and currently as Senior
papers and has filed 20 patent applications. His current research Research Engineer at the Institute for Space and Defense Electronics
include Low Power digital CMOS systems, CMOS long term at Vanderbilt University, where he also holds an appointment as
degradation effects as well as future device concepts beyond Research Associate Professor. His technical interests include single
CMOS. event radiation effects in sub-100 nm CMOS technologies, as well
as power devices and power electronics
Wie, Chu-Ryang (3F.4)
Chu Ryang Wie received Ph.D. degree in Applied Physics from Wong, H.-S. Philip (2C.5)
Caltech in 1985. Ever since, he was Professor of Electrical H.-S. Philip Wong joined Stanford University as Professor of
Engineering at State University of New York at Buffalo. His Electrical Engineering in September, 2004. From 1988 to 2004, he
research was on developing analysis methods of III-V was with the IBM T.J. Watson Research Center. He held various
heterostructures such as strained buried quantum wells and positions from Research Staff Member to Manager, and Senior
barriers and lattice-mismatched structures and the strain Manager. While he was Senior Manager, he had the responsibility of
relaxation, by high resolution x-ray diffraction techniques; and shaping and executing IBM’s strategy on nanoscale science and
on the effects of lattice strain and relaxation on the device technology as well as exploratory silicon devices and semiconductor
characteristics such as strained resonant tunneling diodes, laser technology. His present research covers a broad range of topics
diodes and p-n junctions. Recently, he is interested in thin film including carbon nanotubes, semiconductor nanowires, self-
device and reliability physics He also developed “The assembly, exploratory logic devices, nanoelectromechanical relays,
Semiconductor Applet Service” website during 1996-2000 device modeling, and novel memory devices such as phase change
period for semiconductor education. memory and metal oxide resistance change memory. He is a Fellow
of the IEEE and served on the Electron Devices Society AdCom as
Wilde, Markus (4C.1) elected member (2001 – 2006). He served as the Editor-in-Chief of
Obtained master and doctoral degrees in Physical Chemistry the IEEE Transactions on Nanotechnology in 2005 – 2006, sub-
from the University of Bochum, Germany, and is currently committee Chair of the ISSCC (2003 – 2004), General Chair of the
Associate Professor at the Institute of Industrial Science, IEDM (2007), and is currently a member of the Executive
University of Tokyo (Japan). He investigates the reactive Committee of the Symposia of VLSI Technology and Circuits (2007
behavior of hydrogen (H) on surfaces, in shallow surface-bulk – 2009). He received the B.Sc. (Hons.), M.S., and Ph.D. from the
transition regions and at thin film interfaces, combining surface University of Hong Kong, Stony Brook University, and Lehigh
science techniques with quantitative high-resolution H depth University, respectively.
profiling by nuclear reaction analysis (NRA). Current research
focuses on H-absorption and surface/subsurface H exchange at Wong, Richard (SE.3, SE.5)
transition metal single crystals and nanoparticles. Other interests Richard Wong received his M.S. degree in electrical engineering
include H impurities at MOS electrode/dielectric interfaces, from Santa Clara University in 1988 and his B.S. degree in chemical
surface hydroxylation and hydrophilicity of oxides, and engineering from UC Berkeley in 1982. He joined Cisco Systems
semiconductor H-passivation. Inc., San Jose, CA in 2006. He is engaged in IC component
technology reliability assurance in issues such as SEU, ESD, WLR,
Wilson, Christopher J. (5B.4, 6A.3) failure analysis and reliability modeling. Prior to Cisco, he had
Christopher J. Wilson (S’07) received the M.Eng. degree (first worked on ASICs, FPGAs, TCAMs and memories.
class honors) in Electronics and Ph.D. degree in interconnect
reliability and mechanical stress in thin films from Newcastle
University, Newcastle upon Tyne, U.K. in 2006 and 2009
Woo, Seung-Han (RM.3) Wu, Xing (4A.1, BD.2)
Seung-Han Woo was born in Seoul, Korea, in 1983. He received Xing Wu was born in Xi’an, China in 1984. She received the B.E.
the B. S. degree in the electrical and electronic engineering from degree in Electronic Science and Technology in 2008 from Xi’an
Yonsei University, Seoul, Korea, in 2009. He is studying for M. Jiaotong University, People’s Republic of China. She was an
S degree in Yonsei University. His current research interests is international exchange student at Osaka University from 2006 to
process variation tolerant circuit design. 2007. She is currently pursuing her Ph.D. degree at the Division of
Microelectronics, School of Electrical and Electronic Engineering,
Wouters, Yves (IC.8) Nanyang Technological University (NTU), Singapore. Her current
Yves Wouters is Professor of chemistry at the University of research interests include reliability study of novel high-κ dielectric
Grenoble (France). After a PhD from the Grenoble Institute of materials in nanodevices using atomistic simulations and
Technology, he joined the technical centre of Juelich (Germany) transmission electron microscopy (TEM) analysis. She is currently a
in 1996 in the team of Dr W.J. Quaddakers. In 2000 Yves graduate student member of the IEEE (2008-present).
Wouters joined the SIMaP (the Materials and Processes Science
and Engineering Laboratory). His main research topic concerns Xiang, Li (4A.1, BD.2)
the preparation, forming, assembly and properties of materials Xiang Li received his B.Eng in Electrical and Electronics
for structural and functional applications and in particular the Engineering (EEE) from Nanyang Technological University (NTU),
durability of metallic materials (energy, microelectronics, etc.). Singapore in 2005. He is currently pursuing his PhD degree in EEE,
NTU working on failure analysis of advanced gate stacks. His
Wrachien, Nicola (3F.2, 4F.5) research interests include front-end device reliability and nano-scale
Nicola Wrachien was born in Treviso, Italy, in 1982. He characterization using transmission electron microscopy (TEM) and
received the degree (magna cum laude) in electronic engineering electron energy loss spectroscopy (EELS). Since 2006, he has been
in 2006 from the University of Padova, Italy, and the PhD in a student member of IEEE.
Information Engineering in 2010, working on advanced non-
volatile memories. He currently holds a post-doc position Xu, Chen (FA.5)
working on organic semiconductor devices and on III-V Dr. Chen Xu is a reliability engineer with Alcatel-Lucent Reliability
MOSFETs. Enginerring Department. He has extensive experience in the fields
of semiconductor and electronic packaging, electronic
Wu, Ernest (BD.4) manufacturing, surface finishes and coatings, failure mode analysis
Ernest Y. Wu is a senior technical staff member in Technology and reliability assessment. Chen Xu received his BS Degree in
Reliability Department at Semiconductor Research and Chemistry from Tongji University in Shanghai, China and his Ph.D.
Development Center (SRDC) in IBM Microelectronics Division in Physical Chemistry from Ruhr-University Bochum, Germany.
in IBM System and Technology Group. He received M.S. and Prior to joining Alcatel-Lucent, he was a Senior Scientist with
Ph.D. degrees in physics from University of Kansas in 1986 and Enthone, Cookson Electroncis. Dr. Xu’s career also includes the
1989, respectively. Dr. Wu joined IBM Microelectronics position of project leader/MTS with Lucent Technologies and R&D
Division in 1994 at Essex Junction, Vermont after transferring positions at Hoechst Research and Technology Center.
from IBM Rochester, Minnesota.
Yamamoto, Hirohiko (PI.1, PI.2)
Wu, Kenneth (2F.3) Hirohiko Yamamoto received his B.E. in industrial chemistry from
Dr. Kenneth Wu is the Director of Technology Quality & Kumamoto University, Kumamoto, Japan in 1981. He joined
Reliability at Taiwan Semiconductor Manufacturing Company Hitachi Microcomputer Engineering, Ltd. (now Hitachi ULSI
(TSMC), responsible for technology reliability characterization systems, Co., Ltd.), Tokyo, Japan in 1981, where he worked on
and qualification. Prior to join TSMC in 2002, Dr. Wu worked developing and improving CVD technology for mass production. He
at Intel Corporation since 1982 in technology development and then worked at Trecenti Technologies, Inc., Ibaraki, Japan from
reliability qualification. Dr. Wu has published more than 50 2000 to 2004. Since 2005, he has been working at the Naka Sector
papers on a variety of technology and reliability subjects; and of Renesas Technology Corp. (now Renesas Electronics
received IRPS The Best Paper Award in 1990. Dr. Wu received Corporation), Ibaraki, Japan. He is a chief engineer of the Wafer
his B.S from National Taiwan University in 1975, an M.S. from Process Manufacturing Technology Dept. 2.
Northwestern University in 1978 and his Ph.D. from Princeton
University in 1982; all are in Electrical Engineering. Yamauchi, Toyohiko (FA.4)
Mr. Yamauchi graduated with Bachelor's and Master's degrees in
Wu, Ling (MY.4) Engineering from the University of Tokyo in 2002 and 2004,
Wu Ling received his B.Eng in Electrical and Electronics respectively. He has been working at Hamamatsu Photonics K.K.
Engineering (EEE) from Nanyang Technological University (Japan) since 2004 as a researcher in the central research group. He
(NTU) Singapore in 2008. He is currently pursuing his PhD has also been a visiting researcher for a collaborative study at the
degree in EEE, NTU working on High-k/Metal gate of advanced MIT Spectroscopy Laboratory since 2008. He is engaged in research
gate stacks. on biomedical sensing with interference optics.
Wu, Ming-Tsung (MY.1)
Ming-Tsung Wu was born in Hualien, Taiwan in 1977. He Yang, Ling-Wu (MY.1)
received the M.S. degree in Institute of Environmental Ling-Wu Yang received the M.S. degrees in material science and
Engineering & Science from Feng Chia University (FCU), engineering from the University of National Sun Yat-Sen, Taiwan,
Taiwan, R.O.C., in 2002. He is currently a project manager of R.O.C., in 1996. From 1996 to 1999, he joined Vanguard
Etch Process Development Department in Technology International Semiconductor Co., Ltd, Hsinchu, Taiwan, R.O.C., as
Development Center in Macronix International (MXIC). a process engineer. In 2000, he joined Macronix International
(MXIC) for advanced diffusion module development. He is
Wu, Mong Sheng (FA.1, FA.3) currently a Project Deputy Director of Advanced Module Process
M.S. Wu, born in Chia-yi in 1982, is a engineer in UMC Development Division in Technology Development Center.
Corporation. Wu received a bachelor degree from National
Tsing Hua University in Taiwan. Now, he has been a FA
engineer for 4 years.
Yang, Tahone (MY.1) Ye, Chen (3C.5)
Tahone Yang received the B.S. degree in chemistry from Fu Jan Chen Ye is an Engineer in Quality Reliability Assurance Failure
Catholic University, Taipei, Taiwan, in 1989, and the M.S. Analysis at Global Foundries of Singapore. She received his
degree in chemistry from National Tsing-Hua University, bachelors in Materials Engineering from Nanyang Technological
Hsinchu, Taiwan, in 1991. In 1991, he was with FAB1, University,Singapore.
Macronix International (MXIC), working on the start-up and
manufacturing of Etching /Lithography Department. In 1999, he Yeh, Teng-Hao (5D.3)
transferred to Technology Development Center. Currently, he is Teng-Hao Yeh was born in I-Lan, Taiwan, ROC., on January 8,
leading the division of advanced module process development 1981. He recieved the BS and MS degree in Material Science and
for nonvolatile memory devices. He has publications and holds Engineering from National Tsing Hau University, Hsinchu, Taiwan,
patents in the areas of lithography, OPC, etching, thin film, in 2003 and 2005. He joined Macronix International Company, Ltd.,
diffusion, yield improvement and nonvolatile memory Hsinchu, in 2006, as a Process Integration Engineer in technology
processing. development center. Since 2006, he has been engaged in the
development and integration for the nitride-based NBit technology
Yang, Yang (EL.3) at Macronix.
Yang Yang received the B.Sc. (2004) in electrical engineering
from Beijing University of Aeronautics and Astronautics, Yeo, Yee-Chia (XT.4)
Beijing, China and the M.Sc. (2005) in electronics from Queen’s Y.-C. Yeo received the B. Eng and M. Eng degrees from National
University Belfast, Belfast, UK. He is currently a Ph.D. student University of Singapore (NUS), and the M.S. and Ph.D degrees
at George Mason University, VA, USA. His research focuses on from UC Berkeley, all in Electrical Engineering. He was with
the design & optimization of field-effect-diode (FED) and ESD- TSMC in 2001-2003. He is now an Assistant Professor of Electrical
like stress on the gate dielectric reliability of nano CMOS. From and Computer Engineering at NUS and a Program Manager for a
Jan. 2009 to Dec. 2009, he was at IBM Microelectronics-System nanoelectronics research program at the Agency for Science,
and Technology Group, SRDC, on a student internship in Technology, and Research, Singapore. His research interests
ESD/Latchup Development Group. include strained-silicon MOSFETs, compound semiconductor
transistors, and transistors with steep subthreshold swing. He co-
Yasuda, Naoki (MY.2) authored ~330 papers, and has 82 US Patents.
Naoki Yasuda received the B.S., M.S., and Ph.D. degrees in
electronic engineering from Osaka University, Japan. He joined Yew, Kwang Sing (4A.4)
Toshiba Corporation in 1992, where he was engaged in the K. S. Yew received the B.Eng. (Hons) degree in electrical and
research on SiO2 in Si MOSFETs. From 1998 to 1999, he was a electronics engineering from the University of Technology,
visiting scientist at Rutgers University, NJ, where he studied the Malaysia (UTM) in 2007. From 2007 to 2009, he was with Altera
stabilities of high-k dielectrics. When he returned to Toshiba, he Corporation, Penang, Malaysia as a Backend Device Modeling
was engaged in silicon oxynitride in CMOS devices. From 2001 Engineer. He is currently working toward the Ph.D. degree in high-к
to 2004, he worked for MIRAI Project, Tsukuba, Japan, as a gate stacks electrical characterization by scanning probe microscopy
researcher in High-k Gate Stack Group. Since 2005, he has been at Nanyang Technological University (NTU) at Singapore.
working in the area of non-volatile memories.
Yiang, Kok-Yong (2D.3, 5A.4)
Yasuhiro, Shimamoto (PI.3) Kok-Yong Yiang received his Ph.D in Electrical Engineering from
Yasuhiro Shimamoto received the Ph.D. degrees in physical the National University of Singapore. He joined Advanced Micro
engineering from The University of Tokyo, Tokyo, Japan, in Devices (Sunnyvale CA) in 2005 as a BEOL reliability engineer,
1996. He joined the Central Research Laboratory, Hitachi Ltd., and is currently with GLOBALFOUNDRIES (Sunnyvale CA) as a
Tokyo, in 1996, where he was engaged in the development of Member of Technical Staff. His main interest is in BEOL and
FeRAM, DRAM capacitors and high-k gate dielectrics. From middle-of-line (MOL) TDDB reliability. Before joining the
2002 to 2004, he was an Industrial resident in the Interuniversity semiconductor industry, Kok was a military engineer in the
Microelectronics Center (IMEC), Leuven, Belgium, where he procurement of extreme-damage missile systems, and a software
studied on high-k gate dielectrics and metal gate. He is currently engineer involved in high-security smartcard encryption and
working on reliability of gate dielectrics for non-volatile authentication technologies.
memory.
Yoann, Mamy Randriamihaja (2B.2)
Yasuo, Nara (4A.5) Yoann Mamy Randriamihaja was born in Grenoble, France, in 1985.
Yasuo Nara received the B.S. (1980), M.S. (1982), and Ph.D He received the M.S. degree in micro- nano- electronic from
(1985) degrees in physical electronics from Tokyo Institute of University Joseph Fourier and the Engineering degree in 2009 from
Technology, Tokyo, Japan. He joined Fujitsu Laboratories Ltd. the Ecole Nationale Supérieure d'Electronique et de Radioélectricité
in 1985 and has been engaged in the research and development de Grenoble (ENSERG) of the Institut National Polytechnique de
of Si-based semiconductor technologies. He is currently in Grenoble (INPG). Since 2009, he is pursuing the Ph.D. degree in
charge of advanced Si LSI technology development in Fujitsu micro- and nanoelectronic through a collaboration between the
Microelectronics Ltd. He is the author or coauthor of more than Institut Matériaux Microélectronique Nanosciences de Provence
270 journals and international conference papers in the field of (IM2NP), Toulon, and the Electrical Characterization and Reliability
semiconductor research. Dr. Nara is a member of the Japan Department of STMicroelectronics Crolles. His Ph.D. work focuses
Society of Applied Physics, the Institute of Electronics, on the improvement of electrical characterization techniques of
Information and Communication Engineers, and IEEE Electron oxide defects, and the understanding of physical phenomena
Devices Society. observed in advanced MOSFETs.

Yau, Anthony (2D.2) Yokogawa, Shinji (6A.4)


Anthony Yau received the B.E. in Material from Cheng Kung Shinji Yokogawa recieved the B.S.(1992), M.S.(1994), and
University, Tainan, Taiwan. He has joined Taiwan Ph.D(2008) in engineering from The University of Electro-
Semiconductors Manufacturing Company on product reliability Communications, Tokyo, Japan. In 1994 he joined NEC Corp.,
engineering since 2000. Japan. He is currently with the Advanced Device Development
Division, NEC Electronics Corporation, Kawasaki, Japan. SiON and High-k) for ULSI technology. He serves (or served) on
Currently, he serves as a leading engineer of advanced the technical committees of International Conference on IC Design
technology qualification in NEC Electronics. He is a member of & Technology (ICICDT) and IEEE International Reliability Physics
the Japan Society of Applied Physics and Reliability Symposium (IRPS). He is a member of the JSAP.
Engineering Association of Japan.
Yuko, Kobayashi (4A.5)
Yoshiharu, Tosaka (3A.5, 4A.5) Yuko Kobayashi received the B.S. degree in Materials Property, and
Yoshiharu Tosaka was born in Akita, Japan, in April 1962. He the M.S. degree in Materials Processing and Characterization from
received B. S., M. S. and Ph. D. degrees in physics from Niigata Tohoku University, Miyagi, Japan, in 2003 and 2005, respectively.
University, Japan, in 1985, 1987, and 1990, respectively. He She joined Fujitsu Ltd. in 2005. Her currently work is reliability
joined Fujitsu Laboratories Ltd in 1990. His current research technology for ULSI devices.
interests are in the reliability physics of VLSI devices, especially
for soft-error reliability. Dr. Tosaka is a member of the Japan Yumi, Kakuhara (6A.4)
Society of Applied Physics and the Physical society of Japan. Yumi Kakuhara received the B.E. degree from Aoyama Gakuin
University, Tokyo, Japan, the M.E. degree from Shinshu University,
Yoshimoto, Hiroyuki (XT.2) Nagano, Japan, and Ph.D (2010) in engineering from Shibaura
Hiroyuki Yoshimoto received the B.S., M.S., and Ph.D. degrees Institute of Technology, Tokyo, Japan. She was with the
in solid state physics from Waseda University in 2000, 2002, Semiconductor Group, NEC Corporation, Sagamihara, Japan. She is
and 2006, respectively. In 2006, he joined the Central Research currently focused on reliability issues in advanced Cu./Low-k
Laboratory, Hitachi, Ltd., Tokyo, Japan. Since then, he has been interconnect. She is currently with the Advanced Device
working on research and development of CMOS devices Development Division, NEC Electronics Corporation, Kawasaki,
including SOI and high-k MOSFETs. Since 2009, he has also Japan.
been working on research and development of power electronics
devices. Zhang, Lijuan (5B.2)
Lijuan Zhang is a graduate student in the Department of Electrical
Young, Chadwin (2B.4, 4A.4) Engineering at The University of Texas at Austin. She received her
Chadwin D. Young received his B.S. degree in Electrical M.S. degree in solid state physics from the Institute of Physics,
Engineering from the University of Texas at Austin in 1996. He Chinese Academy of Sciences (CAS) in 2004 and her B.S. degree in
then went on to receive a M.S. and Ph.D. from the North physics from Peking University in China in 2001. She joined The
Carolina State University in 1998 and 2004, respectively. He University of Texas at Austin in fall 2004 and pursued her PhD in
has held several internships during this time until 2001 when he the Interconnect and Packaging group under the supervision of Prof.
joined SEMATECH. Here, he completed his dissertation Paul Ho. Zhang’s research focuses on the BEOL reliability issues
research on high-k gate stacks. He now continues this research such as electromigration and stress migration reliability of Cu
at SEMATECH as a Member of the Technical Staff working on damascene interconnects.
electrical characterization and reliability methodologies for the
evaluation of high-k gate stacks, and he has authored or co- Zhao, Kai (2B.1)
authored 150+ journal and conference papers. Kai Zhao received the bachelor's and master 's in physics from
University of Science and Technology of China in 2000 and 2002
Yu, Hongyu (MY.4) respectively and the Ph.D. from Physics department at University of
Yu Hongyu obtained his BEng degree from Tsinghua California, San Diego in 2008. In the same year, he joined IBM
University, MASc degree from University of Toronto and PhD research division as a postdoctroal researcher, working in the
from National University of Singapore respectively. From June reliability and characterization group. At IBM research, he is
2004 to January 2008, he worked as a Senior Researcher in focused on understanding the fundamental reliability aspects of
IMEC, Belgium. He joined the School of EEE starting from advanced Field Effect Transistors, including HKMG FETs and
January 2008, receiving the inaugural Nanyang Assistant Carbon Nanotube Transistors.
Professorship. His research is on sustainable Si-based Nano
electronic device, e.g. emerging memories / sub-22nm CMOS Zhao, Larry (5A.2)
devices for green IC; advanced solar cell / nano-photonic Larry Zhao joined Intel Corporation in 2002 and is currently
devices. He has authored / co-authored more than 150 peer- working at IMEC as an assignee from Intel. He has more than 10
reviewed international papers. He also has published / been years of experience in the research and development of Cu
granted with > 20 USA/EU patents. His research achievements interconnects and holds 6 U.S. patents. He has also co-authored
have gained international recognition. He has received many more than 40 scientific publications. Larry Zhao received his B.S.
awards including NUS president graduate fellowship, IEEE EDS degree in Materials Science from Shanghai Jiaotong University in
PhD fellowship, Nanyang Assistant Professorship, Tan Chin 1984 and M.S. degree in Engineering from Dartmouth College,
Tuan Academic Exchange Fellowship, and one high-light paper Hanover, NH in 1993. He studied for Ph.D. at Columbia University,
in Tech. Sym. VLSI (2007). NYC from 1993 to 1997.

Yuichiro, Mitani (3D.4) Zheng, Rui (5E.2)


Yuichiro Mitani received the B. E. and M. E. in material science Rui Zheng received Bachelor of Science from School of EECS,
and engineering from Tohoku University, Sendai, Japan, in 1990 Peking University, China in 2008.He is a master student in Arizona
and 1992, respectively. He received the Ph.D. from the State University since 2008. Currently researching in circuit
University of Tokyo in 2009. He joined the R&D Center, reliability modeling and prediction.
Toshiba Corporation in 1992. His primary works were
concerned in the Si-CVD and the ultra-shallow junction process Zhi Qiang, Mo (3C.5)
technology. Since 1999, he has been with the Advanced LSI Mo Zhi Qiang is Manager of failure analysis operations group in
Technology Laboratory, Corporate R&D Center, Toshiba Global Foundries Singapore Pte Ltd. He has been working in
Corporation, Yokohama, Japan. His present research interests microelectronics failure analysis area for more than 18 years. His
and activities cover the ultra-thin oxide process technology and areas of interest includ surface analysis, materials/chemical analysis
the study of the reliability of ultra-thin gate dielectrics (SiO2, and physical analysis. Prior to join Chartered, he was Assistant Vice
President in TUV-SUD-PSB Corp Singapore and worked as Electrical and Electronic Engineering. His current research interests
Senior Research Engineer in Institute of Microelectronics (IME) include unified compact modeling of bulk/SOI/DG/GAA and
Singapore. He received his Bachelor and Master's degree in Schottky-barrier MOSFETs. From July to December 2005, he was
electronic engineering from Tsinghua University, Beijing in an Intern with Chartered Semiconductor Manufacturing Ltd.,
1987 and 1993 respectively Singapore, where he worked on electrical testing.

Zhou, Carl (6C.4) Zhu, Vivian (4B.2)


Carl Zhou has worked at Texas Instruments since 1999. He has Xiaowei (Vivian) Zhu received her Ph.D. in Electrical Engineering
developed yield enhancement tools such as critical area analysis from Vanderbilt University. She joined Texas Instruments, Inc. in
and logic mapping. He also works on Non-Volatile Memory, 2002 as a Reliability Engineer in the Silicon Technology
flash and FRAM, testing, characterization, and reliability study. Development group. Dr. Zhu has published several papers in the
Prior to joining TI, Carl Zhou has worked in several companies, field of radiation induced single event upset, served as technical
including Integrated Device Technology, Inc. and SunPower. He session chair for IEEE Nuclear and Space Radiation Effects
received his Ph.D in Physics at Stanford University. Conference, and International Conference on the Application of
Accelerators in Research and Industry. She also served as a
Zhou, Jiping (5B.2) committee member and section author of JEDEC JESD89A
J. P. Zhou is a Research Scientist and Facility Manager in Texas standard, and is a frequent reviewer for IEEE TNS, European
Materials Institute in the University of Texas at Austin. His Conference on Radiation and Its Effects on Components and
research career is covered to high Tc superconductors, Systems, and Microelectronics Reliability.
semiconductors, nano-materials and their syntheses, fabrications
and characterizations. Recently, he is engaged in the area for Zous, Nian-Kai (5D.3)
nano-materials structures, defects and properties, corresponding Nian-Kai Zous received the Ph.D. degree in electronics engineering
to crystal orientations by using Diffraction-Scanning from National Chiao-Tung University (NCTU), Hsin-chu, Taiwan,
Transmission Electron Microscope (D-STEM) and Precession R.O.C., in 2002. He joined the Macronix International Co., Ltd.
Microscope. (MXIC) in Hsinchu, Taiwan, in 2003, working in the advanced
device group of the Device Technology Division and his research
Zhou, Xing (NA. 1) activities include the study of reliability and scaling issues in nitride
Xing Zhou received the B.E. degree from Tsinghua University storage devices. From 2005 to 2007, he is working in the NBIT
in 1983 and the M.S. and Ph.D. degrees in electrical engineering Flash Tech. Dept. II of MXIC and is responsible for the reliability
from the University of Rochester in 1987 and 1990, issue on NBIT product. Since 2007, he has been engaged in the
respectively. Since 1992 he has been a faculty of the School of methodologies for technology development and yield enhancement .
Electrical and Electronic Engineering, Nanyang Technological
University, Singapore. He was a visiting professor with Zschech, Ehrenfried (5B.1, 5B.2)
Stanford University in 1997 and 2001 and with Hiroshima Ehrenfried Zschech is Division Director at Fraunhofer Institute for
University in 2003. He is a distinguished lecturer of the IEEE Nondestructive Testing in Dresden, which he joint in 2009. His
EDS and an editor for the IEEE Electron Device Letters. His responsibilities include micro- and nanoanalysis. He received his Dr.
research focuses on development of compact models for circuit degree from Dresden University of Technology. Ehrenfried Zschech
simulation for nanoscale MOS devices. gathered experience in industry, during 17 years in several technical
and management positions at Airbus and AMD. He has published
Zhu, Goujun (NA. 1) three books and more than 100 papers in scientific journals. He is an
Guojun Zhu was born in China in 1984. He received the B.E. honorary professor for nanomaterials at the Brandenburg University
degree (Hons.) in electrical and electronic engineering in 2007 of Technology in Cottbus, Germany. In 2009, Ehrenfried Zschech
from Nanyang Technological University, Singapore, where he is was elected as Vice President of the Federation of European
currently working toward the Ph.D. degree at the School of Materials Societies (FEMS).

You might also like