Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 12

EJERCICIO 1:

a)

library ieee;
use ieee.std_logic_1164.all;
entity proyect is
port ( a , b ,c ,d : in std_logic;
g : out std_logic);
end proyect ;
architecture synth of proyect is
begin
g<=( d or not( (b and c) and ( a or d)) or ((a and not b) and d) or not c) ;
end synth;

SE OBSERVA EL
DISEÑO EN
VHDL

EL CIRCUITO DE LA
FUNCION

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 1
CRONOGRAMA DE
LA FUNCION

b)

library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c ,d : in std_logic;
h : out std_logic);
end circuitov ;
architecture synth of circuitov is
begin

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 2
h<= ( ( ((a and not d) and b) and c) or ( ((not a and b) and d ))or ( not ((a and ((c and not d) or
b)) or d ))) ;
end synth;

SE OBSERVA EL
DISEÑO EN
VHDL

EL CIRCUITO DE LA
FUNCION

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 3
CRONOGRAMA DE
LA FUNCION

EJERCICIO 2:
A)

library ieee;
use ieee.std_logic_1164.all;
entity circuitov is

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 4
port ( a , b ,c : in std_logic;
f : out std_logic);
end circuitov ;
architecture synth of circuitov is
signal a1 ,a2 : std_logic;
begin
a1<= a and b ;
a2<= not(b and c );
f<= a1 or a2 ;
end synth;

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 5
B)

library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
f : out std_logic);
end circuitov ;
architecture synth of circuitov is
signal a1 ,a2 : std_logic;
begin
a1<= not ( a or b);
a2<= not(b and c );

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 6
f<= a1 or a2 ;
end synth;

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 7
C)

library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
f : out std_logic);
end circuitov ;
architecture synth of circutov is
signal a1 ,a2 , a3 : std_logic;
begin
a1<= not a;
a2<= not b;

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 8
a3<= not c ;
f<= not( a1 or a2 or a3 );
end synth;

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 9
D)

library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
z : out std_logic);
end circuitov ;
architecture synth of circuitov is

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 10
signal a1 ,a2 : std_logic;
begin
a1<= not(not a and b and not c);
a2<= not(a and not b and c ) ;
f <= a1 or a2 ;
end synth;

UNIVERSIDAD NACIONAL FEDERICO VILLAREAL


pág. 11
UNIVERSIDAD NACIONAL FEDERICO VILLAREAL
pág. 12

You might also like