Professional Documents
Culture Documents
Ejercicio 1:: Universidad Nacional Federico Villareal
Ejercicio 1:: Universidad Nacional Federico Villareal
a)
library ieee;
use ieee.std_logic_1164.all;
entity proyect is
port ( a , b ,c ,d : in std_logic;
g : out std_logic);
end proyect ;
architecture synth of proyect is
begin
g<=( d or not( (b and c) and ( a or d)) or ((a and not b) and d) or not c) ;
end synth;
SE OBSERVA EL
DISEÑO EN
VHDL
EL CIRCUITO DE LA
FUNCION
b)
library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c ,d : in std_logic;
h : out std_logic);
end circuitov ;
architecture synth of circuitov is
begin
SE OBSERVA EL
DISEÑO EN
VHDL
EL CIRCUITO DE LA
FUNCION
EJERCICIO 2:
A)
library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
f : out std_logic);
end circuitov ;
architecture synth of circuitov is
signal a1 ,a2 : std_logic;
begin
a1<= not ( a or b);
a2<= not(b and c );
library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
f : out std_logic);
end circuitov ;
architecture synth of circutov is
signal a1 ,a2 , a3 : std_logic;
begin
a1<= not a;
a2<= not b;
library ieee;
use ieee.std_logic_1164.all;
entity circuitov is
port ( a , b ,c : in std_logic;
z : out std_logic);
end circuitov ;
architecture synth of circuitov is