Download as pdf or txt
Download as pdf or txt
You are on page 1of 15

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO.

3, MARCH 1998 665

The Physical and Electrical Effects of


Metal-Fill Patterning Practices for Oxide
Chemical-Mechanical Polishing Processes
Brian E. Stine, Duane S. Boning, Member, IEEE, James E. Chung, Lawrence Camilletti,
Frank Kruppa, Edward R. Equi, William Loh, Sharad Prasad, Moorthy Muthukrishnan,
Daniel Towery, Michael Berman, and Ashook Kapoor

Abstract—In oxide chemical-mechanical polishing (CMP) pro- techniques [1], CMP processes are hampered by layout pattern
cesses, layout pattern dependent variation in the interlevel di- sensitivities which cause certain regions on a chip to have
electric (ILD) thickness can reduce yield and impact circuit thicker dielectric layers than other regions due to differences
performance. Metal-fill patterning practices have emerged as a
technique for substantially reducing layout pattern dependent in the underlying topography [2]–[4]. This interlevel dielectric
ILD thickness variation. We present a generalizable methodology (ILD) variation must be kept in control due to aggressive
for selecting an optimal metal-fill patterning practice with the lithographic depth-of-field focus budget requirements and the
goal of satisfying a given dielectric thickness variation spec- potential impact of dielectric thickness variation on circuit
ification while minimizing the added interconnect capacitance performance. This problem has become especially acute as
associated with metal-fill patterning. Data from two industrial-
based experiments demonstrate the beneficial impact of metal- performance requirements have increased, dimensions have
fill on dielectric thickness variation, a 20% improvement in scaled, and larger die sizes have appeared (see Fig. 1). Also,
uniformity in one case and a 60% improvement in the other CMP has found wider application in VLSI technology devel-
case, and illustrate that pattern density is the key mechanism opment and production serving as an enabling tool for shallow
involved. The pros and cons of two different metal-fill patterning trench isolation [5]–[7], damescene metallization technologies
practices—grounded versus floating metal—are explored. Crite-
ria for minimizing the effect of floating or grounded metal-fill [8], and other novel process techniques.
patterns on delay or crosstalk parameters are also developed Attempts to control CMP intralevel dielectric thickness
based on canonical metal-fill structures. Finally, this methodology variation include an exhaustive search for and experimentation
is illustrated using a case study which demonstrates an 82% with different consumable and process choices (especially
reduction in ILD thickness variation. pads), but no consumable choice currently available appears
Index Terms— Chemical-mechanical polishing (CMP), design to reduce appreciably pattern-dependent dielectric thickness
for manufacturing, metal-fill, within-die variation. variation [9]; thus, the only viable choice available for reduc-
ing layout pattern dependent dielectric thickness variation is to
I. INTRODUCTION change the layout pattern itself via the introduction of metal-
fill patterning. Metal-fill patterning is the process of filling the

I N recent years, chemical-mechanical polishing (CMP) has


emerged as the primary technique for planarizing interlayer
dielectrics [1], [2]. Although CMP is very effective at reducing
large open areas on each metal layer with a metal pattern,
which is either grounded or left floating, to compensate for
pattern-driven variations.
the as-deposited step height and achieves a measure of global Note that metal-fill patterning practices are an intrinsic
planarization not possible with either spin-on or resist etchback integration issue, i.e., the problem cannot be solved either at
the unit process step or as a circuit design issue alone; there is
Manuscript received February 28, 1997; revised September 10, 1997. The
review of this paper was arranged by Editor Y. Nishi. This work was supported a need to integrate process and design concerns and deal with
by ARPA Contract N00174-93-C-0035, AASERT Grant DAAHA04-95-1- the problem as a whole. Improvements in uniformity at the
0459, and an Intel Foundation graduate fellowship. process/CMP module level resulting from metal-fill patterning
B. E. Stine, D. S. Boning, and J. E. Chung are with Microsystems
Technology Laboratories, Department of Electrical Engineering and Computer practices must be carefully checked against design/electrical
Science, Massachusetts Institute of Technology, Cambridge MA 02139 USA. concerns of any added interconnect capacitance resulting from
L. Camilletti was with the Digital Equipment Corporation, Hudson, MA metal-fill.
01749 USA. He is now with Rockwell Semiconductor Systems, Newport
Beach, CA 92660 USA. Because of the confidential nature of metal-fill pattern-
F. Kruppa and E. R. Equi are with the Digital Equipment Corporation, ing practices and design rules in general, relatively little
Hudson, MA 01749 USA. information about metal-fill patterning practices has been
W. Loh, S. Prasad, M. Muthukrishnan, and D. Towery are with LSI Logic,
Inc., Milpitas, CA 95035 USA. publicly reported. Ichikawa et al. [10] describe a metal-
M. Berman was with LSI Logic, Inc., Milpitas, CA 95035 USA. He is now fill patterning practice for planarizing a five-level spin-on-
with LSI Logic, Gresham, OR 97006 USA. glass (SOG) interconnect CMOS process. A procedure for
A. Kapoor was with LSI Logic, Inc., Milpitas, CA 95035 USA. He is now
with National Semiconductor, Santa Clara, CA 95052 USA. automatically generating metal-fill patterns is presented and
Publisher Item Identifier S 0018-9383(98)01669-4. some consideration is given toward optimizing the metal-fill
0018–9383/98$10.00  1998 IEEE
666 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

Fig. 1. Range of ILD thickness variation versus die size. As the die size has increased, the range of ILD thickness variation has also increased. This can be
attributed to the size of the chip approaching and then surpassing the finite planarization length of the CMP process. The data shown was simulated using
the model in [14]. The same layout was used for each data point and scaled appropriately. Although this would seem to indicate that scaling should help the
problem, in reality, scaling forces are checked by ever increasing demands for higher transistor counts leading to larger and larger die sizes.

design-rule to meet a given planarity target and to reduce we only consider metal-fill patterning practices for traditional
the effect of added interconnect capacitance associated with back-end-of-line interconnect processes, the generic methods
the metal-fill; however, this methodology was developed for presented here can potentially be adapted to shallow-trench,
SOG processes, the consideration of capacitance effects is damascene, and other inlaid polishing processes.
not described completely, and simulation/modeling aspects This paper is organized in six major sections. Section II
related to capacitance effects are not rigorously explored or deals with the beneficial effects of metal-fill on dielectric
stated. Camilletti [12] described and explored a metal-fill thickness uniformity while Section III describes the mech-
patterning practice in a CMP process, and reported significant anisms responsible for the uniformity improvements due to
improvements in uniformity. Stine et al. [13] also explored the metal-fill patterning practices. Section IV analyzes metal-fill
effects of metal-fill patterning practices on dielectric thickness interconnect capacitance concerns and presents capacitance
uniformity and presented a mechanism for the beneficial evaluation methods. Section V presents a case study which
increases in uniformity via pattern density modeling. In both illustrates the methods developed in Sections III and IV which
these papers, however, interconnect capacitance and design allow the optimization of a metal-fill patterning practice given
rule optimization concerns were not addressed. certain technology parameters and design constraints. Finally,
This paper presents a unified methodology for designing a summary and recommendation for future work are offered
and optimizing metal-fill design rules and procedures which in Section VI.
is suitable for inclusion in automated CAD tools and which
deals with both CMP process/uniformity concerns at the II. THE EFFECTS OF METAL-FILL ON
module level and capacitance/electrical concerns at the design DIELECTRIC THICKNESS UNIFORMITY
level. While we are concerned with methods to help support In this section, we demonstrate via two industrial-based
automatic generation of metal-fill, we are not concerned with experiments the beneficial effects of metal-fill patterning prac-
the actual layout generation algorithms. Also, although the tices on dielectric thickness uniformity. The first experiment
beneficial effects of metal-fill patterning practices will be was conducted on existing test vehicles as an initial feasibil-
reviewed and the mechanisms for this improvement described ity and proof-of-concept study. The second experiment was
fully, we are particularly interested in developing a link conducted on an actual product and used a more aggressive
between specific metal-fill design rules and the resultant im- patterning practice in an effort to explore more fully the gains
provements in ILD thickness uniformity. Finally, we are possible using metal-fill patterning practices.
especially interested in the key integration issues associated In the first experiment, we used a standard test vehicle
with optimizing metal-fill design rules to minimize the ac- containing SRAM, defect density test structures, and device
companying increase in interconnect capacitance. Although arrays. Two versions of this mask set were produced. The
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 667

(a) (c)

(b) (d)
Fig. 2. Dielectric thickness distributions between metal-1 and metal-2 [(a) and (b)] and between metal-2 and metal-3 [(c) and (d)]. Reticle “A” did not
have metal-fill added while reticle “B” had metal-fill patterning.

first mask set, reticle “A,” did not contain any metal-fill reticle “A” [Fig. 2(a) and (b)] and reticle “B” [Fig. 2(c) and
structures while the second mask set, reticle “B” contained (d)] at the ILD 1 and ILD 2 level. The standard deviation and
metal-fill structures. The fill pattern used in this experiment uniformity for reticle “B” with pattern fill has improved by
was used as a buffer to fill these large open areas greater approximately 20–25% and by 15% at the ILD 1 and ILD 2
than m m between adjacent test structures levels, respectively, compared with reticle “A” with no pattern
and circuitry. Also, no metal-fill pattern was placed less fill. The results for ILD 2 are not as pronounced as for ILD
than 40 m away from any active circuitry. The metal- 1 because the density of underlying topography in ILD 2
fill implementation on reticle “B” also needed structures before metal-fill was more uniform to begin with compared
to accommodate yield inspection, electromigration testing, to the underlying topography in ILD 1 before metal-fill. As
capacitance considerations, and device characterization needs. the ANOVA table for the ILD 1 level in Table I shows, the
Thus, only a portion of the total eligible area for reticle difference in standard deviation between reticles (i.e., with
“B” received metal-fill with the metal-2 level incorporating metal-fill and without metal-fill) was the only statistically
slightly more pattern fill compared to the metal-1 level. A significant difference observed (as opposed to wafer-to-wafer
0.35- m CMOS technology was used to fabricate the test or die-to-die type variation). Similar results were observed at
structures. The ILD thickness was measured optically on nine the ILD 2 level.
die (approximately 17 sites per die) on each wafer for several In the second experiment, the same experimental method-
lots to yield approximately 30 000 measurements. The site ology and process technology was used: two versions of a
locations on each die were selected from populations near the reticle were generated, one without metal-fill (Reticle “A”)
thickest regions and near the thinnest regions. and one with metal-fill (Reticle “B”). In this case, however, a
Fig. 2 shows the ILD thickness distributions (all thicknesses much more aggressive metal-fill patterning strategy was imple-
have been normalized) for one die for structures patterned with mented and actual product layout at the metal-2 layer was used
668 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

Fig. 3. Dielectric thickness versus pattern density (extracted from [11]). The interaction distance was 3.5 mm. The effect of restricting pattern density
to half of full scale is also schematically illustrated.

TABLE I more closely the behavior of real-life products and reduce


THE ANOVA TABLE FOR THE ILD 1 LEVEL FOR THE FIRST EXPERIMENT product learning cycles [12].
DISCUSSED IN SECTION II. THE STANDARD DEVIATION IS MODELED
AS A FUNCTION OF THE WAFER, THE DIE, AND THE RETICLE USED.
ONLY THE RETICLE EFFECT (METAL-FILL PATTERNING VERSUS NO
METAL-FILL PATTERNING) WAS FOUND TO BE STATISTICALLY SIGNIFICANT III. MECHANISMS FOR METAL-FILL PATTERNING BENEFITS
The improvements in dielectric thickness uniformity ob-
served in metal-fill experiments can ultimately be attributed to
layout pattern-density. The strong correlation between layout
pattern density and dielectric thickness is well recognized for
CMP processes [11], [13]–[16], and it has also been demon-
strated that layout pattern-density is the primary variable
controlling CMP-induced intradie dielectric thickness variation
[11], [14]. Pattern density can be defined as the ratio of raised
oxide area in a given square window to the area of the window.
We assume that the window is square; thus, its size can be
represented by the length of one side of the window, which
in the experiment instead of that for a test vehicle. The ILD we term the interaction distance. Typical interaction distances
thickness was measured optically. For intradie measurements are in the range of 3–4 mm. For a more thorough definition
four sites/die (selected to achieve the largest range of variation) and discussion of pattern density, see [11] and [14]. By adding
were measured on three dies per wafer across three wafers for metal-fill, the underlying topography is restricted to a confined
each split. For within-wafer and wafer-to-wafer measurements range of pattern density. The restricted latitude of pattern-
one site on 21 dies per wafer was measured across all six density yields a minimized spread in ILD thickness variation
wafers in each split. Substantial improvement was observed which is significantly smaller compared to the variation which
at the intradie level (60% reduction) as well as within-wafer would be encountered if the density were allowed to vary
(35–40% reduction) and wafer-to-wafer (35–40% reduction). full-scale (Fig. 3).
intradie variation for some products can be as high as 0.6 m Stine et al. [14] have recently reported a universal closed
total range and 0.15 m within-wafer [11]. form model for dielectric thickness variation in CMP pro-
In addition to the improvements in dielectric thickness cesses. According to this model, dielectric thickness variation
uniformity, other benefits including improved etch and lithog- can be related to pattern density (assuming sufficient polishing
raphy process uniformity are often observed. Also, metal-fill time) via
patterning of test vehicles, such as in the first experiment, has
the additional benefit of allowing the test vehicle to mimic (1)
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 669

Fig. 4. A contour plot of the achievable pattern density inside of an empty 1 mm 2


1 mm area versus the metal-fill design rule. The metal-fill
patterning scheme is shown in the inset. The buffer distance for this example has been fixed at 25 m: A minimum linewidth of 0.35 m and a
minimum linespace of 0.45 m has been assumed.

where is the dielectric thickness at a position on the die, as the distance between the nearest active circuit region and a
is the initial dielectric film thickness before planarization, metal-fill line of 25 m, a design chart can be generated (e.g.,
is the as-deposited film thickness, is the bulk polish rate of Fig. 4) showing the pattern density that can be achieved inside
blanket wafers, is time, and is pattern density as a function this mm mm square region for a given linewidth and
of position on the die. According to (1), if a layout has a full linespace of the metal-fill design. If it is desired to reduce
scale range of pattern density before metal-fill and sufficient the dielectric thickness variation in half, then a linewidth
metal-fill is added to reduce the range in pattern density by and linespace for the metal-fill design rule should be chosen
50% then the resulting dielectric thickness variation should which would give a pattern density inside the mm
be reduced by half. Furthermore, for a given CMP process mm square box of at least 50%. As Fig. 4 shows, there are
adding metal-fill tends to increase the mean layout pattern many possible design rules along the 50% contour which can
density (and dielectric thickness) across the chip resulting in meet this requirement. Choosing a value along this contour as
thicker oxide films and correspondingly lower layer-to-layer well as other design rule issues (e.g., the choice of the buffer
capacitance values than would be seen in a non metal-fill distance and whether to use lines or square blocks) are dictated
layout1 by electrical/capacitance considerations and are dealt with in
Design decisions about the size and extent of metal-fill the next section.
patterning can be made based on models similar to (1). These Although the above method of selecting a metal-fill design
decisions can be made by assuming a worst case scenario (such rule to meet a uniformity criterion guarantees that all blank
as a square open region free of metal mm mm in size) areas greater than mm mm in size will have the
and examining the effect of adding metal-fill. For example, minimal allowable density (50% in this example), it does not
if one considers a metal-fill patterning scheme of vertically absolutely guarantee that the entire layout will have this value
oriented lines (see Fig. 4 inset) with a buffer distance defined of minimum pattern density. Consider this artificial example:
1 Although increasing the dielectric thickness has the effect of reducing
a layout consisting entirely of 1- m lines and 10- m spaces.
layer-to-layer capacitance, coupling or line-to-line capacitance may actually Since there is no blank area greater than 50 m (which is two
increase with increasing dielectric thickness due to decreased shielding effects. times the buffer distance in the above example), no regions
670 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

of this chip qualify for metal-fill; but, the minimum pattern


density on chip is only 10%. Also, if one corner of a layout
has a large high density block and the other corner has a
large low density block, the best possible range in dielectric
thickness variation is ultimately dictated by the difference in
the densities of these two regions. These issues are especially
acute in test structures where high density defect structures
(typically snakes and combs) are placed in one area and lower
density circuit blocks or devices arrays are placed in another
corner resulting in a severely compromised layout in the sense
of only allowing marginal improvement in uniformity through
metal-fill patterning. However, this situation is quite artificial
and most regions of modern ASIC and logic design layout are
filled with dense circuit regions requiring metal-fill only for the
larger open areas. Significant improvements in uniformity are
thus feasible for these designs as the case study in Section V
(a) (b)
illustrates.
Fig. 5. An illustration of (a) vertical line filling, which is recommended for
gounded metal-fill and (b) block filling, which is recommended for floating
IV. IMPACT OF METAL-FILL ON INTERCONNECT CAPACITANCE metal-fill. Note the bridging bars in (a) and that in a floating metal-fill
configuration regions A and B cannot couple very easily in (b) but could
In addition to meeting a uniformity constraint, a well in (a) if the fill were floating. Because the fill in (a) is grounded, the metal-fill
designed metal-fill patterning practice should also minimize lines do not permit coupling between regions A and B, although there may
the added interconnect capacitance associated with the metal- be an overall increase in capacitance and delay.
fill. In many ASIC designs, there are large open areas or
sparse regions near or around routing channels which are drawbacks are that each metal-fill region needs to be connected
prime candidates for metal-fill. Especially for regions near to ground, preferably to a close-by terminal. This is often not
routing channels, blindly adding metal-fill without considering easy and places an additional strain on already overburdened
the impact on capacitance is disastrous resulting in increased design tools. For this reason, it is better to use long lines as
delay or coupling. the metal-fill pattern and to place small metal bridges between
The issues associated with capacitance and metal-fill are these lines to allow ease of ground connection [see Fig. 5(a)].
complex. Key issues are the best choice of the buffer distance For floating metal-fill, the advantage is that no connec-
(the distance between metal-fill and the nearest active metal- tions need to be made to ground; thus, floating metal-fill
line), grounded versus floating metal-fill lines, and the shape can be generated automatically during tape-out. The primary
of the metal-fill patterns (e.g., lines versus blocks). For dense drawback is that floating metal-fill regions can now serve
logic designs where the amount of metal-fill needed is low as additional coupling paths. To minimize extended range
and where the number of products on which a designer is coupling effects, small unconnected square blocks should be
focused is also low, the placement and choice of metal-fill used. Fig. 5(b) illustrates this point. If vertical lines were used
might ultimately be left as a decision by the circuit designer. [as in Fig. 5(a)], regions A and B, although many microns
For ASIC design, however, there are far too many designs apart, could couple to each other. As Fig. 5(b) shows, however,
with rapid design schedules and considerable areas which need small square unconnected blocks minimize this behavior.
metal-fill: automated metal-fill placement is often essential. In
this section, we will discuss the tradeoffs between grounded B. Minimizing the Effect of Metal-Fill
and floating metal-fill and present a methodology for devel- on Interconnect Capacitance
oping a metal-fill design rule suitable for automated metal-fill
In order to minimize the interconnect capacitance added
placement with an interconnect capacitance constraint.
resulting from metal-fill, the total amount of metal-fill to
be added should be small, the linewidth of the fill pattern
A. Grounded versus Floating Metal-Fill should be as small as possible, the spacing between fill “lines”
One of the most important decisions regarding metal-fill should be maximum, and the buffer distance should be kept
concerns floating metal-fill, i.e., leaving all metal-fill regions as large as possible. Unfortunately while this capacitance
unconnected, versus grounded metal-fill, or connecting all minimization criterion is useful as a guideline, it has two
metal-fill regions to the nearest ground connection. In terms primary flaws: 1) steps restricting the amount of metal-fill
of interconnect capacitance, grounded metal-fill tends to affect and increasing the buffer distance have the unwanted effect of
delay attributes in a layout while floating metal-fill tends to limiting the possible improvements in uniformity using metal-
increase coupling/crosstalk attributes. fill and 2) this minimization is not a precise method and lacks
For grounded metal-fill, the primary advantage is that all quantitative criterion measures.
metal-fill regions are at a known potential; thus, traditional A more appropriate criterion can be formed by considering
layout-parasitic extraction tools can be used to re-verify and the canonical case of two lines spaced apart by twice the
simulate a layout after the metal-fill has been placed. The buffer distance plus the linewidth or block width of one metal-
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 671

fill block or line. This spacing arrangement is the worst case


scenario since spacing the active lines any further apart lessens
any capacitance coupling/delay effects between each active
lines and between any active line and any neighboring metal-
fill regions. The buffer distance is initially chosen at some
reasonable value (e.g., 25 m The space between the two
lines is then patterned with metal-fill of a particular linewidth
and linespace for the case of line filling [e.g., Fig. 5(a)]
or a particular block width and block space for the
case of block filling [e.g., Fig. 5(b)]. A generic capacitance
metric is then computed which is suitable for the particular
type of metal-fill (grounded or floating). For the floating design
strategy shown in Fig. 6(a), a reasonable capacitance metric
(all capacitances are per unit length) might be

(2)

where is the capacitance from an active line to a metal-


fill block and is the capacitance from one active line to
the other active line for the case of floating metal-fill [see
Fig. 6(a)]. For the grounded strategy shown in Fig. 6(b), a
reasonable capacitance metric might be (a) (b)
Fig. 6. A stylized illustration depicted the definitions and capacitance typi-
cally of interest in (a) floating metal-fill with block patterning and (b) grounded
(3) metal-fill with vertical line patterning.

where is the capacitance from an active line to a grounded


V. A METAL-FILL PATTERNING PRACTICE CASE STUDY
metal-fill line, is the overlap capacitance between an
active line above and an underlying metal-fill region, and In this section, the methodology outlined in Sections III and
is the capacitance from one active line to the other IV will be exercised on the layout (see Fig. 8) of a bit
active line [see Fig. 6(b)]. Note that both metrics use the bit 24-port memory register containing over 65 000 transistors
ratio of the capacitance (either coupling capacitance or delay [17]. Since completely automatic generation of metal-fill is
capacitance) present in the metal-fill pattern to the capacitance desired, a floating metal-fill design-rule is desired. First, for the
present before metal-fill. The individual capacitance values canonical structure the patterning scheme shown in Fig. 5(b)
(e.g., can either be computed using TCAD will be used. The goal will be to find design rules for the three
simulations or using closed-form approximations (see the canonical parameters: the buffer distance , the block
Appendix). The value of is recorded and the ratio is width , and the block space Then, optimized metal-fill
computed again across a wide range of metal-fill spacing and parameters will be selected and the impact of this optimized
width parameters. metal-fill on the case study (Fig. 8) will be evaluated.
The contour plot of (or 100 which represents the In order to estimate the effect of the metal-fill pattern on
percent change in capacitance) is then superimposed on top of interconnect capacitance, a metric for the capacitance increase
the minimum pattern density contour plots versus line width due to metal-fill as discussed in Section IV-B needs to be
and line space. The desired minimum pattern density speci- determined. For this case study, we will use the canonical
fication is then coupled with the desired capacitance metric structure discussed in Section IV-B and (2) to evaluate
value specification to yield an optimized metal-fill design rule Note that for this canonical structure, the metal-fill pattern
(see Fig. 7). The desired capacitance metric value is selected and surrounding active lines are isolated, i.e., no metal lines
to be as low or negative as possible, while still satisfying or features are shown above or below the structure and no
the desired uniformity criterion. If the capacitance metric is ground plane has been assumed. Although in reality features
sufficiently small or negative, a smaller buffer length value are seldom isolated from each other, this canonical form has
can be selected and a comparison plot can be generated for the been assumed because it maximizes the coupling capacitance
new buffer length value. A smaller buffer length is desirable between lines (e.g., and If metal features were
from a uniformity perspective since smaller buffer lengths placed above or below, some shielding would occur and the
lead to denser fill and hence a higher probability of meeting coupling capacitance between lines would be reduced by fringe
the minimum pattern density specification across the entire capacitance from the line to the layer above or below. A
chip. An example of the entire metal-fill methodology from solution to the more general problem is not practical since in
uniformity criterion specification to capacitance evaluation and every area of a layout the metal coverage above and below a
design rule specification is offered in the next section. metal-layer varies significantly. A statistical approach could be
672 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

0
Fig. 7. Contour plots of 100(C 3 1) is superimposed on contour plots of constant pattern-density to form a design chart for the coupling and optimizing
of pattern-density/uniformity specifications with interconnect capacitance specifications.

attempted in which the average and range of patterns above quite good except for small spaces where (4) overestimates the
and below a given layer are extracted and used to form a capacitance. Also note that the proportionality constant in (4)
model database for capacitance evaluation, but this technique is primarily dependent on metal thickness and not significantly
would complicate the formulation of a design rule since the affected by linespace. For the comparison in Fig. 9, the two-
capacitance metric (c.f. above) becomes a distribution dimensional (2-D) capacitance solver RAPHAEL2 [18] was
rather than one number. used to evaluate the capacitance; the simulation structure is
The large number of calculations required ( 1000) to shown in Fig. 9(c).
compute the individual components of (e.g., and ) In (5), the capacitance is composed of two terms. The
and generate design-rule charts necessitate the use of simple first term accounts for the coupling between the sidewalls of
closed form expressions instead of numerical simulations. the active line on the left (line in Fig. 10) and one of the
More specifically, we will assume that and (see the metal-fill blocks. The second term represents fringing from
Appendix) can be approximated by the sidewall of line to the perpendicular sidewall of a
metal-fill block. A derivation for this second fringing term
(4) can be found in the Appendix. In order to assess the validity
of the assumptions and approximations in (5), several 3-D
simulations were run using RAPHAEL [18] for the structure
shown in Fig. 10. As Table II shows, the agreement between
simulation and (5) is excellent. For the comparison shown in
Table II, all lines except were grounded, and the capacitance
between and every other metal region was simulated.
(5) Fig. 11 shows a contour plot of versus block width and
block space superimposed on a contour plot of the minimum
where is the thickness of the metal and is the spacing pattern density within a 1-mm block (see Section III) versus
between blocks, is the block width, and is the buffer block width and space. Contour plots are shown for buffer
length [see Fig. 6(a)]. As Fig. 9(a) and (b) shows, the approxi-
mations in (4) and the assumption of linewidth independence is 2 RAPHAEL is a registered trademark.
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 673

Fig. 8. The metal-1 layer of the layout described in [17] used in the metal-fill case study discussed in Section V. The layout is about 7:9 mm 2 92
:
mm and the minimum linewidth and space at metal-1 is 3 m:

TABLE II
COMPARISON OF THE CAPACITANCE APPROXIMATION IN (5) VERSUS SIMULATION

lengths of 10, 25, 50, and 100 m If an entire layout were imizes for a buffer length closer to 50 m, a buffer length
composed of features at the minimum feature width and space of 25 m was chosen, because a 50- m buffer length would
for metal-1, then the pattern density across the chip should limit the minimum space that could be filled by metal-fill to
average around 50%. Thus for our case study, we select the over 100 m (which would limit the permissible change in
minimum pattern density criterion to be 50% since the majority pattern density) and the relative gain in the capacitance metric
of features in the layout in Fig. 6 are placed at minimum width numbers would be marginally small. Note that if a different
and space. minimum feature width and space is assumed, the numbers in
Table III shows the block width and space combinations Table III change, but the optimal buffer length is still in the
which achieve the 50% minimum pattern density criterion. 25–50 m range.
These values were extracted from Fig. 11 using a minimum Fig. 13 shows the simulated dielectric thickness between
feature width and space of 3 m as dictated by process metal-1 and metal-2 using the model in [14] for the layout
considerations at the time Fig. 6 was designed. For Table shown in Fig. 8. Fig. 13(a) and (b) shows the ILD thickness
III, larger choices of line space invariably lead to higher variation before metal-fill patterning and Fig. 13(c) and (d)
capacitance metric numbers; thus, the minimum values were shows the ILD thickness variation after optimized metal-fill
chosen (see Fig. 12). Although the capacitance metric min- patterning using a buffer length of 25 m, a block width
674 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

(a) (b)

(c)
Fig. 9. (a) Interconnect capacitance per unit length for two parallel lines 0.6 m thick. Note the relative independence of line width from capacitance.
(b) The effect of interconnect capacitance for two parallel lines versus spacing and metal thickness with the metal width fixed at 2.5 m: Note the
relatively good agreement (R2 > 0:99) between the simulated capacitance values and the model shown and discussed in Section V. (c) The simulation
structure used in the comparison shown in (a) and (b).

TABLE III
BUFFER LENGTH, BLOCK WIDTH, BLOCK SPACE VALUES WHICH MINIMIZE THE EFFECT OF THE ADDED METAL-FILL PATTERN
ON INTERCONNECT CAPACITANCE AND ACHIEVE A MINIMUM PATTERN DENSITY VALUE OF 50% (EXTRACTED FROM FIG. 11)

of 9 m, and a block space of 3 m The range in ILD thickness variation has been factored out of depth-of-focus
thickness variation has been reduced from 0.22 m to just error budgets).
under 0.04 m, a reduction of 82%. In addition to removing In this case study, two main assumptions were used: 1)
almost completely the impact of dielectric thickness variation the amount of overlap crosstalk (i.e., additional crosstalk
on circuit performance, substantial gains have been made introduced between metal-3 and metal-1 due to placing metal-
toward the manufacturability of this product (e.g., dielectric fill in metal-2) is relatively small, and 2) the optimal minimal
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 675

Fig. 10. The simulation structure used to evaluate the capacitance approxi-
mation in (5). For the comparison, all lines except b1 where grounded, and
the capacitance between b1 and every other metal region was simulated using
a three-dimensional (3-D) capacitance solver.
Fig. 12. Detailed view of the metal-fill design chart for a buffer length of
25 m: For the case study discussed in Section V, the optimal choice of 9 m
and 3 m for linewidth and linespace is highlighted. This value achieves
a minimum pattern density of 50% and minimizes any added capacitance
associated with the metal-fill. Linewidths and spaces below 3 m were not
considered manufacturable.

plate was placed on metal-1 and metal-3 while metal-2 was


filled with floating blocks. The structure was simulated using
FastCap [19] for different block widths and spaces. The results
of the simulation are shown in Fig. 15(b) as percent increase
in overlap capacitance versus the local density of the metal-
fill pattern. A 50% pattern leads to an increase in overlap
capacitance of 20%. This simulation, however, is a worst case
scenario and does not consider
1) the probability of having a large metal-1 and metal-3
overlap where there is very little metal-2 is relatively
small;
2) signal statistics;
3) overlap capacitance is a net-by-net occurrence;
4) and placing a large metal plate on metal-1 and metal-3
maximizes the overlap capacitance and tends to greatly
reduce the lateral sidewall capacitance due to shielding.
Fig. 11. Design charts of minimum pattern density constraints superimposed
A less aggressive metal-fill patterning practice can be used
on a relevant metric for evaluating the effect of a particular metal-fill design (e.g., block width m and block space m for
rule on interconnect capacitance. Design charts are shown for buffer lengths a minimal pattern density specification of 30%) to limit the
of 10, 25, 50, and 100 m: These charts allow one to contrast uniformity
constraints with capacitance requirements. In reality, many of the negative
increase in overlap capacitance. At these values, the percent
capacitance numbers shown are slightly larger due to neglected fringing change in lateral capacitance (approximately 15%) is offset
elements. by the increase in overlap capacitance, and the amount of ILD
thickness variation is reduced by 50% (as opposed to 84%).
pattern density criterion is roughly determined by the mini-
mum feature width and space (50% density). Fig. 14 shows VI. CONCLUSION
the estimated range of ILD thickness variation versus minimal In this paper, a methodology has been demonstrated for de-
pattern density. Clearly, 50% is close to the optimum value. veloping design rules for metal-fill patterning practices which
Fig. 15(a) shows the simulation structure used to gauge take into account dielectric thickness uniformity constraints as
the impact of the metal-fill patterning practice used in this well as the effect of metal-fill patterning on interconnect ca-
case study on overlap crosstalk. A m m metal pacitance. The methodology presented in this paper represents
676 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

(a) (b)

(c) (d)
Fig. 13. The simulated ILD thickness variation based on the model presented in [14] for the metal-1 layer of the layout shown in Fig. 7 for without metal-fill
[(a) and (b)] and for with metal-fill [(c) and (d)] with a buffer-length of 15 m, a block width of 9 m, and a block spacing of 3 m:

Fig. 14. The estimated ILD thickness variation versus minimal pattern density criterion. The optimal value is near 50% as expected.

an important tool for reducing dielectric thickness variation Several extensions of this work can be identified. Most
in CMP processes. The procedure outlined in this paper is of notably, the methodology presented in this paper can be
particular interest to the ASIC community where the number extended to shallow trench isolation processes and inlaid metal
of products manufactured and the variety of layouts and techniques such as copper damascene. Also, the methodology
designs encountered are both large necessitating an automated might also be adapted to spin-on-glass (SOG) or to other
procedure for mitigating dielectric thickness variation. novel dielectrics processes. Finally, novel metal-fill patterning
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 677

(a)

(b)
Fig. 15. (a) The simulation structures used to evaluate the worst-case impact of metal-fill on overlap capacitance. (b) There is a strong relationship between
the density of the fill pattern and the amount of increase in overlap capacitance.

procedures can be developed. Key novel procedures include


1) attaching all metal-fill patterns to ground gated through
transistors so that the amount of grounding or floating can
be modulated as a function of switching activity or circuit
cell function and 2) activity dependent metal-fill patterning
in which metal-fill is used aggressively near noncritical slow
transitioning circuit blocks while conservative patterning is
used near critical paths and rapid switching circuit blocks.
APPENDIX
Consider the illustrative drawing shown in Fig. 16. If we
assume a parallel plate capacitance formula similar to (4) holds
then the capacitance (per unit length) for the case shown in
Fig. 16 can be written as

Fig. 16. An illustrative diagram used in the calculation of the fringing


capacitance formula discussed in the Appendix.
(6)
where is a proportionality constant. In (6), we are also
assuming that For the examples considered in
678 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 3, MARCH 1998

this paper, this is a valid assumption. The capacitance per until [11] B. Stine, D. Ouma, R. Divecha, D. S. Boning, J. Chung, D. Hetherington,
length in (6) can then be integrated across the entire span of C. R. Harwood, O. S. Nakagawa, and S.-Y. Oh, “Rapid characterization
and modeling of pattern dependent variation in chemical-mechanical
from 0 to polishing,” IEEE Trans. Semiconduct. Manufact., vol. 11, pp. 129–140,
Feb. 1998.
[12] L. Camilletti, “Implementation of CMP-based design rules and pattern-
ing practices,” in Proc. IEEE/SEMI Adv. Semiconduct. Manufact. Conf.,
(7) Oct. 1995, pp. 2–4.
[13] B. Stine, D. Boning, J. Chung, L. Camilletti, E. Equi, S. Prasad, W. Loh,
and A. Kapoor, “The role of dummy fill patterning practices on intradie
ILD thickness variation in CMP processes,” in Proc. VLSI Multilevel
Interconnect Conf., June 1996, pp. 421–423.
Integrating (7), we obtain [14] B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D. Hetherington,
I. Ali, G. Shinn, J. Clark, O. S. Nakagawa, and S.-Y. Oh, “A closed-
form analytic model for ILD thickness variation in CMP processes,” in
Proc. CMP-MIC Conf., Santa Clara, CA, Feb. 1997, pp. 266–273.
(8) [15] E. Chang, B. Stine, T. Maung, R. Divecha, D. Boning, J.Chung, K.
Chang, G. Ray, D. Bradbury, O. S. Nakagawa, S. Oh, and D. Bartelink,
“Using a statistical metrology framework to identify systematic and
random sources of die- and wafer-level ILD thickness variation in CMP
processes,” in IEDM Tech. Dig., Dec. 1995, pp. 499–502.
for the fringing component, , shown in Fig. 16. For the [16] R. Divecha, B. Stine, E. Chang, D. Ouma, D. Boning, J. Chung, O.
coplanar capacitance, , the formula shown in (4) can be Nakagawa, S. Oh, S. Prasad, W. Loh, and A. Kapoor, “Assessing and
used. Summing , to account for fringing from both edges, characterizing inter- and intradie variation using a statistical metrol-
ogy framework: A CMP case study,” presented at 1st Int. Workshop
and gives the approximation of (5). Statistical Metrology, Honolulu, HI, June 1996.
[17] W. Maly, M. Patyra, A. Primatic, V. Raghavan, T. Storey, and A. Wolfe,
“Memory chip for 24-port global register file,” in Proc. IEEE Custom
ACKNOWLEDGMENT Integrated Circuit Conf., May 1991, pp. 15.5.1–15.5.4.
[18] Raphael User’s Manual, Technology Modeling Associates, Sunnyvale,
Several people have contributed to this work, including D. CA, ver. 3.2, 1995.
Maung, E. Chang, R. Divecha, D. Ouma, T. Park, and V. [19] K. Nabors and J. White, “FastCap: A multipole accelerated 3-D capac-
itance extraction program,” IEEE Trans. Computer-Aided Design, vol.
Mehrotra at Massachussetts Institute of Technology; D. Het- 10, pp. 1447–1459, Nov. 1991.
herington at Sandia National Laboratories; and D. Ciplickas at
PDF Solutions. The authors would also like to thank the staff
of Digital Equipment Corporations Fab-6 fabrication facility
in Hudson, MA, for providing fabrication and measurement
of data used here.

Brian E. Stine received the B.S. degree (summa cum laude) and the
REFERENCES M.S. degree in electrical engineering from the University of Pennsylvania,
Philadelphia, and the Ph.D. degree in electrical engineering and computer
[1] I. Ali, S. Roy, and G. Shinn, “Chemical mechanical polishing of
science from the Massachusetts Institute of Technology, Cambridge, in
interlayer dielectric: A review,” Solid State Technol., vol. 37, no. 10,
1997. His dissertation dealt with assessing and modeling spatial variation in
pp. 63–70, Oct. 1994.
[2] M. Fury, “Emerging developments in CMP for semiconductor planariza- semiconductor processes and with estimating the impact of spatial variation
tion,” Solid State Technol., vol. 38, no. 5, pp. 47–54, Apr. 1995. on circuit performance and product manufacturability. Most of this work was
[3] P. Burke, “Semi-empirical modeling of SiO2 chemical mechanical focused on chemical mechanical polishing (CMP) processes.
polishing planarization,” in VLSI Multilevel Interconnect Conf., June He is currently a Consultant with PDF Solutions, Inc., San Jose, CA.
1991, pp. 379–384. Dr. Stine is a member of Tau Beta Pi, Sigma Xi, and Eta Kappa Nu.
[4] S. Sivaram, H. Bath, R. Leggett, A. Maury, K. Monnig, and R. Tolles,
“Planarizing interlevel dielectrics by chemical-mechanical polishing,”
Solid State Technol., vol. 35, no. 5, May 1992.
[5] J. Pierce, P. Renteln, W. Burger, and S. Ahn, “Oxide-filled trench
isolation planarized using chemical/mechanical polishing,” in Proc.
3rd Int. Symp. ULSI Sci. Tech. Electrochem. Soc., 1991, vol 91-11, p.
650–656.
[6] A. Perera, J. Lui, Y. Ku, M. Arzak, B. Taylor, J. Hayden, M. Thompson,
and M. Blackwell, “Trench Isolation for 0.45-m active pitch and Duane S. Boning (S’83–M’89) received the B.S. degrees in electrical
below,” in IEDM Tech. Dig., Dec. 1995, pp. 679–682. engineering and in computer science in 1984, and the M.S. and Ph.D.
[7] A. Bryant, W. Hansch, and T. Mii, “Characteristics of CMOS device degrees in 1986 and 1991, respectively, all from Massachusetts Institute of
isolation for the ULSI age,” in IEDM Tech. Dig., Dec. 1994, pp. Technology (MIT), Cambridge.
671–674. From 1991 to 1993, he was a Member of the Technical Staff at the Texas
[8] C. Kaanta, S. Bombardier, W. Cote, W. Hill, G. Kerszykowski, H. Instruments Semiconductor Process and Device Center, Dallas, TX, where he
Landis, D. Poindexter, C. Pollard, G. Ross, J. Ryan, S. Wolff, and J. worked on process/device simulation tool integration, semiconductor process
Cronin, in VLSI Multilevel Interconnect Conf., June 1991, pp. 144–152. representation, and statistical modeling and optimization. Currently, he is
[9] D. Ouma, B. Stine, R. Divecha, D. Boning, J. Chung, I. Ali, and an Associate Professor in the Electrical Engineering and Computer Science
M. Islamraja, “Using variation decomposition analysis to determine Department at MIT. His research focuses on variation modeling and control
the effects of process on wafer and die-level uniformities in CMP,” in semiconductor processes, with special emphasis on chemical-mechanical
presented at 1st Int. Symp. Chemical Mechanical Planarization (CMP) polishing and plasma etch. Additional interests include tools and frameworks
in IC Device Manufact., 190th Electrochem. Soc. Meeting, San Antonio, for process and device design, network technology for distributed design and
TX, Oct. 1996. fabrication, and computer integrated manufacturing.
[10] M. Ichikawa, K. Inoue, K. Izumi, S. Sato, S. Mitarai, M. Kai, and K. Dr. Boning is an Associate Editor for the IEEE TRANSACTIONS ON
Watanabe, “Multilevel interconnect system for 0.35-m CMOS LSI’s SEMICONDUCTOR MANUFACTURING, and a member of Eta Kappa Nu, Tau
with metal dummy planarization process and thin tungsten wirings,” in Beta Pi, Sigma Xi, and the Association of Computing Machinery. He was an
VLSI Multilevel Interconnect Conf., June 1995, pp. 254–260. NSF Fellow from 1984 to 1989, and an Intel Graduate Fellow in 1990.
STINE et al.: PHYSICAL AND ELECTRICAL EFFECTS OF METAL-FILL PATTERNING PRACTICES 679

James E. Chung received the B.S. degree in electrical engineering from the William Loh, photograph and biography not available at the time of publi-
University of Illinois, Urbana, in 1984, and the M.S. and Ph.D. degrees in cation.
electrical engineering from the University of California, Berkeley, in 1988
and 1990, respectively.
He spent the fall of 1990 as a Visiting Researcher at the Motorola Advanced
Products Research and Development Laboratory, Austin, TX. From 1991 to
1994, he held the Analog Devices Career Development Chair. Since 1991, Sharad Prasad, photograph and biography not available at the time of
he has been a faculty member of the Massachussetts Institute of Technology, publication.
Cambridge, where he is currently an Associate Professor in the Department
of Electrical Engineering and Computer Science and a member of the MIT
Microsystems Technology Laboratories. His research interests are in the
areas of MOSFET device physics, VLSI technology and manufacturing, SOI
Moorthy Muthukrishnan, photograph and biography not available at the
materials and devices, and hot-electron and thin-dielectric reliability
time of publication.

Lawrence Camilletti, photograph and biography not available at the time of Daniel Towery, photograph and biography not available at the time of
publication. publication.

Frank Kruppa, photograph and biography not available at the time of Michael Berman, photograph and biography not available at the time of
publication. publication.

Edward R. Equi, photograph and biography not available at the time of Ashook Kapoor, photograph and biography not available at the time of
publication. publication.

You might also like