Professional Documents
Culture Documents
TLC PDF
TLC PDF
module TLC (
begin
if (reset == 1)
begin
end
else
case(state)
begin
end
else
begin
count <=4'b0000 ;
end
begin
end
else
begin
end
begin
end
else
begin
end
begin
end
else
begin
end
begin
end
else
begin
end
begin
end
else
begin
end
end
always @(*)
begin
case(state)
endcase
end
endmodule
TESTBENCH
module testbench;
initial
begin
//Dump waves
$dumpfile("dump.vcd");
$dumpvars(1,testbench);
clk=1'b1;
forever #5 clk=~clk;
end
initial
begin
reset=1'b1;
#15;
reset=1'b0;
#1000;
$finish;
end
endmodule
SIMULATION RESULT