Download as pdf or txt
Download as pdf or txt
You are on page 1of 105

TECHNOLOGY OF PRINTED WIRING BOARD MANUFACTURE

1. INTRODUCTION

Paul Esler (figure1.1] of Germany invented printed wiring board in the year 1943. Even after
several decades, his inventions are still very much valid, although advances in materials and
processes

FIG. 1.1: Paul Elser - Inventor of Printed Wiring Board

have helped to significantly improve the quality of the printed wiring boards manufactured at
present. The invention consisted the use of rectangular sections of thin copper supported on a
dielectric substrate as a replacement for discrete wiring using round insulated copper wires, and
using printing technology to produce rectangular copper sections. He believed that this concept
would be useful to build compact transformers, antennae, and electronics circuitry. This
apparently simple looking concept, since then, has revolutionized electronics packaging at the
board level.

The printed wiring board is the backbone of an electronics product. Currently, the World's wiring
board annual business is $ 45,250 million, A growth rate of about 11% per year is projected. The
PWB manufacturing companies can be competitive only if they produce the boards in very large
volumes. Leading manufacturers around the world produce as much as 250,000 square meters
or more per year; while even a small international player has nothing less than 50,000 square
meters a year capacity. The technology has matured to support this kind of volume production.
As a result of this, the PWB industry is very well streamlined with standardization in materials,
process technologies, and has its own formats to receive and interpret design data.

Two different specialist groups are involved in the PWB design and manufacturing activity. Very
often than not, the electrical design engineer, in his enthusiasm to realize the desired electrical
function in the minimum possible real estate (or even otherwise) tends to make decisions which
will make his design not easily manufacturable, or very expensive to produce, or in a way that
drastically decreases the manufacturing yield. Frequently, the electrical design engineer and the
manufacturer end-up spending significant amount of productive time in discussing the
consequences of such decisions, and fine tuning (sometimes completely re-designing) it before
prototyping or manufacturing is attempted. Sometimes, the engineers depend on another group
of specialists who are able to provide the interface with the manufacturing group, by auditing the
design. Several manufacturers have added front-end design office, which will receive and audit
the designs for error free manufacturing. None of these approaches has worked satisfactorily,
particularly as the design complexities as well as technology options increased significantly in the
recent period. This is because, several design decisions, which are taken at the early stages,
without the knowledge of manufacturing, severely affect the cost/ performance/manufacturability
of the board. In other words, the described approaches have not succeeded in bringing synergy
between design and manufacture. This communication gap stands as a big bottleneck in bringing
a new product into the market that is characterized by continuously decreasing lead times and
product life cycles.

This has given raise to an approach called as “design for manufacturability” in which the designer
acquires familiarity with the materials used, standards adopted in the PWB industry, prepares a
matching design from the beginning, and documents his design in a format acceptable to the
specific manufacturing industry. This, by and large, is the best method of achieving fast turn
around cycle. To make this happen, it is essential for the electronic design engineer to have an
understanding of all issues of PWB manufacturing, which will impact the design.

With this objective, a description of producing medium dense double sided plated through hole
printed wiring boards is presented in this module, and is mainly addressed to the electronic
engineer. Particular emphasis is given to the choice of materials, critical parameters limiting the
manufacturability, and the standard methods of presenting the design data.

The printed wiring boards are identified using several criteria. One criterion, similar to IC
integration levels, is the line width. Another criterion is the type of substrate used, and yet
another is the method of manufacturing. The PWB has evolved through all these interrelated
categories, shown in the figure1.2.
Line Width Manufacturing Substrate

500 u 1985 Single Sided board Rigid board


250 u 85-90 Double Sided board Flexible board
150 u 95-2000 Multi layer board Rigid-flex board
100 u 2000-2005 Micro via high-density board 3-D board
75 u 2003-2006
50 u 2005-2008
30 u 2008-2010

FIG.1.2: Categories of Printed Wiring Boards

It is necessary for the electronics designer to understand the relationship amongst various criteria
mentioned in the context of evolving PWB design. The reduction in the line width requirement has
happened due to more frequently use of higher I/O packages by the designer. To meet this
equirement new substrates which accommodate the consequences of finer line widths, have to
be used. Finally the process technologies for achieving fines lines has resulted in different routes
for fabrication. Fortunately, the essential principles of making a PWB have remained more or
less the same for all types of boards.

Keeping the above descriptions in mind, the wiring boards can be identified in their increased
degree of complexity as single sided boards, double sided boards, and multilayer boards. The
number of process steps involved in realizing these SS, DS, and MLB boards provides an idea of
complexity involved.

Single Sided Board


1. Laminate Shearing
2. Screen Printing
3. Etching
4. Roller Tinning
5. Drilling
Double Sided Board
1.Laminate Shearing
2. Drilling
3. Plating through Holes
4. Dry film Imaging
5. Copper and Tin Plating
6. Etching
7.Stripping
8. Solder fusing
Multilayer Board
1. Core Selection
2. Print and Etch on both sides
3. Pressing with Prepreg and Cu-foil
4. Drilling
5. Plate through holes
6. Dry film Imaging
7. Copper and Tin Plating
8.Etching
9. Stripping
10. Solder Fusing

Board manufacturing starts with the selection of the substrate, and preparing it for subsequent
processing. For ease of understanding, rigid substrates are considered, as the principles of
operations essentially remain the same for all kinds of substrates.
2. SUBSTRATES

2.1 INTRODUCTION

The board substrate is a platform on which electronic components like ICs, transistors, resistors,
and capacitors etc. are electrically interconnected to obtain a desired electrical function. It has a
sandwich structure having copper foil at the top and bottom bonded to an insulating material. The
desired interconnection pattern, which looks like fine lines of copper track on a insulating board, is
produced by selectively removing the copper from the conducting plane through chemical etching
as shown in figure 2.1

FIG. 2.1: Etched Printed wiring board

The above description of the laminate would mean that it is used just as a "chassis" to support
the components as well as the tracks. When the frequency of operation of the circuit is not very
high (less than 50 MHz) or the operating currents are above few microamperes, the laminate may
be merely considered as a passive support. When the frequencies start increasing, especially at
the current day values of few hundred MHz, the laminate can no longer be treated as passive.
The dielectric properties of the laminate significantly influence the signal transmission between
integrated circuits. The electronic designer, therefore, needs to understand these influences and
design his boards accordingly. In addition, as the circuit densities increased, and working
environment became harsher, the laminate start to take more "active part" in the circuit's cost-
performance. The designers had to look into this new "dynamic role" of the laminate not only with
respect its role in electrical performance, but also in its thermal performance, reliability, costing,
and manufacturing of the design being proposed. It has become imperative to know the
properties and availability of substrates so that intelligent choices can be made at all stages of
design.

The engineering requirements of laminates may be classified into the following categories.

 Mechanically strong to support components


 Dissipate heat

 Drill and punch through

 Withstand heat and process chemicals

 Low thermal expansion

 Low dielectric constant

 Ability of dielectric material to receive plating

 Not absorb excessive moisture

An ideal laminate would be the one that has desirable properties under all these categories.
Unfortunately no such material yet exists in practice. Assuming that such an ideal laminate can
be created, it will perhaps be so uneconomical to be used in every kind of electronic product
application. An engineer will, therefore, have to consciously make decisions on the desired
properties of the laminate, which just meet the performance specifications of his product, and
reliability at the lowest possible cost. This requires intelligent compromises. It is the responsibility
of the engineer to specify the grade or type of laminate to support his circuit, which will also
decide the manufacturing practices, needed to use the laminates. The type of the laminate and
the quality of interconnections in general produced on them played a profound role on the cost as
well as electrical, thermal, mechanical and reliable performance of the circuit.

Hence, the electrical circuit designer should have a good knowledge of laminates, which will help
him in making the right choice with respect to his product/design. The starting point for the
electrical design engineer is the data sheet provided by the laminate manufacturing companies.
This would enable him to know what is available. The Manufacturer's data sheet, shown in figure
2.2, describes a set of properties of the laminate in detail and is identified by a grade designation.
FIG. 2.2: Manufacturers data sheet

Unless the designer knows what these properties are, and their relevance to his design, he will
not be able to make any decisions. To appreciate this, the designer should acquire some basic
knowledge of the anatomy, and the influence of this anatomy on the properties. Let us first
consider the anatomy. This chapter explores the laminates from the point of view of electronics
designer.

2.2 ANATOMY OF LAMIATES

Laminate has a sandwich structure, as described earlier, with copper foil at the top and bottom
(conducting plane) bonded to an insulating material. The anatomy of a laminate is shown in the
figure 2.3.

Dielectric layer
Copper

FIG. 2.3: Anatomy of a laminate


2.2.1 Copper Foil

Copper is obviously the well-known best performer giving maximum conductivity for the lowest
cost. The relative conductivity of some of the metals with the arbitrary scale assuming
conductivity of gold as 100% is given in the Table 2.1. There are occasions when a metal like
Nickel could become a choice, if instead of soldered joints, welded joints are recommended for
higher degree of reliability, as required sometimes in electronics assembly for space applications.
Similarly, Gold and Silver in the paste form are used to print tracks in thick film hybrid micro-
electronic circuits. However, Copper is still the most widely used conducting material.

Table 2.1: Relative conductivity of some metals

Metal Relative
Conductivity
Gold 100
Silver 101
Copper 98
Aluminum 60
Nickel 27
Iron 17
Lead 15

Copper foil is used in the thickness ranging from 10 microns to 100 microns. The copper
thickness is sometimes expressed through a number indicative of the weight uniformly spread on
a fixed area - example - Ounce/Square foot of the laminate area. Some of the commercially used
foil thicknesses are given in the Table 2.2

Table 2.2 - Foil thickness

One Ounce = 28.83 grams


Weight in Oz/Sq.ft. Foil thickness in microns
(approximate)
1 35
0.5 18
0.25 10
0.125 5
2 70
3 100

In this nomenclature one-ounce laminate would mean a laminate in which 28.33 grams (i.e. one
ounce) of copper is spread uniformly on every square foot area of the laminate. When converted
to thickness and expressed in metric system, it would be 35-microns thick foil. Like wise 70
micron (2 Oz) 18 micron (1/2 Oz) and 10 micron (1/4 Oz) and occasionally 5 micron (1/8 Oz) foils
are used. The exact choice of thickness would depend on the electrical requirements of the
design. For example power lines are normally carved out of 70 microns foil-laminate and signal
lines with 18 microns or still less. If the design requires less than 10 microns thick copper, special
board-manufacturing routes need to be used.

There are other issues, in addition to copper thickness, which play roles in determining the board
cost-quality. This will become apparent, as one understands how to make such thin foils? Such
thin metal foils are difficult to make and handle. Standard method for making foils is through a
technique known as rolling. Copper is a malleable metal. It can be converted into thin foils by
repeated rolling, reducing thickness in stages. The starting copper, which is 25 to 50 mm in
thickness, is reduced to 0.10 mm or less. However, there is a limit to repeated rolling. Thickness
to which a metal can be rolled depends on the ductility of metal, and also on the width of the
desired foil. Wider the foil, more difficult it is to produce through rolling. Rolling has some
disadvantages, which include

 Tediousness of the process

 Grain distortion due to cold working

 Difficulties in rolling large widths

 Smooth surfaces on both sides

 Uneconomical below 50 microns

The key issue, however, is the bonding strength between the foil and the insulator. The foils are
bonded to the insulator-using adhesive. It is known that smooth surfaces do not adhere well. In
case of rolled foils they have smooth roller-finish on both sides; the degree of smoothness
decided by the finish on the roller used. However, the foil, after rolling, can be treated with certain
oxidizing chemicals to induce roughness. Such a treatment is called as bond enhancement
treatment. The oxidized copper surface would appear brown or black depending on the degree of
oxidation. In spite of such oxidizing treatment, the bond strengths may not be very satisfactory for
fine line applications. This fact coupled with difficulty to roll wider foils, render the rolling process
unattractive.

Foils produced through electro-deposition alleviate the above disadvantages. The technique of
producing metal foils through electro-deposition is known by the name 'electroforming'. In
electroforming copper is electro-deposited onto a mandrel, usually a rotating drum made of
stainless steel. The deposited copper metal is made to adhere to the mandrel surface only
loosely, for which the mandrel surface is specifically prepared. After the required amount of
copper is loosely electro-deposited [i.e. the required thickness of say 35 microns], the deposit is
gently stripped from the mandrel surface, dried, and used as foil material. The process is shown
schematically in the figure 2.4.

FIG.2.4: Copper foil preparation through electroforming

Through this technique, thin foils of the order of 5 microns can also be made. The advantage of
this method is the differential surface finish, which is possible. The mandrel side of the deposit
replicates the surface finish present on the mandrel itself, which is normally a smoothly polished
drum surface. However, the anode or solution side of the foil can receive a surface finish, which is
controllable, by adjusting the solution, and some parameters associated with deposition. For
example, in building a 35-micron thick copper foil, the first 30 microns of copper is deposited
smooth, and the last 5 microns is grown rough. The rough surface resembles that of a coral, and
therefore sometimes called as coral copper, as shown in figure 2.5.

FIG. 2.5 - Coral Copper - SEM Photographs and DS Treated foils


(As viewed under 1.1000 x magnifications)

By using a mandrel, which is treated to have roughness, it is possible to obtain a double -side
treated foil. The degree of roughness is known as the "profile of the copper" surface. It is
qualitatively recognized as standard profile, low profile, or very low profile for a given application.
This coral surface can be further treated chemically to brown or black oxide, to further enhance
the roughness at the micro-level. Copper is an active metal and gets tarnished quite fast.
Therefore, an additional chemical treatment for preventing the tarnishing of copper is also given
over and above the brown/black oxide.

In addition to differential surface finish on two sides of the foil, electroformed copper foil provides
other advantages. The electro-deposit is very pure [99.98%] and therefore highly conductive.
There is no limit on the width of the foil, which is to be formed. The copper electro-deposition
results in columnar grain structure, shown in the figure 2.6, which is ideally suited for obtaining
straight cuts during etching, the etchant attacking along the metallurgical grain boundary.

FIG. 2.6: Columnar structure of copper

The disadvantage of electro-deposited copper foil, however, is that it may be porous particularly
at lower thickness. Generally, the ductility of electro-deposited copper metal is lower than a
wrought metal. Annealing improves ductility.

IPC is an international society, and it designates and stipulates standards on materials, and
processes employed in electronics packaging. For identification of the type of foil used in a
laminate the following IPC designation is useful.

IPC Foil designations:

1. Metal: Copper [Cu] Nickel [Ni] Others[XX]

2. Type: Electroformed [E] Wrought [W] Others [O]

3. Grade:
Standard Electro-deposited STD-Type E

High ductility Electro-deposited HD-Type E

Electro-deposited and annealed Type E-ANN

As Rolled-Wrought AR-Type W

Light-cold rolled-Wrought LCR-Type W


Annealed-Wrought ANN-Type W

Low temperature annealed-Wrought TTA-Type W

4. Foil Thickness
5  E[1/8]

9  Q[3/8]

12 T[3/8

18  H[1/2]

25  M[3/4]

35  1

70  2

100  3

5. Bonding Treatment

No Treatment/No Stain Proofing N

No Treatment-but Stain proofing P

SS Treatment with Stain Proofing S

DS Treatment with stain proofing D

6. Roughness Profile

Standard roughness S

Extra roughness EX

7. Class Application: 1, 2, 3, and 4

Cu/E/ANN/Type E/2/S/S/2 would mean Copper/Electro-deposited/annealed foil with 70 micron


thickness treated for strain proofing, having standard roughness profile and that can be used for
Class 2 application

Foils of thickness 10 microns and below are difficult to handle, and therefore come supported on
25 microns thin aluminum foils. The aluminum support is stripped and discarded at the time of
use.

2.2.2 Insulators

The world of insulators is very extensive. One can group all insulating materials in to two classes,
namely:
 Organic polymer based insulating materials

 Inorganic insulating materials

The printed wiring board industry uses both classes of insulators. However, the organic base
insulating materials are abundantly used as they are less expensive, easy to process, and come
in a variety of grades with different properties. Inorganic insulating materials are used in
assemblies requiring perfect co-planarity, and thermal expansion matches.

Organic polymers as Insulators: Polymers are macromolecules obtained by reaction of two or


more smaller molecules. The cross-linking process between identical molecules is known as
polymerization. In some cases cross-linking will result in a product which is strong and rigid. If
heat is applied to such a polymer, it will not become soft, but instead deteriorate in its properties
and ultimately char. Such polymers are called as 'thermosetting polymers' meaning that they
cannot be reshaped. Polymerization can also lead to a product, which can be repeatedly molded
to the desired shape by applying heat. They are called as “thermoforming polymers”. For printed
wiring board application thermosetting polymers are more extensively used because they do not
loose their shape by application of small amount of heat. Nevertheless thermoforming polymers
which have high softening point are also used because they can be flexible.

Polymers are identified by their generic name depending on the family to which they belong.
Hylam is the generic name to all polymerized products of phenol and formaldehyde or their
derivatives. Epoxy is the generic name given to a range of polymers obtained by cross-linking
smaller organic molecules like epichlorohydrin and bisphenol or their derivatives. Likewise
several generic polymers can be identified. Some of them are listed in the following.

1. Hylam

2. Epoxy

3. Epoxy-Novalac

4. Polyimide

5. Polytetra fluoroethylene

6. Cyanoester

7. Poly-etheramide

8. Poly-phelyeneoxide

Inorganic Insulating Polymers: Like organic molecules, inorganic molecules can also be cross-
linked to give inorganic macromolecules. Typical example of such inorganic polymers is ceramic.
Ceramics are quite rigid and strong. However, they do not have good machining and wet
processing capabilities necessary for producing a printed wiring board. They are to be processed
by thick/thin film techniques, and such class of circuitry is known as hybrid microcircuits.

Polymers and mechanical strength: Organic polymers by themselves will not be mechanically
very strong. Heating, as it happens while soldering, will make the polymer loose its rigidity.
Rigidity of the polymer can be enhanced by reinforcement. Loading some strong inert material,
called as fillers, into the polymer matrix provides reinforcement. Some of the fillers used to
reinforce organic polymers are:

 Kraft Paper/Cloth

 Random Glass Fibers

 Woven Glass Cloth

 Armid Fiber/Armid Cloth

 Graphite Fiber/Graphite Cloth

 Metal Cores - Molybdenum/Invar

By loading different types of fillers in different proportions in polymer matrix, several grades of
laminates become available. A classification based on such combinations is therefore, possible.
Very commonly, Kraft paper/cloth is used as filler material in phenol-formaldehyde [Hylam]
laminates. For epoxy laminates the most popular filler is glass cloth and /or fibers.

2.4 PROPERTIES OF LAMINATES

The properties of a laminate, relevant to its use in making a printed wiring board, can be grossly
classified into Physical, Chemical, Thermal and Electrical properties

2.4.1 Physical Properties

The physical properties include

 Peel strength

 Bow and twist

 Flexural strength

Peel strength: Peel strength is an important physical property of the laminate, which qualifies it
for reliable assembly and repairs in particular. It is indicative of the adhesion strength of the foil to
the insulator. It is measured by applying an uniformly increasing load onto the edge of the copper
foil slightly lifted from the insulator. On an average eight pounds per square inch is the minimum
acceptable value for a laminate as received at the shop floor. However, adhesion depends on the
surface area, and copper foil thickness. It is tested using a test pattern as indicated in figure 2.7,
and it will change after thermal shock(s), and etching,

FIG.2.6: Test pattern for

peel strength

FIG. 2.7: Test pattern for peel strength and peel strength testing machine

Variation of peel strength:

As received 8/lb/sq.in

After solder float 8 lb/sq.in

After etching 6 lb/sq.in

After burn-in 5 lb/Sq.in

Bow and twist: The surface of the laminate should be perfectly flat without which “low-standoff
surface mount packages” cannot be mounted. When copper foil is bonded to the polymer under
higher temperature and cooled, due to differences in the thermal expansion properties, the
laminate may become twisted or may be like a bow. Bow or twist induces strain on solder joints,
as illustrated in the figure 2.8. This defect is acceptable within certain limits, but for assemblies
involving surface mount devices, which require high degree of planarity, the margins on the limits
are very low.

FIG. 2.8: Bow and twist in a laminate

Flexural strength: Flexural strength refers to the mechanical strength in X-Y directions of the
laminate, or it represents its ability not to flex under mechanical load. A typical value is 60 lb/sq.in.
Laminates with lower flexural will not be able to take the weight of the components, particularly
when the board sizes are large. The principle of measuring flexural strength can be explained
with simple experiment indicated in the figure 2.9.

FIG.2.8: Flexural strength

Fig. 2.9 Flexural strength

Substrate imperfection: Imperfect surface is a manufacturing defect. Physical imperfections


such as resin starvation, existence of voids, inclusion of foreign material, and deviation from the
specified thickness are some of the possible defects. The overall thickness of the laminate is
quite important because it has to perfectly match the dimensions of the edge-connector used.
The default thickness of a DS laminate is 1.60 mm.

2.4.2 Chemical properties of prime interest are etchability, solderability, moisture absorption and
flammability

Etchability: In printed wiring board manufacture, etching means removing copper in select areas
using some chemicals. The process of etching can be visualized as accelerated corrosion.
Corrosion occurs along the metallographic grain boundaries of copper. Therefore, during etching
the sidewall definition depends on the metallurgical structure of copper. The electro-deposited
copper (used in producing laminates) has columnar structure. The chemical attacks along the
grain columns of columnar structured copper and yield straight cuts. However, for cold worked
copper foils [rolled copper foils] the grains are randomly oriented as shown pictorially in figure
2.10. Etching such work hardened copper results in zigzag cutting making fine line etching
impossible.

FIG. 2.10: Random grain orientation


Solderability: Solderability of a laminate is concerned with copper layer on the surface of the
laminate. Oxidized and/or tarnished surfaces do not solder. Only active surface of copper is
solderable. Clean copper surface is soldered in less than 2 seconds when correct flux and
methodology is used. The IPC-S-804 test method describes how to qualify the laminate surface
for solderability The concept of solderability is depicted in figure 2.11.

30 degree or less
30 degree or less

FIG. 2.11: Solderability

Flammability: Flammability describes the ability of the resin in the laminate to catch fire and
burn. For PWB application the flammability should be low. Even if it catches fire, it should be self
extinguishing. Modifying the monomers brings self-extinguishing property. For example the
laminate can be made self-extinguishing by brominating the bisphenol portion in the epoxy resin.
Flammability is expressed, as the time required in seconds to burn the laminate in open fire. The
FR-4 grade laminate is flame retardant grade. In the conventional testing of fire retardancy of
laminate, smaples are ignited by a flame and the time for the sample to extinguish after removal
of the flame is measured. While these tests indicate the performance of the of the material once
they have ignited, they do not directly give information on the likelihood of initial ignition of the
printed circuit board where heat is generated from a faulty component. A more realistic test is by
Underwriters laboratory [UL94 V-0] in which a hot Nichrome wire is used to ignite. The wire is
warped around the laminate and a current is passed through the wire yielding a linear power
density of 6.5 watts/inch at the equilibrium temperature. Once the sample ignites, the heating is
stopped and time taken to ignite is noted. This method is more realistic and close to real time
situation.The flammability concept is explained in the figure 2.12.

FIG. 2.12: Flammability


Moisture absorption: Moisture absorption is quite a significant property, as the laminate passes
through a series of wet process steps, at higher temperatures. The epoxy resin being organic in
nature has a natural tendency of bonding with water molecules pictorially shown in figure 2.13.
Retention of water on the surface reduces the surface insulation resistance, while penetration of
water into the bulk of the laminate reduces bulk resistance. It is measured in percentage water
retention after immersing the laminate in hot water for a known length of time.

Moisture absorption
H2O H2O H2O
H2O H2O H2O H2O H2O

FIG. 2.13: Moisture absorption

2.4.3 Thermal properties

Thermal properties of prime interest are

 Glass transition temperature (Tg)

 Coefficient of thermal expansion (CTE)

Glass Transition temperature: The glass transition temperature (Tg) of the laminate is the
temperature at which the laminate just commences to soften. It is indicative of how the laminate
would behave under temperature cycling, and soldering conditions. For example, Tg is about
o 0
130 C for FR4 grade laminate. It means that above 130 C the FR-4 grade laminate will start
loosing its mechanical strength and dimensional tolerance. This is a critical property as the
board passes through a series of heat shocks during manufacturing.

Coefficient of thermal expansion [CTE]: All materials change their dimensions when heated.
Laminate will change its dimensions in the X/Y plane as well as in the Z plane when heated. The
expansion in the X/Y plane is generally less because of the direction of glass cloth reinforcement.
In the Z plane the laminate tends to expand more. This is not desirable, particularly when the
boards have plated through holes along the Z direction. Therefore, the expansion coefficient in
the Z direction should be low. CTE assumes great importance when deciding the type of
packages to be mounted on the laminate. There must be a good match between the CTE of the
components and the substrate; other wise the solder joints will develop fatigue and yield on
thermal cycling. Expansion coefficient of some resins is given in figure 2.14.
Material x-y axis z axis
Epoxy-glass 15-18 45-60
Polyimide-glass 15-18 45-60
Epoxy-armid 6-8 95-110

FIG. 2.14: Coefficient of thermal expansion

2.4.4 Electrical properties

Electrical properties include insulation resistance, surface resistivity, volume resistivity, dielectric
constant, arc resistance, and loss tangent. The resistance value for a given material depends on
a number of factors. It varies inversely with temperature, and is affected by humidity, moisture
content of the test part, level of the applied voltage, and time during which the voltage is applied.

Insulation resistance: When several conducting copper tracks are made on the laminate, the
gap between the conducting tracks should be sufficiently large in order to provide necessary
insulation between the adjacent tracks. The conductors are laid side by side and also in different
planes in case of multilayer board construction. Therefore, the surface resistance as well as
volume resistance are of importance.

Surface Resistance: Surface resistance is the resistance between two opposite edges of a
conducting film one-cm square area. Test pattern to measure surface resistivity is shown in figure
2.15. Since the length and width of the path are the same, the centimeter terms cancel. Thus, unit
of surface resistivity is ohms. However, to avoid confusion with usual resistance expressed in
ohm values, surface resistivity is expressed in ohms per square area.

FIG. 2.15: Surface resistivity-Test pattern

Volume Resistivity: Volume resistivity is the electrical resistance between opposite faces of a
unit cube for a given material at a given temperature. The test pattern for measuring volume
resistivity is shown in figure 2.16. The relationship between resistance and resistivity is expressed
by the equation  = RA/l, where  = volume resistivity in ohm-centimeters, A = area of the faces,
and l = distance between faces of the piece on which measurement is made. This is not
resistance per unit volume, which would be ohms per cubic centimeter

FIG.2.16: Volume resistivity-Test pattern

Dielectric Constant: The dielectric constant of a material is the ratio of the capacitance of a
capacitor containing that particular material to the capacitance of the same electrode system with
air replacing as the dielectric medium. The dielectric constant is also understood as the property
of an insulation, which indicates the electrostatic energy, stored within the solid material. The
dielectric constant of insulating materials used in printed wiring board vary from 2 to 10; air having
the value 1. Lower values of dielectric constant are best suited for high frequency or high power
applications, to minimize electric power losses. Higher values of dielectric constant are best
suited for capacitance applications. Generally, dielectric constant increases with temperature,
especially above a critical temperature region, which is unique for each material. Dielectric
constant values are also affected to a lesser degree, by frequency.

Dielectric Strength: All insulating materials fail at some level of applied voltage before they
breakdown. Dielectric strength is a measure of this property. It is expressed as a gradient in
voltage across a thickness, required to cause the failure, such as volts per mil. In testing dielectric
strength, two methods of applying the voltage (gradual or by steps) are used. Type of voltage,
temperature and any preconditioning of the test part must be noted. Also, thickness of the piece
being tested must be recorded because the voltage per mil at which breakdown occurs varies
with thickness of test piece. Normally, breakdown occurs at a much higher volt-per-mil value in
very thin test pieces than in thicker sections

Arc Resistance: Arc resistance is a measure of electric breakdown condition along an insulating
surface, caused by the formation of a conductive path on the surface. It is a common ASTM
measurement, especially used with plastic materials because of the variations among plastics in
the extent to which a surface breakdown occurs. Arc resistance is measured as the time in
seconds, required for breakdown along the surface of the material being measured. Surface
breakdown, arcing or electrical tracking along the surface, is also affected by surface cleanliness
and dryness. Higher values indicate greater resistance to breakdown along the surface due to
arcing or tracking conditions

Dissipation factor (Loss tangent): Dissipation factor is equivalent of power factor, and the
terms are used interchangeably. Power factor is a measure of the relative dielectric loss in the
insulation material when the system acts as capacitor. Power factor is non-dimensional entity
used as a measure of insulation quality. Lower values of dissipation factor means lower power
losses. However, it has significant impact when the operating frequency is high in cases such as
microwave equipment, transformers, and other inductive loads.

2.5 COMMERCIALLY AVAILABLE LAMINATES

2.5.1 Identification of Laminates

The polymer type, filler material, and the type of construction identify laminates. The National
Engineers Manufacturers Association [NEMA] has designated the combinations of resin and
filler materials for easy identification. The Table 2.3 describes the relation between grade
designation, anatomy, and properties of different laminate materials.

Table 2.3: NEMA description of the laminates

Nema Grade Filler Resin Base Comments


XX PAPER-PHENOLIC Good machining, punching and threading qualities-.Not
as strong mechanically as grade X rolled tubes but
better in moisture resistance.
XXP PAPER-PHENOLIC Better than grade xx in electrical and moisture resisting
properties and more suitable for hot punching.
Intermediate between grades xp and xx in punching and
cold-flow characteristics.
XXX PAPER-PHENOLIC Suitable for radio frequency work and for high-humidity
applications. Have minimum cold-flow characteristics.
XXXP PAPER-PHENOLIC Better electrical properties than grade xxx and more
suitable for hot punching. Intermediate between grades
xxp and xx in punching characteristics This grade is
recommended for applications requiring high insulation
resistance and low dielectric losses under severe
humidity conditions.
XXXPC PAPER-PHENOLIC Similar in electrical properties to grade xxxp and suitable
for punching at lower temperatures than grade xxxp.
With good punching practice, This grade is
recommended for applications requiring high insulation
resistance and low dielectric losses under severe
humidity conditions.
G-3 GLASS-PHENOLIC High impact and flexural strength, bonding strength is
the poorest of the glass-base grades. Good electrical
properties under dry conditions. Dielectric strength
perpendicular to lamination plane is good. . Good
dimensional stability.
G-10 GLASS-EPOXY Extremely high mechanical strength (flexural, impact
and bonding) at room temperature. Low dielectric loss
and good electric strength properties under both dry
and humid conditions. Insulation resistance under high
humidity is better than G-7
G-11 GLASS-EPOXY Properties similar to those of grade G-10 at room
(HEAT RESISTANT) temperature and, in addition, the material retains at least
50 percent of its room temperature standard flexural
o o
strength when measured at 150 C after 1 hour at 150
C. insulation resistance is similar to grade G-10.
FR-1 PAPER-PHENOLIC Paper-base laminate with a phenolic resin binder, similar
(FLAME RESISTANT) in all properties to grade xp but so formulated as to have
a flame resistance of at least class 1.
FR-2 PAPER-PHENOLIC Paper-base laminate with a phenolic resin binder, similar
(FLAME RESISTANT) in all properties to grade xxxpc but so formulated as to
have a flame resistance of at least class 1.
FR-3 PAPER-EPOXY Paper-base laminates with epoxy resin binder. has
(FLAME RESISTANT) higher flexural strength than grade xxxpc and is
formulated as to have a flame resistance of at least
class 1. Has low dielectric loss properties with good
stability of electrical properties under conditions of high
humidity. with good punching practice
FR-4 GLASS-EPOXY Continuous-filament glass cloth with an epoxy resin
(FLAME RESISTANT) binder. Similar in all properties to grade G-10 but
formulated to have a flame resistance of at least class 1.
FR-5 GLASS-EPOXY Continuous-filament glass cloth with an epoxy resin
(FLAME RESISTANT) binder. Similar in all properties to grade G-11 but
formulated to have a flame resistance of at least Class
1.
FR-6 GLASS-POLYESTER Random-laid glass-fiber reinforcement with polyester
(W ITH FILLERS) resin and suitable fillers. intended for use as a flame-
resistant printed circuit board.
GPO-1, GLASS-MAT Track resistant laminates
GPO-2, POLYESTER
GPO-3 (W ITH FILLERS)
GT, GX POLYTETRAFLUORO Low electrical loss sheets
- ETHYLENE
CEM 1 COMPOSITE-EPOXY Laminate with continuous-filament glass cloth surface
CEM 2 and a cellulose paper core, all with a flame-resistant
epoxy resin binder, with good punching practice.
CEM-3 CEM-4 COMPOSITE-EPOXY Laminate with continuous-filament glass cloth surfaces
and a non-woven glass core, all with a flame-resistant
epoxy resin binder.
CRM-5, CRM-6 COMPOSITE- A sandwich of random glass mat core and glass fabric
POLYESTER surfaces, using polyester resin binder. CEM-5 is flame
resistant.
PI GLASS-POLYIMIDE A high thermal stability laminate also having low z-axis
thermal expansion. glass-triazine also fits this category,
but has no industry or government standard
specification.

Due to the nature and limitations of each type of laminate, certain materials are more appropriate
to a particular usage. Grades XXXPC and FR 2 is paper based phenolic resin products. GPO is a
polyester resin based laminate with random glass mat as the filler. CEM-1 is an epoxy-based
composite with glass covers and paper core. These products are low in cost, punchable, and are
appropriate for non-critical uses. CEM-3, G -10, and FR-4 are glass fabric reinforced and epoxy
based products; CEM-3 has a glass fiber core. Processibility, strength, and superior electrical
characteristics make these grades widely usable in diverse applications.

G-10 and FR-4 are also used in making thin laminates, and for prepreg forms used for multilayer
boards. Type PI is polyimide-glass material, applicable for high temperature, high performance,
high cost products involving two-sided or multilayer boards. GT and GX are Teflon based glass
fabric laminates, used for high frequency circuitry such as microwave communication. About
80% of the PWBs use FR-4 grade glass epoxy laminates. Some of the more popular
laminates are described in the following.
o
2.5.2: FR4 Grade Laminate: Multifunctional FR 4 epoxy resin systems with 130 C Tg and E
glass reinforcement are industries standard laminate currently. The dielectric constant is about
4.3 and the propagation delay is 178 Pico seconds per inch. The TCE in Z-axis expansion is 190
o -6
in/in/C x 10 . Most of the electroless plating and desmear chemistries available today suit this
particular grade. Many process houses have optimized on this grade.

However, escalating requirements for electronic circuit performance and constantly undergoing
change PWB technology is dictating changes in laminate properties. The key characteristics of
the base material, dictated by the changing technological scenario, in comparison with FR-4
grade laminate are listed in the following.

 High Temperature Stability

 Lower dielectric constant

 Ability to dissipate heat

 Opaque to UV light

 Compatibility with AOI system

 Easy wet processing

 Economical

High Temperature stability: The ability of the laminate to remain mechanically strong when the
wiring board, and subsequently the assembled board, are exposed to a series of excursions in life
cycle tests and soldering is important. Soldering temperatures, when surface mount technology is
used, are much harsher than when through-hole components are used. In IR soldering, the
laminate is exposed to significantly higher temperature for a larger duration in comparison to
wave soldering. The property of interest is higher glass transition temperature [Tg]. The FR-4 has
O
a Tg of 130 C, and new materials having Tg in the range of 150 C (FR-404 Allied Signal
O
Laminates) to 180 C (Epoxy-Novaloc) are available.

Second reason for requiring higher Tg material is the presence of components with higher power
requirement. For example CPUs of main frame computers are cooled during operation. Adopting
a laminate having higher Tg can reduce the cost of the cooling system. A similar case can be
observed with PCBs for “anti-brake locking system”, where upon actuation base material is
exposed to suddenly to very high temperature.

A third reason is due to newly created operating locations for PCBs, mainly in automotive
application - the so-called under-bonnet application where the PCBs are mounted very close to
hot bonnet. Fourth reason is the use of MCM-L, and COB technologies, which require gold wire
bonding. In contrast to aluminum wire bonding, gold wire bonding reaches a temperature of
0
150 C - a temperature at which FR-4 substrate would fail. Figure 2.17 compares the thermal
shocks experienced during wave and reflow soldering.

3 0 0

2 5 0

2 0 0 R e f lo w S o ld e r in g

1 5 0

1 0 0

5 0
W a v e s o ld e r in g T g 1 3 0 C
0
5 0 1 0 0 1 5 0 2 0 0 2 5 0 3 0 0 3 5 0 4 0 0

FIG. 2.17: Thermal shocks experienced during wave and reflow soldering

Higher Chemical Resistance: Essentially this is related to water absorption. FR-4 is more
susceptible for water absorption. Laminates having higher Tg will have lower moisture absorption.
Water is not only adsorbed on the surface of the laminate; but penetrates to the bulk of the
laminate through diffusion. Such water molecules when subjected to harsher temperature
environment such as IR soldering, will get excited and evaporate causing fatal consequences as
blistering and de-lamination.

UV Absorption: Some operations like liquid photo-imageable solder masking used during the
manufacture of printed wiring board involve exposure to very high dosages of UV energy. Such
high dose of UV energy would penetrate the laminate and reach the opposite side of the board
resulting in over exposure of the opposing solder mask side leading to shadowing, ghosting etc.
Increased UV absorption of new laminate materials will prevent such faults. Shadowing of multi-
layers was virtually unknown due to power/ground planes acting as shields. New developments
such as split ground planes with tracks between ground areas, or multi-layers of lower thickness
[e.g. 0.80 mm] with signal layers only, now also require thin base materials with high UV
absorption capabilities.

Automated Optical Inspection [AOI]: AOI is a necessitated by high dense surface mount
assemblies. AOI based on reflective light technology is not influenced by base material. However,
the later AOI technologies using fluorescence, the base material plays a role. The system noise
level during AOI comes very close to the reference level of copper/base material of very thin inner
layers with the AOI system indicating a large number of pseudo defects through not being able to
differentiate between the signal levels.

2.5.3 High performance Laminates:

There are many high performance laminates available in the market today. While they offer some
advantages over FR-4, they have some limitations that prevent their use on a large scale. The
new laminates and their properties are summarized in the Table 2.4

Table 2.4 Properties of high performance laminates.


O
Material Tg C Dielectric Desirable properties Limitations
constant
Epoxy-Novoloc 180  Reduction in the Z-  incompatibility with
axis expansion existing process
 Lower water technology
absorption.  Behaves differently in
 Lower propagation drilling, plating and e-
delay smearing
  15% higher cost than
FR-4
Polyimide 220  Better bonding with  Poor processibility with
copper standard process.
  Absorbs more water
 Stack height during
drilling will have to be
less.
 Higher cost than FR-4
Bismaleimide 195  50% less cost than  Processibility is worse
Triazene FR-4 than polyimide
Cyanate easters 240 3.7  163 pico secs/inch  Two to three times
propagation delay higher cost than FR-4
 Absorbs more moisture
Silicon carbon 190 3.4  163 pico secs/inch  Low peel strength
propagation delay  More plating difficulties
 More expensive than
Fr-4
Poly-tetra-flouro- 2.4  Good for high  Prohibitively expensive
ethylene frequency circuits  Processibility is bad
Despite of availability of a variety of dielectric materials that have such a wide range of
performance and cost, there appears to be no magic product that meets all the design
requirements of current data electronic products.

2.6 STANDARDIZATION ORGANIZATIONS

Various military and government organizations and the Institute of Interconnecting and Packaging
of electronic circuits [IPC] publish an array of documents on all aspects of design, testing, end
product material requirements, and processing of printed circuits. A knowledge of these
specifications and standards is necessary to design and manufacture printed circuit boards.

1. American National Standards Institute (ANSI)

2. American Society for Testing Materials (ASTM)

3. Underwriters Laboratories (UL)

4. Department of Defense (MIL)

5. Institute of Interconnecting and Packaging Electronics Circuits (IPC)

6. Electronic Industries Association (EIA)

Some IPC documents that describe the laminates are: IPC-L-108, IPC-L-109, and IPC-L-115.
Table 2.5 below indicates the relation between various grades of laminates as designated by
standardization bodies.

Table -2.5: Some Equivalent Grades

ANSI MIL-P-13949 IPC-L-108 IPC-L-115


FR4 GF2 /04 /04
FR5 GH - /05
GPY Gl3 /10 /10
GB.GP,GT. - /02(GB)
GXGR,GY
AE,CF /15 /15, /25
3 MANUFACTURE OF PWB

3.1 INTRODUCTION

The substrate plays a very important role in the manufacture of electronic equipment, though as a
percentage cost it not that significant. The cost relationship between PWB, PCB and the
equipment is shown in the pie charts given below.
50 70

60
40
50

30
40

20
Laminate 30

20
10
10

0 0
Copper foil Epoxy resin Glass fiber Added value Laminate Wet processing Inaging Drilling Post finishing Added value

50
70

40 60

Printed 50
Electronics
30

Circuit Board 40 Equipment


20 30

20
10
10

0 0
PWB Components Assembly Testing Added value PCB Enclosure Design Added value

It is essential, therefore, to see that the laminate (12% of PCB cost) is optimally utilized in order to
have a good cost control. The operations involved in manufacturing a PWB include

 Substrate Preparation

 Hole Creation

 Surface Preparation

 Imaging

 Through Hole Plating

 Post Operations

This chapter elaborates these operations.

3.2 SUBSTRATE PREPARATION

The laminate is normally purchased in the size 1210 mm x 910 mm from the manufacturer. They
come well packed in boxes containing 10 to 100 nos. per box with each laminate covered with
paper foils on either side to protect from scratches and bad handling. Cutting laminates is the first
process step in PWB manufacture. Optimization should start from this very first step in shearing
the laminate to a size, which can be processed. The first step therefore, is to generate a cutting
plan so that the wastage is kept to a minimum. If the wiring boards are designed in all possible
odd sizes and shapes, it is difficult for the manufacturer to produce a cutting plan, which gives
minimum cutting waste. Small shops that process varied odd shapes and sizes are likely to
produce more cutting waste. Large shops work on fixed panel sizes, and use a fixed cutting plan.
Some of the common sizes used are 600 mm x 600 mm, 600 mm x 450 mm, 450 mm x 450 mm,
450 mm x 400 mm, 400 mm x 400 mm, 400 mm x 350 mm, 350 mm x 350 mm, 350 mm x 300
mm, and 300 mm x 300 mm.

A good designer of the board will consider such panel sizes during designing and work towards
cost saving by fitting the design into a selected panel size so that cutting wastage is minimized.
Some recommended standard sizes for the board, which fits into the above penalization plan are
given by IPC-D-275 document, which are shown in the Table 2.1

Table 2.1: Recommended panel sizes as per IPC-D-275 document

The first cutting is done on a shearing machine which could be either motorized or leg operated
unit. The cutting accuracy can be at best within +/- 0.50 mm, which is accurate enough at this
stage of processing The burrs created along the edges should be chamfered to remove
roughness. Failure to do so will create problems later in plating leading to cross contamination of
chemicals carried forward by the rough edges. In small shops a file is used to remove the burr,
while large shops do it using machines which pick up the cut sheets from the bank and chamfer
the edges on X and Y axis using roller cutters.
Stress relieving: The laminates may arrive with some in-built stresses produced during the
lamination process. The stressed laminate could be lightly bent or twisted making it unsuitable for
drilling or assembly operation subsequently. Usually, stress relieving is taken care by the
laminate manufacturers themselves; however, as even small residual stresses might cause
problems, it is a good practice to do it again, and the additional process cost is often more than
rewarding. Stress relieving or normalization as it is called, involves heating a set of stacked
laminate panels to a temperature very close to glass transition, holding at that temperature for
about an hour, and allowing to cool slowly. This is done in large air circulated oven and the total
cycle time is about 4 hours. The reason for the development of stress is the thermal expansion
property of metal copper differs from that of organic epoxy substrate. Copper being a metal, cools
faster than the organic substrate. The cooling profile during laminate manufacturing, therefore, is
quite complex which can run out of control resulting in laminate buckling or twisting. Large
defects are impossible to rectify. Normalization is practical only when small stresses are involved
which can be corrected by annealing.

3.3 HOLE CREATION

3.3.1 Introduction: For a double sided board with interlayer connections, the first process step is
making holes through the laminate. Holes are required for mounting the leaded components by
inserting their leads for electrically interconnecting two or more layers by plating through holes,
for fixing the board on to the chassis, and for image or layer registration purposes during several
stages of manufacturing. The purposes for which holes are required are illustrated in the figure
3.1.

Registration during
PWB manufacture

Mounting Holes for


assembled PCBs

Stacking holes
during drilling

Plated through
holes to electrically
connect different
layers

FIG.3.1: Holes in PWBs


There are several methods for making holes in the substrate. Holes can be created by techniques
such as

 Punching,

 Mechanical drilling

 Laser ablation

 Plasma etching

 Chemical milling

 Water jet cutting

These processes are described in the following sections.

3.3.2 Punching: Punching is a straightforward and simple method of making holes. Round,
square, or oval shaped holes can be created through punching. A punch and a die are required to
make the hole, as shown in the figure 3.2.

PUNCH

DI DI DI DI

FIG.3.2: Punching process

Punching is essentially a method of shearing the substrate. An important requirement to make


holes by punching is that the substrate getting punched should uniformly yield under the pressure
exerted by the punch without cracking. In order to assist this, sometimes the substrate is slightly
heated before it is punched.

Punching is useful for making circular or oval shaped holes that are used for registering several
layers in multilayer structure. It is also useful in production of single-sided boards in large
volumes (for example TV boards). However, in spite of taking special care, punched holes are
generally not very satisfactory with respect to the quality in terms of size and sidewall finish. The
punching method also imposes a serious limitation in terms of cost of the punching tool, and lead
times, and necessitates a dedicated punch-tool-die set for every design. Obviously, last minute
design corrections either to insert a hole or to remove an existing hole are just not possible. In
that sense, it is not very designer-friendly.

3.3.3 Mechanical Drilling: Mechanical drilling is a rotary cutting action to make round holes
using a suitable cutting tool. It is quite commonly used all workshops. However, printed wiring
board drilling is different from regular machine shop floor drilling in many ways. In the first place
unlike metals, material to be drilled in a PWB is not homogeneous. It consists of copper, which is
a soft metal, epoxy resin, which is sensitive to heat produced during drilling, and the woven glass-
cloth reinforcement, which is a highly abrasive material. The cutting tool has to negotiate with
these diverse materials having diverse properties with equal ease.

FIG. 3.3: Geometry of drill bits

Essentially the drilling tool consists of three important segments. They are the shank, the flute,
and the entry tip (see the figure 3.3).

Shank is the portion of the bit used for holding it in the chuck. Flute is the portion of the bit, which
assists the material, which is cut to travel upwards, and the entry tip is the point, which locates
the drilling center.

The drill bit material used for PWB drilling is sintered solid carbide. This is in contrast to the high-
speed steel [HSS] material used for shop floor drilling. The complex nature of PWB substrate
necessitates the use of such a special material. The glass fibers present in the PWB substrate
are quite abrasive. The HSS drill bit wears very fast, and may last for about 100/200 holes before
it becomes useless. The solid carbide drills, on the other hand, last longer and can drill up to
2000-3000 holes when they are new. The bits are produced by iso-static pressing (schematically
shown in the figure 3.4) of micro fine powders of tungsten carbide and cobalt

FIG. 3.4: Isostatic pressing

It is useful to have ONE size for the shank immaterial of the drill size to assist quick tool change
either manually or automatically. The most common shank size adopted is 3.14 mm or 1/8 of an
inch diameter. The flute portion of the drill is laser polished to assist easy release of the drill
debris. The entry angle is normally 139 degree and it varies depending on the drill size.

Bulk of the laminate material is essentially "organic" which is sensitive to heat. The prime
consideration in drilling a printed wiring board laminate is, therefore, is to see that during drilling
the interface temperature does not come close to the softening temperature of the organic resin
used in the laminate.

There are several important issues in PWB drilling. These include

 Location accuracy (should be within 25 microns)

 No "Center Punching" is possible (entry board may be used)

 Hole wall quality (should receive adherent plating)

 Interface temperature (to be maintained below Tg - requires controlled Z-axis entry


and exit, RPM of the tool, and cooling)

The main issue, however, is the quality of the hole drilled. In normal shop floor drilling, cutting
fluids are used as coolants to contain drilling heat. In PWB drilling use of such liquid coolants is
not permitted due to possibility of contamination which can cause problems in future process
steps. Therefore, in PWB drilling, attempt is made to control the cutting parameters themselves,
so that the heat generated is maintained at a minimum acceptable level, which depends of the
type of laminate being drilled. For example, for glass epoxy laminates the interface temperature
0
should not exceed preferably 110 C.
The parameters that require attention to contain drill-interface temperature are cutting speed
[RPM], Z-axis entry/withdrawal rate of the drill bit, sharpness of the cutting edges of the tool, and
heat sinking to extract heat from the tool bit.

Drilling speed: Rotations per minute [RPM] of the drill bit are decided by factors such as drill
size, Z-axis entry rate of the drill, and the material composition itself. Generally, hard materials
are drilled at lower drilling speeds; as also larger the drill hole diameter, lower is the RPM of the
drilling tool. The fundamental requirement is the synchronization of the tool movement in Z-
direction to the cut generated during one complete rotation of the drill bit. If the Z entry is more
than the cutting rate, the material gets extruded instead of getting drilled. Therefore, the drilling
speed is an important issue.

Drill bit vendors recommend the 'surface feet per minute', or cutting speed for various drill types.
Surface feet per minute are the circumferential distance a point on the outer edge of the drill
travels in one minute. A Tungsten Carbide drill, for example, might be rated at three hundred
surface feet per minute. Drilling in excess of this recommended speed generally results in
excessive heat. To calculate the desirable revolutions per minute, or drill RPM, surface feet per
minute is divided by the circumference of the drill.

Chip load: Chip load is the depth or distance the drill goes through the board for each revolution
it makes measured in 'mils'. Feed rate, the speed at which the drill bit enters and withdraws
from the hole expressed as number of inches per minute the drill travels through the board, is
calculated by multiplying the chip load by the drill revolutions per minute. Ideally, drill RPM and
feed rate are balanced for chip load and drill torque to assure that there is no drill slow down on
loading and minimize the time the drill bit actually spends cutting the material. The chip load can
be computed using the formula:

X = D x 1.046 tan []

where X is the drill advance per revolution, and d is drill diameter in inches, and  is drill point
relief angle. Table 7

If the Z entry were low the drill would unnecessarily idle loosing time on productivity. Drilling,
which is quite often the slowest step, could cause bottleneck in productivity of the PWB
manufacturing process. This is because the number of holes to be drilled is very large. The hole
density can vary from 8000 per square meter in a low density board to 50,000 per square meter
in a ultra high density board. Even in a small to medium volume wiring board manufacturing unit,
in a day of eight hours of working it is normal to process about five to twenty square meters area.
In prototyping shops, the volume can still be two sq. meters per day calling for enormous drilling
capacity.
Stack drilling: The problem of time needed for drilling is eased to some extent by doing "stack
drilling". The panels are stacked one over the other, and drilled together to increase productivity.
The "flute" length of the drill bit used limits the height of the stack. Normally a stack of three
panels is drilled in one operation. While stacking, a "top" entry" board and a "bottom exit " board
are also included in the stack. The main purpose of such top and bottom boards is to improve the
quality of drilling through eliminating drilling burrs. They are sometimes referred as "lumbar-
sheets". It is a thin aluminum clad paper or wood board material impregnated with a water-soluble
lubricant, as shown in the figure 3.5.

FIG. 3.5: Stack drilling

When placed on top and bottom of the panels in a stack, these entry and exist boards sink drill
heat, thereby reducing interface temperatures and extending drill bit life. This will help greatly in
increasing the hit counts or the feed rate, thus reducing the time of drilling. It will also result in
increased drill bit life by almost 50% together with about 33% increase in feed rate, while
0
ensuring that all drilling is performed in safe zone below 120 C.

Stacks of up to 6-mm total height can be drilled on most machines depending on the precision
required. If stacks are too high, drill bit wanders on the surface, which may result in breakage of
the bit or loosing position accuracy. Reasons for drill wander are varied. For example the drill
may not be centered directly in the chuck while smaller diameter drills may flex with excessive
feed rates; or a worn tip may cause the drill to angle away slightly, or the woven structure of the
glass fiber in the laminate can lead the wander.

Before stacking panels on the back-up material, the individual panels should be thoroughly wiped
to remove any debris created by previous processing, such as punching, tooling hole drilling, and
shearing. Debris from these processes, or any other particle entrapment, can create gaps or open
air spaces between the panels, allowing the copper to expand into these gaps when drilled. A gap
as small as one-half the diameter of a human hair, can raise a substantial burr, and may cause
the panel to be reworked.
Mechanical drilling is done either through manual drilling or CNC drilling. Manual drilling is
practiced because investments on the machine are less. The least expensive machines are
bench type drill machines with ac induction motor. The motor typically runs at 1440/2880 rpm. A
RPM of the order of 20,000 and above is found generally satisfactory to drill through the PWB
laminate material. The RPM of the drill head however, is stepped up to run at 20,000 RPM using
belt drives. Such drives will not have enough torque at such high speeds. To compensate for loss
of torque the driving power of the motor is boosted to higher values. For example although 0.2 HP
motor with high torque is adequate, machines with belt drives use about 3 HP motors with pulley
coupling to raise speed.

The holes required are small in size [0.30 mm to 1.00 mm] and are generally packed closely to
suit the pitch of electronic components used in the design. This calls for accurate positioning of
the hole centers within a tolerance of 10% or better. The position is never constant in manual
drilling as it depends on operator's fatigue, in addition to the parallax error, which can be
significant too. The better version of manual drilling is what is called as 'optical sight drilling',
which has two additional features. They are, a viewing screen for centering the hole position, and
high speed high torque motor operating on high frequency. In optical sight drilling machines, the
drilling spindle is made to hit the job from the bottom, as it is necessary to have the top board
area clear for optical viewing. The hit rates using such machines are rather low [20 hits/min], and
the position accuracy can be at best close to 0.10 mm tolerance. Another disadvantage in manual
drilling is, as the holes are never drilled under optimal conditions. The hole walls will be rough and
torn. This is undesirable for plating through the holes.

CNC Drilling is practiced whenever higher output, better accuracy, and good hole quality is
required. The CNC machine runs on data sent through a computer program. A terminal is used to
control many of the program variables, such as size of drill bit currently in use, number of hits,
RPM, and the feed rate. The drill itself is contained inside an assembly housing, called drill head.
The drill bit is fixed in the spindle. A pressure foot is underneath and lay flat against the panel
surface. Once the work piece is precisely aligned under the assembly housing, the pressure foot
presses laminate against machine table base, holding it firmly to prevent any movement as the
drill enters the panels. Drilling information is passed on to the machine through CAD files, or may
also be transferred as x/y co-ordinates generated manually by digitizing position of every hole.

A test panel is run to verify the program accuracy. Tooling pins secure the panel to the drill bed to
ensure accurate alignment along the x and y axis of the table. After drilling is complete the panel
is compared with the master photo tool by superimposing on it and viewing on a light table for
locating any holes that are missing or extra, non-aligned holes, or holes of the wrong size. If the
program is correct, the panel can be used as a reference board for qualifying the subsequent
boards.
Before placing the drills into the machine, each drill must be checked for the size using a
micrometer. The next step is to set the upper and lower limits of vertical drill movement for
various panel thicknesses and stack heights. While a small gap between the pressure foot and
the top of the stack may lessen drill time, a larger space between the drill and stack may give the
drill a good chance to cool, and to shed debris into the vacuum unit before it resumes cutting.

Breakage of the drill bit during drilling is a serious problem. An experienced operator can spot a
broken or worn drill bit by the amount of surface burr raised or by excessive drilling debris, or by
listening to the drilling sound, and can stop the machine to inspect the drill before the run is
completed. This is crucial in terms of production time and cost, because a single drilling run can
sometimes take over an hour.

In a randomly designed drilling program the machine spends considerable time in its attempt to
locate the x-y co-ordinates of a hole. To optimize this the machine is programmed by dividing the
panels into half-inch, inch, or two-inch wide segments, and to drill all the holes in one segment
before moving on to the next. The machine is also programmed to drill all holes of the same size
before changing drills. However, recent machines have built-in programs written to optimize the
hole location time.

Drilling Small Holes: As miniaturization trend continues, the size of the hole required becomes
smaller and smaller. Switching over to surface mount devices no doubt eliminates the
requirement of component mounting holes, but demands smaller and smaller holes which occupy
lesser and lesser area. Typical small hole diameter is 0.20 mm. Such small holes are very difficult
to drill. Special CNC machine is required for drilling such small holes. Sometimes it may be
necessary to drill through known depth only. Machines, which can perform this, are called as
PECK drilling machines, which are very expensive.

Drilling Defects: Even the best drilling practice is likely to introduce a large number of defects.
Missing holes is a less serious problem, and can be corrected easily. There are many other
potential defects, which can adversely affect the quality of the board during manufacture. These
defects are essentially shop floor problems, and the designer at the outset may feel unconcerned
about it. However, an understanding of the magnitude of the problem, will help the designer to
chose a manufacturer who can maintain stringent discipline required. Although there are a very
large number of issues, only those which has direct bearing on the “quality” of the boards are
briefly discussed below

Resin Smear: The temperature at the interface of drill and hole wall often exceeds the softening
point of the epoxy resin in the panels. In such case the softened resin that is sticky is picked up
by the drill bit surface and smeared all over the hole wall. This is known as resin smearing and is
shown in the figure 3.6. Resin smearing is an indication of poor control on drilling parameters.
And is a serious problem in multilayer construction. The dust generated during drilling carry along
with it a lot of heat. A good suction unit attached directly to the assembly housing could extract
heat by removing all dust shed during the drilling. The airflow through suction also becomes a
vital source of cooling the drill. If the relative humidity in the work environment should fall below
45%, however, static charges may still hold debris in the holes.

FIG. 3.6: Resin smear

Drill burr: A major defect is 'drill burr'. Burrs are slivers of copper protruding away from the hole.
Copper is a soft metal. It tends to spread or 'burr' away from the drill axis as the bit makes
contact, as shown in the figure 3.7. Even in best drilling practice burrs cannot be eliminated.
They occur more frequently by using damaged or worn out drills, excessive feed rates and chip
loads.

FIG. 3.7: Drill burr

They can be minimized by using lubra-sheets as dummy material on the top and bottom of the
stack being drilled. Typically a paperboard, or a compressed wood board, or a phenolic board
clad with or thin aluminum foil is used as entry sheet. The top aluminum layer also allows the drill
bit to center accurately before hitting the panels. When the drill is being withdrawn it may also
serve to clean the drill smear. The back-up sheet is slightly thicker phenolic board because the
drill makes a substantial cut into the back-up material.
Burrs can trap contaminants or other process solutions during the plating process, causing a
weakening at the 'knee' or corner of the hole. Internal burrs or nail heads are formed when the
copper gets softened by heat and is pushed downwards instead of being cut away by the drill.
Both external and internal burrs cause problems in plating by becoming 'high-current density
areas'. Which means the copper plates faster at the burrs than at other areas of the panel. This
excessive plating on the burrs causes nodular growth along the periphery of the hole. These
areas will not have the ductility or flexibility to survive the heat of the fusing or soldering
operations. Excessive feed rates can cause 'tear-out'. When the drill has insufficient time to cut
cleanly, it pulls or rips chunks of the laminate material from the hole wall.

Drill withdrawal distortion: While drilling because of the frictional heat the interface temperature
goes up. If the drill bit is not sufficiently cold while withdrawing, hole distortion will occur as shown
in the figure 3.8

FIG. 3.8: Drill withdrawal distortion


Protruding glass fibers: The glass fibers in the laminate cannot be “drilled” in the true sense.
They are really broken by the impact of the rotating drill. If the drill bit is worn out, the breaking of
the glass fibers will not be uniform, giving raise to a defect of “protruding glass fibers”, as shown
in the figure 3.9. This defect will cause plating problems.
FIG. 3.9: Protruding glass fibers
Fish bowling: This defect is caused when the interface temperature goes up much beyond the
Tg of the laminate. The organic content of the laminates virtually melts and is picked up by the
drill flute giving a fish bowl shape to the drilled hole, as shown in the figure 3.10

FIG. 3.10: Fish bowling


Drill delamination: This is another kind of defect which can arise due to temperature rise;
particularly when the annular area provided in the design is very small. The pad will be lifted due
to localized high temperature, as shown in the figure 3.11.

FIG. 3.11: Drill delamination


Nail heading: This defect is pronounced when a worn out drill bit which is still hot is made to hit a
new hole. The bit “hot presses” the laminate which will result in a kind of “nail heading”, as shown
in the figure 3.12

FIG. 3.12: Nail heading

Pad-rip-out: This defect is due to the loss in positional accuracy of the machine. The drill point
will hit the pad off-center, which result in ripping the pad either partially or fully, as shown in the
figure 3.13.

FIG. 3.13: Pad rip-out


Fiber gouging: Fiber gouging is due to laminate defect. The glass fibers inside the organic matrix
are bonded using a technique called silination. If the silination is not properly done, the bond
between the glass fiber and the epoxy will be poor. If such a laminate, which look perfectly fine
from outside is used for drilling, the fast rotating drill will “pull” the glass fibers away resulting
“fiber gouging", as shown in the figure 3.14.

FIG.3.14: Fiber gouging


Hole inspection and quality: A Mylar stencil sheet is often used to quickly locate the missing
hole and misalignment. The Mylar stencil has holes drilled in the same locations as the approved
test panel. When such a sheet is placed over a panel, any missing or misalign holes will become
clearly evident. Machines can be set to pause after picking up a new drill so that the operator can
check to see if the old drill was dropped off and the new one is picked up successfully or to have
the drills inserted by hand if necessary. As the drill bit wears away, its cutting edge becomes
rounded, resulting in reduced cutting efficiency and increased heat from friction.

A drill is removed after a pre-set number of hits. It can be re-sharpened, usually up to three times,
depending on side wear and length lost in sharpening process. Re-sharpened drill bits should
then be inspected using go/no-go gauges to check drill diameter and length. Flute edges must be
inspected closely, as they cannot be re-sharpened and are easily damaged. The re-sharpened
drills must be identified and sorted by the diameter and the number of re-sharpening usually
labeled with different colored plastic rings. For example after re-sharpening once, the drill bit can
be labeled with green ring; after second re-sharpening it can be blue and bad drills with red rings.
Another way to prevent drill mix-up is to keep sharp drills right side up, and used drills upside
down.

To monitor the drill bit breakage and hole quality, after every hole of a specific size is completely
drilled throughout the panel, a 'coupon' is created along the edge of the panel outside of the part
profile. This coupon represents the first and last hole made by each drill used on the panel. The
coupon is cut from the finished panel and used to verify the correct drill diameter, or to determine
hole quality. If the coupon holes are of acceptable quality, chances are good that all the other
holes on the panel made by that drill are also acceptable. The holes are viewed through a
microscope at 10 X magnification- and should reveal clean cut edge along the entire hole wall, in
addition to being free from burrs.

Summarizing, heat, surface burrs, nail heads and resin smear must be minimized by observing
certain process parameters. The parameters are drill bit RPM, feed rates, use of the back-up and
entry materials, the choice of drill type for panel thickness, and finally, by replacement of worn or
damaged drills with new or re-sharpened ones.

As can be seen PWB Drilling is not a hit or miss proposition, but a carefully planned and precisely
controlled operation. Without expert precision, it is impossible to achieve the satisfactory results.

4.3.4 Laser Drilling

Till recently mechanical drilling was adequate and multiple spindle CNC drilling machines were
the workhorses in PWB shop. However, as the design requirement for much smaller holes and
blind via holes increased mechanical drilling either became very expensive, or impossible. Holes
of diameter less than 0.10 mm cannot be drilled mechanically. Likewise a small hole to a depth of
0.05 mm cannot be made by any mechanical means. Therefore, alternative methods of making
small and blind via holes had to be developed.

Laser was the first alternative method tried in the year 1960. Lasers are well known for precision
machining, flexibility and no tool wear. Laser beam when focused on the substrate will "ablate"
the material. A most important advantage of laser drilling is in production of multiple layer boards,
which require a number of blind via. It is possible to laser-drill the blind via by controlling the
depth of the hole

The problem in laser drilling is that the PWB laminate material is a composite consisting of
organic resin, copper foil, and the glass fibers reinforcing the resin. In the early days of
development of laser drilling it was very difficult to find out a type of laser, which will equally
ablate the composite ingredients of the laminate. For example the Yttrium-aluminum-garnet
[YAG] laser was good in ablating copper, but was too fast on the resin, with no effect on glass.
Therefore, quality of laser drilled holes were the main concern. Three key issues in quality of
laser drilling are thermal damage level, hole shape, and hole taper .

FIG. 3.15 Heat affected zone in laser drilling

Conventional FR-4 prepreg contains a glass weave for support. Laser drilling through this
material is problematic because of wide differences in the vaporization temperatures of glass
weave and the epoxy resin. This cause burns in hole walls. These burns are called Heat Affected
Zones (HAZ) around a hole, as shown in the figure 3.15 Lesser the HAZ better will be the quality
of the drilled holes.
FIG. 3.16: Hole taper during laser drilling

The hole shape is an assessment of the hole's entrance and exit roundness looking
perpendicular to the plane of the hole. The hole taper is the ratio of larger diameter (usually
entrance) to the smaller diameter (usually exit). The diameters are measured in thousandths of
an inch at the widest points on the hole circumference. A ratio of one is perfect (no taper), with
increasing taper resulting in ratios greater than one, as shown in the figure 3.16.

However, the problem has been since solved with the development of several kinds of lasers
such as CO2 laser, Niobium-YAG laser, and Examier laser.

There are other non-conventional methods of making holes such as photo-defined holes, plasma
etched holes, and chemically milled holes. They will be discussed in detail under "micro-via hole
technologies" required for high-density interconnection.

3.4 SURFACE PREPARATION

3.4.1 Introduction: Surface preparation is a cleaning operation required to be performed after


drilling, but before pattern transfer takes place, and subsequently before plating through the
holes. The surface of the laminate as it arrives in the image-processing house may contain a lot
of unwanted contaminants, which include

 Drill burrs -entry and exit

 Drill debris -clinging to the hole walls

 Oxidation on copper surface

 Finger prints due to handling

Some of the contaminants are removed by mechanical cleaning techniques while some others
like oxides and organic soils are removed by chemical cleaning techniques.
3.4.2 Cleaning Techniques: Mechanical cleaning techniques are useful to remove drilling burrs,
finger prints, heavy corrosion products on the surface, roughness inside hole walls, and light
surface scratches. The chief mechanical methods used are brushing and wet shot blasting.

Brushing: On panels drilled with thousands of holes, it is almost impossible to inspect each hole.
Even with the best drilling procedures and equipment, the laminate surface will be carrying drilling
burrs protruding to a height of the order of 5 -25 microns. For this reason, brushing operation
becomes a practical proposition in the production environment.

At the entry point of the drill bit, the burr may look more like a thin ridge or silver of copper
extending out of the hole This is commonly called an external burr. It is explained earlier that
heat from the drill friction can cause the laminate to soften and form what looks like a nail head
inside the hole. This is known as an internal burr. An internal burr can reduce the hole size after
electroplating, because electroplating onto an external burr creates a nodule that extends into the
hole. If this hole is used for insertion of a component lead, the nodule will block the entry of the
lead into the hole. Burrs can also create traps for air bubbles or other process solutions around
the crown. A crack at the knee of the hole, shown in the figure 3.17, resulting in a failure of one
hole among thousands makes the entire assembly useless

Knee crack

FIG. 3.17: Knee crack

In small shops, a standard practice is to use steel wool or emery pad to remove surface burrs.
The problem with such practice is that it is likely to create more damage than solving. Uneven
pressures exerted by hand will make deep scratches on the laminate surface, as pictorially
depicted in figure 3.18 The burrs are pushed inside the hole instead of getting removed.
35 Microns

FIG. 3.18: Scratched surface

In medium and large shops, de-burring is done using specially designed machines, which use
rotating roller brushes to chop off the burr, as shown in the figure 3.19.

FIG. 3.19: Roller de-burring

The rollers are made of abrasive particles impregnated in a hard nylon matrix. The rollers come in
different grits to give various degrees of aggressiveness, and are rated as coarse, medium, fine,
or extra fine. Sometimes abrasive wheels, instead of rollers, are used. Wheels are very
aggressive and are useful only if excessive burring has occurred.

Deburring Machine: A two-brush de-burring machine has one brush above and one brush below
the conveyor to act on both sides of the panel in a single pass through the machine. A problem
with the two-brush combination is that often the burr is simply pushed over into the hole without
being cut off. A four-brush machine is more effective in removing the pushed burr. If the first
brush, either on the top or the bottom of the panel pushes a burr into a hole, the second pair of
brushes, by spinning in the opposite direction, is intended to reach inside of the hole and cut the
burr completely. In a four-brush machine, the first two brushes are located on either side of the
conveyer. They spin in the same direction as the panel travels on the conveyor. The second set
of brushes (again one above and one below the conveyor) spin in the opposite direction, i.e.
against the movement of the panel. Some machines also provide side to side oscillations of the
brushes across the width of the panel to increase cutting efficiency.To ensure even cut across the
entire surface of the panel, brushes are dressed frequently using sandpaper supported on hard
phenolic board.
For every abrasive brush in a de-burring machine, there is a corresponding back up roller
positioned parallel to it on the other side of the conveyor. As the panel moves along the conveyor,
it travels between the brush and back-up roller. This roller maintains a uniform pressure and
keeps the panel from stalling under the friction of the brush. There are three types of back-up
rolls; stainless steel, ceramic and hardened rubber. All are designed to be durable, yet they have
to be adjusted carefully to avoid unnecessary damage .To check for proper alignment of back-up
roll and brush pressures, a strike test is done.

Strike test: To conduct strike tests all brushes and rollers are first raised off of the conveyor. A
test panel of the same thickness as the work panels to be de-burred is placed under the first
brush; and the brush is positioned against the panel, while the brush motor is switched on and off
a few times .The panel as it comes out of the machine will carry a strike pattern which is observed
and analyzed.. The test must be repeated for every pair of brush and back-up roller on the
conveyor. Ideally, the strike pattern must be uniform across the panel, with the band about a one-
quarter to three-eighths of an inch wide. If the band is very wide it indicates there is too much
pressure from the brush. If the strike pattern is uneven, the back-up roller may not be parallel to
the brush or might be worn at one end; or the brush is not dressed correctly. The brush pressure
is read on a dial indicator in some machines Some others have a feeler gauge with automatic
adjustment to set the pressure. As dc motors drive these brushes it is also possible to have a feel
of the pressure exerted by reading the motor current.

Once the pressure adjustment and alignment has been made, the panels are fed in alternate
positions on the conveyor. This prevents excessive wear on one side of the brush only.
Conveyor speed setting is more subjective. Excessive burring if observed may require two
passes.

Brushing is normally followed by pressure wash at 300-500 Pounds/in Square for optimum
cleaning result. Sometimes vapor honing, or wet shot blasting with pumice slurry [325 grit] is used
instead of pressure wash.

Scrubbing: In de-burring operation, the purpose is to remove only the protruding burrs without
touching the copper surface of the laminate. Scrubbing operation is the next cleaning step in
which the objective is to uniformly remove about 2- 4 microns of copper from the surface of the
laminate. Scrubbing primarily removes heavy oxides, oils, and fingerprints, which may be present
on the surface. The scrubbing machines and operations are identical to de-burring machines and
operations, but use softer brushes. Sometimes a same machine is used with the changing the
brush for de-burring and brushing Brushed Surface is shown in the figure 3.20.
FIG. 3.20: Brushed surface

Shot Blasting: Dry sand blasting is a very well known operation in surface cleaning in sheet
metal fabrication. The operation is quite aggressive and removes heavy scales present on sheet
metal surface. Doing it under wet conditions, however, can do the operation, with greater degree
of control and lesser degree of severity. Slurry of pumice stone powder in water for example acts
as a very good cleaning agent when blasted against a substrate. The pressures are rather critical
and so is the uniformity of spray. It also roughens the copper surface uniformly, thereby
promoting adhesion of resist/inks in further process steps. Wet shot blasting machines are rather
expensive, require regular maintenance, and if the blast parameters are not controlled there is
every chance that the pumice particles will get embedded in the soft copper matrix, defeating the
very purpose of cleaning. Shot Blasted Surface is shown in the figure 3.21.

FIG. 3.21: shot blasted surface


3.5 IMAGING

Imaging is a significant and delicate process step in PWB manufacture requiring meticulous
attention for obtaining good results. The concern in imaging is to transfer the pattern existing on
the master photo tool onto the surface of the copper clad laminate with close dimensional
accuracy. Two distinct approaches are used: Printing and Photolithography.

3.5.1 Printing: Printing is an operation in which a master tool is first made, and the ink is applied
to the tool for a later transfer onto the substrate. There are several approaches to printing

 Letter Press Printing

 Litho Printing

 Intanglio Printing
Table .9
 Screen Printing

 Photo-lithographic Printing

 Typhoon Printing

 Inkjet Printing

These printing processes are recognized by the nature of the master tool. For example if the
master tool is "assembled letter pattern", it is called as letter press printing. If the master tool is
an etched cliché plate the printing is known as pad printing. If the master tool is a porous screen it
is known as screen-printing.

In photo lithography there is no concept of a master tool. Instead the master is a black and white
photo film of the print area. The laminate surface is photo sensitized by coating a chemical called
photo resist, and the sensitized laminate act to receive the image from the photo master through
UV exposure and development. The polymerized photo resist acts as a stop off during the
subsequent etching process and protects the image area.

Each process route has advantages and limitations. Screen-printing is generally less expensive,
but has limited resolution. It is widely used for single sided boards. Photolithography printing is
more accurate, more versatile and is used for multiple layer and double-sided boards.

3.5.2 Screen-Printing: Screen-printing is centuries old, and is used extensively for decorative
applications in textile and commercial arts. Conceptually, it consists of preparing and fixing a
porous tool on a woven fabric such as silk cloth, polyester cloth, metal wire mesh or even a
chemically milled metal plate on a frame, and squeezing ink through the pores to print on a
substrate Elements of Screen Printing are shown in the figure 3.22.
FIG. 3.22: Elements of screen printing-Cloth, Frame, Fabric, Stretching, and Squeeze

Frame: The purpose of using a frame is to provide a rigid support to the fabric. Wiring board
manufacture is very much concerned with dimensions and therefore, metal frame is mandatory.
Aluminum is the lightest metal and is best suited.

There is no standard for the size of the frame. It depends very much on the job size, and the
machine used for printing. However, whatever may be the job size a rule of thumb requirement is
that there should be a minimum extra non-print fabric area equal to 4 to 6 inches all around the
job area.

Fabric: The purpose of the fabric is to support the photo emulsion, which would carry the image
or pattern to be printed. Fabric is a wavy arrangement of threads in x and y directions. In textile
terminology this is known as weaving. The x and y directions are called as weave and weft. The
diameter of the threads is normally very small in the region of 5 to 10 microns. For this reason
they are bundled into suitable size, and such bundles are referred to as yarns. Yarns are woven
in different styles to give fabrics. The simplest style consists of putting one yarn in x direction and
the one yarn in y direction at equal distances. The number of yarn elements packed in one linear
inch is known as the mesh count. For example 200 mesh means two hundred yarn elements are
present in one linear inch of the fabric in x as well as y direction. Such fabrics are known as multi
filament yarns. Silk cloth is a good example of multi-filament fabric. However, multi-filament yarns
are difficult to clean and have therefore given place to mono-filament fabrics. All mono-filament
cloths are made out of synthetic material such as nylon or polyester. For a given diameter of the
yarn, mono or multi filament, the mesh count determines the open area in the mesh, which is
important as it influences the quantity of the ink that can be squeezed. During printing, ink comes
out of fabric portions not covered by the emulsion. The amount of ink coming out will depend on
the size of the mesh opening, and also on the thickness of the fabric itself.
The fabric is aligned so that the threads are parallel to the side of the frame (or at 45 degree) and
fixed to the frame using adhesives, after applying uniform tension. Application of uniform tension
to the fabric is quite important. This is done using pneumatic stretching clamps, and applying
tension gradually to the required value.

The amount of tension depends on the fabric material, weave style, and diameter of yarn. Very
often the manufacturer of the fabric recommends these values. Normal values range from 10 to
15 Newtons/cm that is measured at the center of the stretched screen using tensiometer.
Adhesives used are fast curing epoxy are more commonly cyano acrylate. The entire operation is
done in a room with controlled atmosphere. It is obvious that as the diameter of the yarn
increases for any given mesh count, the open area decreases, but print thickness increases. Yarn
made out of multi filament does not impart fineness to the line definition.

Stencils: Screen-printing requires a stencil through which ink can be squeezed. There are two
main types of stencils; photo emulsions based, and dedicated metal masks. Photo emulsion is a
water-soluble polymer generally based on PVA which, when exposed to ultra violet radiation,
become insoluble. When a positive photo film is placed on the emulsion coated screen, and
exposed to UV, the areas, which are exposed, will become insoluble while those areas shadowed
by the photo positive's opaque area will remain soluble. A wash in warm water will thus create the
desired pattern in the stencil.

Photo-emulsions come in two different forms, either as a liquid (known as direct emulsion) or as a
dry film coated on to a plastic backing sheet (known as indirect emulsion). The simplest liquid
emulsion is gelatin, or polyvinyl alcohol, or polyvinyl acetate solution activated by dichromate.
Emulsion solution, free from air bubbles is coated manually onto thoroughly washed surface of
the screen fabric. The emulsion enters the body of the screen and is held in the openings by
surface tension forces. This is known as 'direct method'. In another technique, instead of liquid
emulsion coating, a dry film emulsion commercially known as five star films is stuck to the wet
surface of the fabric. This is known as indirect method. In yet another technique, first liquid
emulsion is coated, and over and above this a dry film commercially known as chromoline film or
capillary film is stuck. This is known as direct/indirect method.

Exposure: Sensitized screen is exposed through the photo tool by contact printing. The light
source selected should strongly emit in the region of the spectral sensitivity of the emulsion. The
exposed screen is developed. After developing the screen is dried in a draft of hot air and it is
now ready for use. The screen, now called a stencil, is fixed to the printing down frame of the
printing machine. The stencil has to be aligned with respect to the substrate, which is made
possible by provision to rotate the frame holder. The distance between the stencil and print
surface is known as snap off distance and is a critical adjustment. In a properly tensioned screen
it is of the order of 1.00 mm or less.
The squeegee is a flat rubber pad made out of polyurethane rubber, or silicone rubber. It has the
hardness in the range of 50 to 60 shore. The geometry of the edge of the squeegee is maintained
by grinding the rubber on a abrasive wheel. Printing is achieved by spreading the ink on the
stencil, and executing a linear stroke using the squeegee, followed by a backstroke, which carries
the ink pool backwards. Figure 3.25 depicts the principle of screen-printing.

FIG. 3.25: Principle of screen-printing process

The limitation of screen printing process is that the line definition is limited by the mesh count,
and is governed largely by ink spread. Further, the print consistency depends on a large number
of parameters, which may vary from batch to batch, man to man, and machine to machine.
Sophisticated screen printing machines are available which try to minimize such errors. The
machine control is exercised on the uniformity of squeegee angle, squeegee pressure. Travel
speed of the squeegee, forward and backward strokes, frequent on-line indication of ink viscosity,
and image registration. With such advances it is possible to print lines as fine as 125 micron with
125-micron gaps, and that appears to be ultimate limit of this technology

3.5.3 Photolithography

Screen-printing is a low cost solution for making single sided boards, as well as for printing inner
layers in multiple layer constructions. However, it has several limitations. Tenting, which is a
requirement for processing double sided PTH boards, is not achievable by screen-printing. Layer
to layer registration, and creating lines finer than 125 microns are not easy to implement. These
limitations of screen printing can be overcome by photolithography.

The concept in photo printing is that the substrate surface itself is coated with a chemical, which
is photo sensitive, and the image is directly transferred through the photo tool without any
intermediate tool such as screen stencil. This is now a well established technology.

The chemical, which is photosensitive, is called photo-resist. In order to be useful, a photo-resist


should coat easily to a reasonable thickness, and on exposure to UV the resist should selectively
change its properties. For example the areas which see the UV light during exposure should
harden sufficiently to remain adherent to the substrate on development. Further, the hardened
resist should withstand the etching and plating chemicals and be able to tent the holes during
PTH fabrication

Years of research resulted in offering two types of photo resists, which answer all most all the
needs of PWB manufacturing. They are:

 Liquid resist or wet film resists

 Solid resist or dry film resists

Liquid resists have most the desirable characteristics; but their only draw back is the difficulty of
using them for tenting required for PTH operation.

Liquid resists: Liquid resists, also called wet film resists, are photosensitive chemicals offered as
a liquid to be coated on the copper clad surface. They are normally negative working type
meaning that exposed areas become hard and unexposed areas are washed off during
development. Positive resist would mean that the exposed areas would remain soft while
unexposed area will be washed off during developing.

Several methods are used to apply a liquid photo-resist to the laminate. These inlcude

 Spinning

 Spraying

 Roller Coating

 Dip Coating

 Electrophoretic Coating

Each method has its advantages and disadvantages and is chosen depending on the facility
available with the manufacturer. The resist needs to be modified to suit the chosen method of
coating. The process starts with filtering the resist itself although it is possible to use the resists
as received. However, certain types of contaminants, such as airborne particles or fibers,
inevitably come into contact with the photo resist. Contaminants as small as 0.5 micron can
cause short or open circuits, and therefore filtration before use is a good practice.

Thickness of photo-resist coatings varies predictably according to the coating technique. The
coating thickness variations will also result in predictable changes in the geometry of the photo-
resist image. While extremely small geometry can be reproduced well in small thickness coatings,
even greater resolution can be obtained by controlling the uniformity of the coating.
Spin coating, illustrated is a simple method, which gives uniform coating in the thickness region
of 1 micron and below. The coating should take place in Class 100 laminar flow air conditions. A
minimum spin time of 30 seconds is necessary for coating uniformity, and the photo-resist should
be filtered just prior to application. Spinning is used for coating on small areas such as is required
in wafers for IC manufacture.

Spin results in bow-shaped coating. The extreme edge generally has a ridge that is about 10
times the mean thickness on the rest of the surface.

Spray coating, is often used where thicker photo-resist films are required. The uniformity of
sprayed coatings can be surprisingly within 5% tolerance when mechanized spray arms used.
The major advantage is its ability to coat uniformly over non-uniform surfaces. This is particularly
advantageous in thin film circuit fabrication, where conductor crossovers interrupt the surface
uniformity of the substrate. This would also apply to any previously etched or plated surface
where topological non-uniformity exists. Also, sprayed coatings do not contain the internal stress
forces that are common to spin coated films

Roller coating, is used for coating the back sides of substrates. A positive photo-resist is
particularly useful for this application, since it is chemically resistant as applied. Conversely, a
negative photo-resist must be exposed before the part can be processed further, adding an extra
step to the process. The roller coating technique can also be useful for the application of thick
photo-resist films to thin substrates. In general, however, it is incapable of producing uniform
coatings below 5 microns in thickness. Other advantages of roller coating include low photo-resist
waste and adaptability to automation.

Dip coating is very widely adopted in printed wiring board applications. Conceptually it is simple
in that the cut laminate after cleaning and drying is dipped into a tank containing the photo resist
and withdrawn slowly. A thin coating of the resist will adhere to the substrate, which is fixed by
mild baking. The rate of withdrawal and the viscosity of the resist are adjusted to obtain the
desired thickness. However it is not possible to get thickness higher than about 8/10 microns
through this method.

In electrophoretic coating, the photo-resist is electrodeposited. The substrate, the laminate, is


made cathodic [or anodic as the case may be] in a tank containing the photo resist and a large
voltage is impressed across it and a dummy second electrode. The impressed voltage polarizes
the organic resist molecules and initiates electro-polymerization at the interface. The main
advantage is that it yields a pore free deposit of the resist even at very low thickness.

Curtain coating, is the latest method of coating a liquid resist. A thin curtain of the resist is made
to fall on the substrate, which is moving horizontally at a controlled rate. The liquid curtain as it
falls on the substrate gently covers it just like a cloth and gets fixed as a film during conveyor
movement and drying. The method obviously requires a lot of controls to achieve good results,
and if performed well can give very satisfactory coatings which can be used for tenting the drilled
holes as in the case of a double sided PTH boards. It should be noted however, that coating the
two sides of the laminate requires two operations.

Coating uniformity is paramount, especially since non-uniformity at this point will negate photo-
resist geometry control during exposure and development. Subsequent imaging steps have a
multiplying effect upon coating thickness variation. Therefore, the allowable process deviations
increase with each photo-imaging step, leaving the initial step with the least tolerable deviation for
optimum process control.

Baking: Most photo resists are not light sensitive in the liquid state, and the objective in baking is
to remove solvents from the coating without causing desensitization. When the solvents are
removed, the solid content in the photo resist forms a thin photosensitive film on the substrate. If
the baking is overdone, this film starts loosing its photosensitivity due to thermal degradation.
Under baking, will impair the photosensitivity and developing ability of the coating.

Conduction baking in a air circulated oven is the best form of soft baking. Soft baking also
improves resist to substrate adhesion. Backing in an vacuum oven is indeed a more effective
method for drying as it is characterized by efficient solvent removal, with operational simplicity
and wide baking latitude. A test for optimum baking involves measuring the as dried photo resist
thickness and comparing it after development, omitting the exposure step. Any loss in photo
resist thickness is indication of under baking.

Exposure: All photo resists are sensitive in the ultraviolet region of the light spectrum. Some of
the light sources, which emit intense radiation in UV region, are, mercury lamps, xenon lamps,
carbon arc, and metal halide lamps. The spectral output of mercury and metal halide lamps is
most efficient for photo resist exposure. Most photo resists have effective spectral peaks in the
300-450 nm region of the electromagnetic spectrum, as shown in figure 3.26
FIG. 3.26: Spectral curve of photo resists

Fine line geometry dictates the use of near point source light. Linear sources are excluded; as
they produce light that strikes the photo resist at many different angles, producing a shadow with
an infinite number of edges, each having an infinite number of intensities. Near point source light
sources provide a steep edged shadow that approximates collimated light. The use of parabolic
and ellipsoidal reflectors helps concentrate point source light to achieve maximum intensity. The
distance between a near point source and the photo resist must be optimized to prevent multiple-
edge image effects and to minimize undercutting. Over exposure or underexposure will result in
poor resolution in the smallest geometry of the resolution pattern. .

Development: Immersion and spray development has been used successfully in photo resist
imaging. Immersion development usually involves placing racked parts into a still or slightly
agitated developer solution and gently moving the rack until development is complete. Greater
efficiency can be obtained by using ultrasonic and mechanical agitation.

Spray development is a faster and more efficient method in terms of dimensional reproduction..
Spray developing is relatively easy to automate, provides fast and efficient development, and is
less subject to operator error.

Post baking is to improve the resistance of photo resist to etchants and plating solutions.
0
Resistance to etchants can be improved by baking at 90-110 C for 10 to 30 minutes. Post-bake
temperatures above this range can cause degradation of photo resist and weaken adhesion
forces. .

With its role fulfilled after etching and plating, the photoresist need to be removed. The resist may
be stripped with commercial solvents such as acetone, methyl ethyl ketone, methyl isobutyl
ketone, and numerous other proprietary strippers.
Solid Resists: Liquid photo resist films are quite economical and simple to use wherever
possible. However, there are certain distinct disadvantages in using liquid resists. The
shortcomings include inconveniences in coating large areas with a film thickness of at least 25
microns, which is required for good pattern transfer. Holes for PTH cannot be tented. Dry film
resists offer a solution to overcome this shortcoming.

Dry film photo resist consists of a photosensitive polymer material, which is sandwiched between
a polyester cover sheet and a poly-olefin release sheet. These protective covers shield the film
form environmental oxygen and assists free handling, as shown in the figure 3.27.

FIG. 3.27: Dry film resist

The dry film resist comes in thicknesses starting from 25 microns to 100 microns. It also comes
with aqueous, solvent, or semi aqueous development chemistries. Higher thickness of dry films
as compared to liquid films, calls for high intensity collimated light for fine line resolutions to
obtain. Collimated light exposure systems have unique imaging capabilities to replicate fine line
width.

Collimator: Parameters important for fine line resolution are centered mainly on the features of
light collimator and UV light intensity. Collimator is a focusing arrangement for the light rays to
make it exactly perpendicular to the exposure plane. The collimating optics calls for an intense
point source located at the focus of a parabolic reflector . In such an arrangement the reflected
rays will strike the table at 90 degrees. However, a small percentage of light also reaches the
exposure plane without collimating directly from the source. Such systems provide about 70% of
collimated light and 30% direct light.

The commercially available collimated exposure systems are designed with a single high wattage
[5,000 watt] source, such as mercury/xenon, short-arc lamps mounted in an aspheric collector.
The asphere collects more than 80% of the radiant energy and directs it upward to the UV
dichroic cold mirror. The dichroic mirror passes the undesirable visible and infrared wavelengths
to a heat sink, where the heat is removed. The desirable wavelengths from 300 nm to 450 nm are
reflected from the dichroic mirror and directed downward to the optical integrator. The optical
integrator is composed of a multi-lens array of clear fused Silica (Quartz) elements. Each lens
element's output irradiates the entire exposure surface. By having over lapping images,
irradiation intensity is evenly distributed on the exposure plane. A 50/50-beam splitter forms the
upper and lower beams. Dual precision polished and hard-coated mirrors collimate the beam and
direct it to the exposure plane.

Significant improvements in yields are attained due to the imaging precision of collimated light.
Virtual elimination of light divergence and declination angle with collimated light produces uniform
trace widths with minimum growth, displacement or undercut. Separation of the undesirable
infrared light energy from the UV energy by the dichroic mirror allows the exposure process to
occur with minimal thermal gradient at the exposure plane. This reduces unwanted growth and
shrinkage in film photo tools, which are environmentally sensitive to heat and humidity. Exposure
latitude is also greatly enhanced due to the very focused nature of collimated light. Tests have
shown that a wider range of exposure dosages can be tolerated with collimated light while still
producing well-defined sidewalls. Automation and higher production rates are more easily
accomplished on a collimated system because quality imaging can be achieved in soft-contact or
even off-contact printing, reducing the time required for lengthy hard vacuum cycles.

Dry film is laminated on to the substrate by feeding the cleaned and roughed panels into a
laminating machine, as shown in the figure 3.40. Panels are always handled by the edges as they
are loaded into the laminator. The rollers of the laminating machine will push the panel through
the laminator and at the same time the laminator will strip the polyethylene cover sheet on the
bottom side of the dry film allowing the dry film to come into contact the copper surface. The
polyester top cover sheet will remain on top of the photo polymer until later on in the process. The
heat and pressure of the laminating rollers causes the dry film to soften and flow into the micro
surface roughness of the panel, as shown in figure 3.28.

The laminated panel must be free of wrinkles, smooth in appearance, and exhibit uniform color.
Light colored areas may indicate poor adhesion. The laminated panels are returned to the rack of
tote in a vertical position to allow the film to cool and stabilize at the room temperature.
FIG. 3.28: Dry film lamination

The next operation is exposure of the dry film laminated panel to the image pattern on the photo
tool. The photo tool should be registered to the drilled panels. There are various techniques
used to align the image on the photo tool. In-frame pin registration is a common technique

If the registration is correct, panels are ready for exposure. With the panel placed in the exposure
frame, the vacuum blanket is lowered in to place, and the tray is moved slightly forward. This
activates a vacuum pump, which removes air in the exposure frame. The clear vacuum blanket
will press the photo tools firmly against the panel on both sides. If any air is trapped between the
photo tool and the panel, the small gap created will cause the light to scatter and distort the
exposed image. Panels should not be exposed until all such air pockets are removed.

As the tray is pushed in, and the exposure unit switch is activated. The panel will be exposed to
ultra violet light from both the top and bottom. The dark areas of the photo tool will block the UV
light, leaving the dry film beneath these areas unexposed.

The dry film that is exposed to UV light will react chemically and is polymerized to a hard film,
which firmly bonds on to the panel. Incorrect exposure will affect bond strength between the
photo resist and the copper. A weak bond will cause the resist film to break down or lift during the
plating or etching process. Exposure time can affect the dimensions of the developed image. Too
much exposure will cause the polymerized image to grow, as the excess light bleeds under the
opaque areas of the photo tool.

After the exposure, the tray is pulled back and vacuum is released. The top photo tool is removed
carefully followed by the panel. The exposed panels are placed in a rack or tote for specified
period in order to normalize the dry film dimensions. At this point, the latent image becomes
visible, and can be helpful in determining if the panel has indeed been exposed.
Once the panels have been properly exposed, and the specified normalization time has elapsed,
the panels will proceed to the developing process. The developer chemistry will remove the
unexposed dry film. A developing machine usually is a conveyorized multi-chamber-spraying unit.
Developing begins by removing the protective top cover sheets existing on either sides of the
exposed panel. The panels are then loaded on to the conveyor; leaving a 1/2 to 1-inch gap
between them. As the panels are conveyed in to the developing chamber, the unexposed resist
will be washed from the panel. Proper control of the conveyor speed will ensure the complete
removal of the unexposed dry film. The panels pass through several water-spray chambers and
as they emerge hot air knife will dry the panels. The image is inspected and touched-up before
further processing. The next process step is plating through the holes.

3.6 PLATING THROUGH HOLES:

Single sided boards cannot provide the required interconnection between components with high
pin count as needed in modern electronic equipment. The layer count as it is referred to, can be
as high as 20 layers or more in some cases. In such a situation one major requirement is a
reliable method of interconnecting the various layers, which are insulated from each other. In the
simplest form a two layer board, normally referred as double sided board, is necessary to
interconnect the circuit points on layer one to the circuit points on layer two at locations decided
by the design. Plating through the holes using electroless deposition was introduced in early
sixties to provide such interlayer connection.

Electroless deposition of metals is a self-sustaining chemical reaction initiated by a metal catalyst


like palladium. The advantage of electroless plating is that it can be used to plate non-conducting,
conducting and semi conducting substrates with equal ease, whereas for electroplating to take
place the surface has to be essentially conducting.

The source of copper in electroless plating is copper ions formed when copper sulfate is
dissolved in water. The chemical, which reduces the copper ions to metal copper is normally
formaldehyde, or can be any other reducing agent like hydrazine, sodium borohydride, or sodium
hypophosphite. The medium is generally alkaline by additions of sodium hydroxide or can be
acidic or neutral also in some cases. Other chemicals, which assist the process and modify the
property the deposit are also added in small quantities and are called additives. The entire
chemistry is generally offered as a three component system, divided as source of metal ions,
reducing agent, and additives. If all the three components are mixed in the recommended
proportions, the solution mix will be in a thermodynamically meta-stable state. The reaction will
instantaneously initiate only when a catalyst interface is brought in. Once the reaction is initiated,
it becomes self-sustaining with nascent copper atoms formed itself acting as catalyst. Therefore,
electroless deposition is also referred to as autocatalytic deposition.
The reaction is pH dependent, and pH sensing can give an indication of the progress of the
reaction. The Copper [++] ions undergo continuous depletion with time. Formaldehyde also is
continuously depleted. Side products of reaction such as formate ions and hydrogen gas require
that regeneration be done to maintain perfect balance. The control of chemical ingredients to
maintain the reaction kinetics reasonably constant is a major task. Depending on the rate of
deposition, electroless copper baths are divided into three categories as:

 Low build copper bath (1 micron per hour)

 Medium build copper bath (3 to 4 microns per hour)

 High build copper bath (8 to 10 microns per hour)

It can be seen that the electroless deposition rates are low; even the so called high build copper
bath gives only 8 to 10 microns per hour. Any attempt to increase the rate of electroless
deposition is likely to result in bath instability. Therefore, electroless deposition is not very
productive, and is used only for initial metalization only. It is a common practice in PWB industry
to use low or medium build copper baths for about half an hour, followed by electrodeposition
which is a faster method of deposition.

The electroless copper, which is as thin as 1 micron, needs to be augmented to at least 10 to 15


microns for satisfactory performance. This can be achieved through electrodepositing copper
over electroless copper. Electrodeposition takes place under the influence of direct current. The
electrodeposition equipment consists of a cell that contains an electrolyte, and two electrodes in
the electrolyte. The electrolyte is a solution of the metal salt such as copper sulfate, in case of
copper electrodeposition, along with sulfuric acid for higher conductivity, and other additives
which will assist in modifying the mechanical property of the metal deposited. One of the two
electrodes is called anode, which contains the metal to be deposited. The other electrode is
cathode, the substrate that is to be plated. When a small direct voltage is impressed between
these two electrodes the electrolyte starts conducting the current through the cell by the
movement of ions. At the cathode, as the copper ions approach, the charge on them is
neutralized and the resulting copper atom gets deposited on the surface of cathode. At the
anode, copper dissolves by acquiring the charge released at the cathode site, which reach the
anode through the external-conducting path in the cell circuit. This is a simple description of the
electrodeposition process; but the process is considerably more complicated, and governed by
the dynamics of ionic movement within the solution. Although the metals ions are present in
significant numbers, the electrolyte also contains a large variety of other ions added to support
the deposition. These other ions influence the electrodeposition process in no small measure.
The metal ions are always surrounded by other competing ions forming ionic clusters, which are
rather bulky for free movement because of physical drag. When a very small voltage is impressed
the inertia of the cluster will not allow the electrodeposition to occur. The metal deposition can
take place only when such a cluster reaches the cathode surface. As the impressed voltage is
slowly increased, working against the inertia of the cluster the movement of the cluster begins. At
the cathode surface the ionic charge is lost instantaneously to the cathode and the concentration
of the ionic cluster at the close vicinity of the cathode become zero while the bulk of the solution
still has a large number of such clusters waiting to travel towards the cathode. This situation
depicts a concentration gradient across solution/metal interface. The movement of the ionic
cluster thereafter is controlled by the diffusion of the ions due to concentration gradient. This is
pictorially shown in figure 3.29.

Diffusion
Anode
layer

Cathode

FIG. 3.29: Electrode/solution interface during electrodeposition

Any attempt to increase the deposition rate by increasing the cell voltage will therefore result in
non-linear relationship between cell voltage and deposition rate. The impressed extra voltage is
spent in discharging more mobile ions such as hydrogen ions present in the solution. Therefore,
every formulation carries along with it a set of maximum and minimum voltage conditions within
which a good deposition would occur.

Electrodeposition is a field-controlled phenomenon. The electrical field on the cathode surface is


never uniform, and so is the deposit thickness. While there will be a perfect balance of the
quantity of charge passed through the cell and the quantum of metal deposited, there is no
control on the distribution of the deposited metal over any given cathode surface. Mathematical
models can be used to map the distribution of potential across the cathode. The current
distribution map is shown in the figure 3.30.
FIG. 3.30: Current distribution map

Obtaining uniform deposit thickness in electrodeposition is, by and large, an art. It is


accomplished through a variety of mechanisms, shown in figures 3.31 to 3.35.

 Current thieving

 Shielding

 Directional anodes

 Conforming anodes

 Pulsed current

FIG. 3.31: Current thieving


FIG. 3.32: Shielding

FIG. 3.33: Directional anodes


FIG. 3.34: Conforming anodes

FIG. 3.35: Pulsed current

Panel and Pattern Plating process: Panel plating indicates plating over the entire panel area,
whereas pattern plating as the name indicates is a plating only on the pattern areas including the
hole walls and pads. The need for two approaches comes from the fact that in panel plating the
copper is excessively deposited on the entire panel area making fine line etching more difficult. In
pattern plating, only the tracks and the holes receive plating by selectively masking the etch areas
using dry film imaging. Therefore, pattern plating is a preferred method as finer line widths are
possible.

After building good thickness of electro-copper, the panel receives a coating of tin/lead on the
copper pattern area only. The tin/lead will act as an etch resist during the etching process which
follows.
3.7 Etching

The etching process is the removal of copper from areas where it is not required by chemically
dissolving the metal. In the case of single sided boards, this selective removal is done soon after
the laminate has received the image either through screen printing or through photo printing. In
either case the print area is protected by the chemical attack, while copper in the open area is
dissolved. In the case of boards with plated through holes etching is done towards the end of the
plating cycle.

Etch resists: Etch resists are available in two distinct classes. The first can be termed as organic
resists where the resist an organic chemical or mixture of chemicals. Under this category come
resists such as screen printing inks, liquid resist, dry film resists and the like. The second type of
resist can be termed as metallic resists. Under this classification included are metallic tin, gold,
nickel, silver or alloys of such metals. The purpose of etch resist is primarily to protect the area
beneath it. Any resist, organic or metallic, should also be able withstand the etching process
vagaries.

While organic resists are applied using different coating techniques, metal resists are applied by
electrodeposition.

Etchants: Many chemical formulations are used for etching. Commonly used etchants are

 Ferric chloride -acidic

 Ammonium per- sulphate

 Copper chloride- acid

 Copper chloride -alkaline

 Chromic acid

The choice of the etching formulation depends on the type of etch resist used because the cutting
efficiency of all the formulations listed above is more or less the same. Another very important
consideration in selecting a etching formulation is the ease of regeneration, and waste disposal.
The worst offenders are ferric chloride, and chromic acid based etchants.

The earliest etching formulation used in PWB manufacture was ferric chloride/hydrochloric acid
dissolved in water. This is very powerful etching solution, which cuts many metals like copper,
+++
brass, steel, stainless steel, nickel, etc. On the copper surface, the ferric Ion [Fe ] oxidizes
copper to cuprous chloride, and is itself reduced to ferrous chloride.

FeCl3 + Cu = FeCl2 + CuCl

The cuprous chloride is then oxidized to cupric chloride


FeCl3 + CuCl = FeCl2 + CuCl2

The cupric chloride then disproportionate, dissolving more copper and forming more cuprous
chloride

CuCl2 + Cu = 2 CuCl

Ferric chloride formulations are now mostly relegated to print and etch work. As ferric chloride
attacks almost all known metals, it con not be used where metal resists are required. A major
problem with use of ferric chloride is that it is highly corrosive and toxic with great disposal
problems.

Ammonia based Etchants: Ammonia based etchant contain ammonia solution of copper salt and
an ammonium compound, with the pH of the solution manipulated by the additions of ammonia.
An advantage is that they are usable when metal resists are used, such as tin or tin-nickel alloy.
The simplest ammoniacal etchant use dissolved oxygen as the oxidizing agent, which can be
replenished by gentle bubbling of air. A proposed reaction is:
++ +
Cu + Cu[NH3]4 = 2 Cu[NH3]

In the above reaction copper surface is oxidized by cupric ammonium complex present in the
etchant. This is followed by the oxidation of the cuprous complex ion by oxygen in air [dissolved
oxygen]
+ ++ -
4Cu[NH3]2 + 8 NH3 + O2 + 2 H2O = 4Cu[NH4] + 4 OH

Peroxide-Sulfuric acid Etchants: The peroxide sulfuric acid etchant is relatively new. Unlike the
previous etchants, they are used as vat etchants. The etchant consists of hydrogen peroxide,
sulfuric acid, stabilizers, and water. The etching reaction is exothermic and requires constant
cooling. The etchant is not yet widely used. Since the end product is copper sulfate, which can
be crystallized, the etchant chemistry is believed to be environmentally friendly. The mechanism
is:

Cu + H2O2 + H2SO4 = CuSO4 + 2 H2O (Hydrochloric acid can replace sulfuric acid)

Etching Machines: Machines play equally important role as the etchant chemistry itself. Several
versions of etching machines starting from simple tray etching , splash etching, and spray etching
are in use. The most important requirement in etching is the fast replenishment of fresh etchant at
the metal/solution interface. This is best possible by using spray etching. There are different types
of sprays possible. The spray can be fish tail, solid cone, hallow cone, mist, or even a jet. Some
machines use a combination of different types of nozzles to achieve the optimum spray
distribution.

It is also important to see that the dead zones are not formed due to spray puddle on the surface
to be etched. The movement of the job during etching ensures more uniform coverage of the
spray on the entire surface. Sometimes the entire set of spray bank is made to oscillate. The
good etching machine takes care many of the issues.

Etch Profile: The profile of the cut should ideally be vertical. But even in the best combination of
etching chemistry and a good machine, the profile is never ideal. The reason being that the
etchant cannot distinguish the x and y directions. For every cut in the x direction and equivalent
cut in the y direction should occur, and as a result an undercut results. However, fortunately the
presence of the etch resist on the protected areas acts like a baffle restricting the reach of the
etchant in the y direction. This limits the cut in the y direction , though not fully eliminating it. The
extent of the baffle action depends on factors such as spray type, spray pressure, grain structure
of the metal, reaction kinetics, and the hydrodynamic currents on the metal surface. The profile of
the cut is determined by the combination of these factors, and can be as depicted as in the figure
3.36

FIG. 3.36: Undercut in etching


It is obvious that etching is not as simple as it apparently looks. Over-etching, under-etching,
mouse bites, islands, jagged edges, restrictions, pin holes and many more of the etching defects
arise due to lack of appreciation of this fact. Etching is the single largest step, which will
determine the quality of the wiring board and requires very close attention with good
understanding.

3.8 Post operations

The printed wiring board is almost ready for component assembly after etching the board and
stripping the etch resist. However, the board needs further finishing, called post operations,
before it can go the component assembly house. The “post operations” include:

 Solder masking

 Legend printing

 Routing and de-legging

 Bare board testing


3.8.1 Solder Masking: The components are attached to the board by soldering. However, during
the soldering process one of the difficulties is shorting of pads due to bridging of the solder,
particularly because of the close distances between the pads. This defect will be more
pronounced if the boards are subjected to machine soldering operation in which the board is
virtually dragged on a standing wave of solder. To overcome this, solder masking is done before
sending the board for assembly. Solder masking is an operation in which the entire board area,
except solder pads (surface-mount pads) and PTHs, is selectively covered with an organic
polymer coating. The organic coating acts like a dam around the pads to prevent the undesirable
flow of solder during assembly, as shown in the figure 3.37. It also improves the electrical
insulation resistance between conductors, and provides protection from the environment.

FIG. 3.37: Solder masking acting as a dam

The solder masking compound is an epoxy resin compatible with the substrate. It comes as a two
component liquid resist system, which is printable using a screen. The screen stencil carries a
solder mask pattern, which is referred as pad master. The print pattern using such a stencil
would cover all the board area except the pad areas. The liquid resist is subsequently dried and
cured thermally in a hot air oven to complete the process.

The screen printing process, for many years, was the only way of applying solder mask to PWBs.
It is a versatile printing process, which permits printing of a wide variety of resin systems and
inks, and can apply a heavy coating on uneven surfaces with a reasonable degree of accuracy.
In spite of its simplicity, solder masking is one area, which commonly gets out of control
especially with dense circuitry. Solder masking inherits all the obvious limitations of screen
printing. For example, it is particularly difficult to obtain a perfect registration with respect to pad
area as pad sizes have continuously reduced over the last few years. Bleeding of the masking
resist on the pad areas is yet another problem associated with screen-printing. As a result solder
masking through screen-printing has paved way to photo imageable solder masking. The photo
transfer accuracy is always superior to screen-printing. If the solder masking resist is photo
sensitive, it can be accurately imaged on the board by photo printing technique familiar to PWB
manufacturer.
Photo imagable solder mask: Dry film solder masking, shown in the figure 3.38, referred as
secondary imaging process, proved reasonably successful initially; but it has not been without
problems. The most significant was the inability to make the resist conform to the track profile by
using roller pressure alone. As a solution to this, lamination under vacuum was suggested.
Vacuum laminated solder masks appeared satisfactory, but required special laminating machine
which was expensive. Thicker film weights were used to ensure full encapsulation of the tracks
and all this added substantially to the cost of PWB.

FIG. 3.38: Dry film solder masking

As a less expensive alternative to dry film photo imageable solder mask came the photo
imageable liquid solder mask [PILSM]. The immediate problem was to find out a suitable method
of coating the viscose liquid solder mask resist. Dip coating was not suitable because of the high
viscosity of the resin. Spray and roller coating need very tight process control and yield less
satisfactory results. A new method known as “curtain coating” emerged which was found
satisfactory for volume production, whereas for low to medium volumes a novel idea of using
open screen-printing was evolved.

The new range of screen printable photo imageable solder resists was based on epoxy resin
system, which is cross-linked by heat at the final curing stage. The resists are supplied, for
stability during storage, as two components, which must be mixed together prior to application.
Coating of the resist on the circuit boards by screen-printing follows normal practice, but without
the stencil on the screen. The weight of resist applied is controlled by selection of the screen
mesh with due allowance for the shrinkage of the resist deposit thickness during drying.

The resins, pigments, and cross-linking agents that make up the resist are dissolved and/or
dispersed in solvents which must be evaporated after printing to achieve a ‘dry film' which will not
stick to photo tool during subsequent processing. The evaporation of solvents is achieved by soft
0
drying in an oven at 70-80 C. Once dried, the panels can be coated on the reverse side and
again dried. They are then ready for photo imaging. Negatives of the resist pattern are required
and exposure is carried out by ultra violet light in the 350-450 nm range under vacuum to ensure
intimate contact.

The exposed panels are not developed immediately. They are held for a short period to permit
the light activated cross-linking to complete and developed using appropriate fluid like alkali
carbonate solution or trichloroethane depending on the type of resist. The panels are then dried
0
and inspected before final curing at 150 C. While direct sunlight should be avoided, the PILSM
resist can be handled in normal daylight for about an hour. Fluorescent tube illumination is the
most common form of lighting for work areas. However, there is no doubt that the use of yellow
light is the most desirable although not essential to prevent the accidental pre-exposure of
panels.

Typical development time is 20-30 seconds for the surface, while to clear holes about 2 minutes
o
may be required. Hole clearing can be improved by raising the solution temperature to 40 C.
Increasing the spray pressure from the 1.2 bar, produced in most machines, to 2.0 bar also
assists hole clearing, as does the positioning of upper and lower sprays so that they do not
directly oppose each other. The foregoing remarks apply equally well to the solvent development
type of resist also.

The developed image of the solder mask is in a semi-cured state. It is cross-linked sufficiently to
resist the developer but not to withstand soldering or hot air leveling. The resist is robust enough
to be handled for inspection. Should it be found faulty, it can be stripped using sodium hydroxide
solution or methylene chloride. Thus faulty boards can be recovered and recycled with relative
ease without loosing expensive boards. It is worth noting that prior to final curing, the solder
masks are resistant to copper and tin lead plating solutions which, combined with the ability to
strip the resist, means that they can also be used as plating resists too. The final cross linking of
0
the resin to obtain thermal and electrical resistance is achieved by baking at 140-150 C for 60
minutes.

Solder Masking on Bare Copper: Typically, the board which comes out after etching will carry
tin/lead as the top coating used as metallic resist during etching and will appear as shown in
figure 3.53, with the side walls of copper exposed. There is a danger in using such boards as they
are, because the corrosion of side wall copper will reflect on the reliability of the board. To take
care of this problem, the board is subjected to a fusing cycle in which the tin/lead coating is made
to melt, flow and cover the copper side wall. This fusing is done either by dipping the board in a
hot oil bath, or by blowing hot air. This step is called as solder fusing.

However, when such solder-fused boards are used subsequently for Solder Masking, the solder
mask covers the entire tin/lead coated track area. These boards, as they receive thermal shock
during assembly, the tin/lead solder, now underneath the solder mask, will melt once again
causing cracks on the surface of the solder mask applied. Therefore, it is necessary to remove
the tin/lead coating on the track area only, and apply the solder mask later making bare copper as
the base for bonding. This method is known as “solder masking on bare copper-SMOBC”.

Removal of tin/lead coating is done by chemically dissolving the tin/lead with a suitable chemical,
which will not attack the underneath copper. The board after tin/lead stripping, requires further
bond enhancement treatment on the copper surface to anchor the solder mask. This is done by
either “black oxide or brown oxide” treatment, which is essentially converting the surface copper
into its oxide which will impart microscopic roughness for better bonding.

Tin/lead stripping can be carried out directly on the etched board, or after one more imaging
operation to protect the pads and holes areas only by tenting. As one more imaging step will be
expensive, the etched board generally goes to tin/lead stripping directly with out any imaging. The
problem in this case is that the entire tin/lead coating (on the track areas as well as on the
pad/hole area) gets stripped. Hence, after solder masking, the boards should be again coated
with tin/lead solder on pad and hole areas and prepared for assembly. This is done by dipping the
entire solder masked board in molten tin/lead bath, and withdrawing with high pressure air
blowing open the small holes in which the solder has flown. This is known as Hot Air Leveling
[HAL], which is next step after solder masking.

FIG.3.39: Hot air levelling

Hot Air Leveling: Hot air leveling in a process in which the entire board, after etching, is dipped
in a molten solder bath for a short time [3-5 seconds], and withdrawn through a high pressure hot
air curtain blown on the surface of the panel. The process is schematically as shown in the figure
o
3.39. The temperature of the air is around 300 C, which is sufficiently larger than the melting
temperature of the solder. The board picks up solder from the molten bath, and the pressurized
hot air will blow away the excess solder on the surface as well as in the holes. The board after hot
air leveling looks bright with all the pads coated with new solder over and above the electro-
deposited Tin/Lead, which due to fusing is now an eutectic alloy. The keeping quality of the solder
coating applied through hot air leveling is good, and therefore acceptable for assembly even after
a storage period of about 2 to 3 months.

3.8.2 Legend printing: Legend printing is printing of the component values, names, symbols,
board identification marks etc. on the finished board surface so that it will help during assembly,
trouble shooting, and replacement. The “legend” as it is called is again printed on the solder
masked surface (which is reasonably flat) using screen-printing technique. Off-late, photo-
imageable legend inks are in the market making “legend printing” much simpler.
3.8.3 Routing and de-legging: Routing and de-legging is the final operation in PWB
manufacturing shop floor before it goes for “bare board testing”. Both are milling operations done
on the board to dig-out the special cut-outs when required, and to separate the individual boards
laid on a large panel

Bare-board testing: After making the wiring board it is essential to check the integrity of the
interconnections before pushing the boards for the assembly section. A major check, in addition
to the open/short test of the tracks, which one would like to ascertain is the behavior of tracks
under varied voltage load conditions. It is therefore required to design the board with testability in
mind by providing test points accessible for the test probes. It is indeed a challenge to accomplish
this task of 100% testing of all the boards manufactured.

Obviously, this calls for special fixtures and tooling, dedicated for every board design.
Fortunately, the cost of such tooling has decreased to a level where it can be offset by savings
using much smaller batch sizes than was previously possible.

The test technique is known as the ' bed of nails’ testing, since it consists of a bed of spring
loaded probes, each one connected to its own electronic circuitry arrayed on a matrix of 0.1 "
grid. A translation mechanism, the fixture, is used to convert these 'on-grid ' points to 'off-grid'
points, which in turn connect to the board under test. An index of the power of such systems is
often referred to as amount of memory available per pin.

Fixture: A fixture consists of

 A base plate, which for reasons of re-usability, is often drilled to the full matrix
available on the tester.

 Spacers which can be of any functional design

 Top plate drilled in accordance with the board under test

 Off-grid probes [or nails], which adopt an attitude away from vertical when, needed.
These nails do not need to flex; the nearest spring-loaded probe below will never be
more than 0.07" away requiring an attitude of less than two degrees from the vertical.

 This type of fixture can be dismantled at will and with the exception of the top plate
unique to the board under test, and is totally re-usable.

Generating a test program: The most common method of generating a test program is for the
system to learn the PWB connectivity by interrogating a known good board. This approach is
adequate where the batch is large enough to make assumptions as to which board is good.
Where the batch size is small, typically 2-10 off, it is a difficult task to select a good board. To
solve this problem it may be possible to take advantage of the computer aided design data,
assuming CAD has been used to design the board. In order to appreciate the practical
considerations of this approach it is necessary to consider how the system software interacts with
the user.

The ATE computer only recognizes channel addresses [or channel numbers]. These are of little
use to the operator, as they do not relate to the product under test. For this reason the system
software makes use of 'look-up tables'. The most universally employed lookup table consists of X
and Y co-ordinates where for example channel 1 is at X0,Y0, channel 2 at X0,Y1..... and so on.
Thus diagnostic messages are output from the machine in the form

" X32, Y75 is shorted to X73, Y42 " which the operator can interpret [ because 0.1" incremental
scale] as those points probed at X 3.2", Y 7.5" and X 7.3", Y 4.2" in relation to the Y0 origin of the
head matrix. In a similar manner, a test program can be entered into the computer using the
same X, Y nomenclature.

However, as the pitch decreases bed-of nails testing become more and more difficult, and for
boards addressing very fine pitch components it will be impossible to apply bed-of nails testing.
New methods of testing the bare boards have been developed. The method employed for fine
line board testing is known as “flying probe” non-contact testing.
4. MULTILAYER, FLEX, RIGID FLEX, 3-D AND MICRO-VIA BOARDS

4.1 MULTILAYER BOARDS

4.1.1 Introduction: Multilayer board [MLB] is a printed wiring board construction in which the top
and the bottom layers essentially planned for component mounting, and the interconnecting
tracks are buried in the inner layers of construction, with plated through holes connecting the
various layers, as illustrated in figure 4.0.1. It can be rigid, or flexible, or flexi-rigid in construction.

FIG. 4.1: Pictorial description of multilayer construction

At the outset of the design process, the designer has to take a critical decision whether he should
go for multilayer construction or not. The first thing which comes to designers mind is the cost of
multilayer construction which is much more than the DS boards. For example a four-layer board
is about 3 times more expensive as compared to DS construction. However, cost alone is not the
consideration, as there could be several technical reasons favoring the decision to go for MLB
design. Very often, the decision on the need for multi layer construction is already made the
moment the designer picks up high I/O packages to realize the required electrical function in a
smaller board area. There can other technical reasons as well, which will force a multilayer
design. The signal integrity in a multilayer design can be much better due to the fact that signal
lines, power plane, and ground lines can be separated and put in different layers. Further, in
addition to gains in the real-estate-area due to inner layers, the designer can be benefited by
using the methodology of multilayer construction for better EMI management, and can interpose
metallic planes to manage heat dissipated by the components onto the board surface.

Therefore, choice for multilayer construction will immediately bring a host of such advantages and
the designer can make the best use of it to offset the higher cost. Amateur designer, in the
beginning, appreciates only the gain in real estate area, and is happy with the extra area he gets
in the inner layers to accommodate the tracks. He prepares his design using strategies applicable
to DS board. As the designer gains experience, other advantages of MLB will slowly reveal
themselves, and designing MLB becomes a creative challenge.
In the case of DS board, the designer builds his board on a standard substrate. However, in MLB
design, the substrate itself needs to be planned. While the manufacturing shop will be able to
provide information on their abilities on substrate building, the designer should learn to direct the
manufacturer about the type of substrate he has planned for his design to work. He has to
specify, for example, the overall thickness, dielectric separation, the uniformity of dielectric
separation, number of layers, sequencing of the layers, type of interlayer connections planned,
the line widths, incorporation of heat sinks, and so on. Therefore, MLB design is a bigger
challenge, and requires deeper understanding of materials and processes to derive maximum
benefit.

As a natural growth from DS board, multilayer design was triggered by the growing demand for
high density interconnecting systems to meet the needs of increasing complexity in electronics
systems. The current market share of MLBs is shown in figure 4.2, with the market share is
expected to increase at the rate of 11% every year.

60 80

50 70

60
40
50
30
40

20 30

10 20

10
0
Single Side Multilayer 0
Double Side Rigid Flexible Flexi Rigid

FIG.4.2: Share of MLB in PWB World production

The earliest MLBs were called 'clearance hole' boards and were produced by laminating together
a number of layers of pre-etched circuitry. Access to lands on each innerlayer was gained
through clearance holes punched in the individual layers above it prior to laminating. This design
was short lived, however, because as the number of layers increased, the space needed for
clearance holes did not leave sufficient room for conductors to run.

As plating through hole technology became more reliable, the MLBs as we know it today, with all
layers interconnected electrically by " through hole plating " developed rapidly.

To hasten the process of understanding the real potential of MLB design, it is necessary to know
the materials and process technology of MLB construction. The following discussion very briefly
covers the technological issues involved in the production of MLBs, and includes description of
materials used, their storage and handling, and their impact on design.

4.1.2 MLB Construction: There are several ways of building a multi layer structure. Distinctly,
they can be classified into two categories: Conventional ML Boards [C-MLBs] and Build-Up-
Multilayer boards [BUM Boards]. While the BUM technology is of recent origin, the conventional
ML construction is still very much practiced all over and today account for 70% of the MLBs built.
The BUM constructions are more involved, and are generally required for very high-density
interconnections, whereas conventional MLB construction is a matured technology, reasonably
straight forward, and adequate for medium-dense constructions. It is natural to understand first
the conventional MLB constructions.

Conventional MLB construction: The simplest multilayer board is a four-layer board [Three layer
boards are not built because of planarity problems caused due to uneven stress resulting in
buckling of the boards]. A straightforward method to build a four-layer board is to bond together
two separately made double-sided boards. Another method is to add copper foils to the top and
bottom of a double-sided board with a dielectric separation, and generate pattern on the top and
bottom sides after bonding. These concepts are shown in figure 4.3 A and B. Higher layer counts
are possible by extending the same logic.

A -Prepreg method B: Foil Method

FIG. 4.3 A and B: Multilayer building concepts-Four layer board

For building MLB, the materials required are core DS laminate [ex: one can start with FR-4 grade
laminate], bonding material, copper foil, and a methodology of bonding, as well as interconnecting
the layered structure.

*One significant point to be borne in mind at this stage is that the overall thickness of the MLB.
The total thickness should match the connector type, which will ultimately connect the MLB to the
rest of the system. Default standards for various available connectors are 1.60 mm, 2.20 mm,
3.20 and 3.8 mm, and hence all MLBs are built in any one of the above overall thickness. The
layer count can be anything from 4 layers to as high as 50 layers, but contained in one of the
default thickness mentioned previously. Compare this with default FR4 DS board having 1.60 mm
thickness containing only two layers. The thickness reduction is possible only by using a starting
core having a much lower thickness, followed by decreasing the dielectric separation between the
two layers. The dielectric separation between two layers can be as small as 0.050 mm [50
microns], and the starting core can be just 0.10 mm thick. However, the thickness default
standards are relaxed, as special connectors, such as surface mounting type, become available.
The core thickness, as well as dielectric separation is the issue essentially related to material
property and process parameters.

The start-up materials required for MLB are:

a. Core-laminate

b. Dielectric for layer to layer separation

c. Copper foil

The core-laminate is similar to the laminate used for double-side board making but in thickness
from 0.10 mm to 1.0 mm. The exact core-thickness is decided by a large number of process
factors, and design elements, which will become apparent as we discuss further.

Dielectric separation between any two layers can also be as small as 0.05 mm [50 microns], and
up to 1.0 mm. Further, if the selected core is FR4 grade laminate, the dielectric chosen should be
compatible with FR4, which is epoxy based. Epoxy, in its solution form, and cannot be added in
thickness larger than 0.03 mm [30 microns] by standard methods of coating. If more dielectric
separation is required, [in a majority of the cases the dielectric separation required range from
0.05 mm to 0.80 mm] epoxy solution as such cannot be used. This difficulty is overcome by using
a material know as “prepreg” which is essentially a glass cloth of known thickness, impregnated
with solution of epoxy resin, and maintained at “green stage” [uncured state].

Prepreg: It would be useful to understand the properties of the "green stage" or “prepreg”
material in more detail as the whole of MLB construction depends on using this. Prepreg material
is prepared in two stages. The first stage called as A-Stage involves formulating the epoxy itself
and making it soluble in a solvent like acetone. The starting resin stock is a mixture of “bis-phenol
and epichlorohydrin” dissolved in acetone in measured proportions. The reaction is activated by
introducing “dicyandiamide” dissolved in “dimethyl-formamide” as solvent, along with a catalyst
“benzyl dimethyl amine [BDMA]”. The amount of each component used is controlled by weight,
and precise adherence to specified amounts, temperatures, mixing times, and a sequence
necessary for proper formulation. Mixers are used continuously to prevent stratification and
maintain uniform viscosity. Certain grades may even be pigmented to achieve a desired color or
specific appearance. The resin may also be extended with inorganic siliceous filler. At this stage
the resin generally has 70-80% solids carried in acetone solution. The fully prepared resin in then
held for a period of few hours allowing for the chemical reaction to stabilize at a level appropriate
to the impregnation step following. This is known as A-Stage resin.

In the next step, called as B- staging, the above resin blend is impregnated into the matrix of a
glass fabric as filler material. Glass fabric is a material constructed of interlaced glass yarns on
conventional weaving machines. The fabrics are woven into a variety of styles, which will allow
control over thickness, weight, and strength.

Impregnating epoxy into glass cloth is not easy because, the epoxy resin, which is organic in
nature, cannot bond directly onto the glass surface, which is inorganic in nature. Therefore a
coupling agent has to be introduced at the interface. The coupling agent should have both
organic as well as inorganic ends. Chemicals which have this structure are called Organo-Silanes
with generic formula RCH2CH2CH2Si(CH3); the R end is the reactive organic group, while the Si
provides the inorganic site. The fabric is ready for impregnation of the resin only after silane
treatment.

Impregnating the resin-blend into the matrix openings of the glass cloth is done on continuous
reel-to-reel equipment. This set up is known as treater. A treater has three operational sections:
the wetting apparatus, the ovens, and the take-up equipment. Rolls of glass cloth are loaded at
one end of the treater and pulled so that the web runs through the resin tank and picks up the
resin. The cloth travels through an adjustable gap set between rollers, bars, or scrapers to meter
down the resin content to the desired amount.

The wet web as it emerges out is heated by blowing hot air to evaporate solvent and achieve a
partial cure. The amount of resin retained, and the degree of cure is critical to the subsequent
laminating sequence and is closely controlled. The product leaving the ovens is B-staged and
may be cut into sheets or rolled for storage or sold as prepreg. If the prepreg is heated and
pressed, it will convert itself into rigid laminate, and this is known as C-Staging.

Actually, prepreg material acts as bonding sheets between the layers, and determines the
dielectric separation between layers. A limited number of prepreg thickness are generally in use,
and an arbitrary number called as style number specifies them.

Properties of Prepreg: Prepreg is qualified based on four elements, namely resin content, and
resin flow, gel time, and volatile content. These properties are important as they determine the
process parameters to be used to obtain satisfactory lamination. Broadly, prepregs may be
divided into three classes depending on flow characteristics. These are:

1 High flow-Gel times [150 - 280 sec.]. Used for two step lamination process

2 Low flow-Gel times [80-150 sec]. Used for single stage lamination process.
3 No flow-Gel times [less than 20 sec.] Used when no extruded resin can be tolerated such as
in the lamination of metal heat sinks to printed boards.

Resin flow property is important as this decides the final cure cycle, and also the filling ability of
the resin, as well as dimensional changes. As the resin in prepreg is only partially cured, it is still
“tacky” requiring careful storage and handling conditions They are stored at low temperature, and
o
at controlled humidity to keep it active till use. For example at 22 C and 50% relative humidity the
o
shelf life is just about three months, while at 10 C and 50% or less relative humidity, the shelf life
increases to about six months. Shelf life is due to the fact that, even at low temperatures, the
epoxy cross-linking reaction continuously keeps going, converting itself to a rigid mass. The
hardening process is very fast beyond a certain temperature, and this heat assisted curing cycle
is called as C-Staging.

Let us now consider the details of how Type 1 and Type 2 MLBs are constructed.

In order to evolve a manufacturable design, the designer has to first understand the sequence of
multilayer building. The sequence diagram below shows various important stages involved in
MLB manufacturing.

1. Decide the number of layers [Say Four layers]

2. Decide the overall MLB thickness [Say 1.60 mm]

3. Visualize of the type of construction: [Example: Type 2- Copper foil method]

4. Decide the thickness of starting core: [Example: 0.80 mm-FR4 grade]

5. Decide the dielectric separation; [Example: 0.40 mm on either side]

6. Decide the signal, power, and ground plane requirement.

7. Distribute these requirements in four planes: [Example Two signal layers and one each of
power and ground layer].

8. Decide which one is inner layers and outer layers: [Example Two signal layers can be
treated as inner layers, with top and bottom ground, power plane as outer layers.]

9. Design the inner layer interconnections, and establish the relation with ground and power
planes using appropriate via connections

10. Print and etch the inner signal layers [ no drilling at this stage]

11. Add the required dielectric to 0.40 mm thickness [Example: 2 x 0.20 mm thick FR4 pepregs
on each side] followed by copper foil of desired thickness. [Example: 70 microns with one
side treated for bonding]

12. Arrange the “stack” is required order taking care of registration


13. Laminate the stack. [Apply pressure and heat –C-staging]

14. Drill the stack

15. Carry out plating through holes,

16. Follow by electroplating tin/lead.

17. Etch the board [Top and bottom layers]

18. Carry out post operations

Keeping the above sequence in mind, let us discuss designers concerns in MLBs construction.

4.1.3 Via considerations: Having understood a four-layer construction as described above, the
next immediate concern is how to operate the interlayer connectivity strategy. The design
requirement may call for connections between

a. Layer 1 to layer 4

b. Layer 1 to layer 3

c. Layer 2 to layer 3

These possibilities are illustrated in figure 4.4 below.

FIG.4.4: Via possibilities in MLBs -Blind, Buried, and Through Via

The first type is called “through-via” which is similar as DS board connectivity. The second type is
called “blind via”, and the third type is called “buried via”. The options look very attractive for the
designer, but except for “through-via”, the other two types of vias, which are unique for MLB, are
quite cumbersome to build and calls for large cost penalties. Take for example, the buried via
which is layer 2 and 3 interconnection. It is impossible to connect them once the MLB is
laminated. The only way to connect them is to take deviation at step 10 of the above referred
sequence diagram, and instead of print and etch, complete the double-sided construction of the
inner core with “plated through holes”, and bring the “finished DS PTH core” back to MLB process
line. This would mean repetition of the entire process of PTH [about 20 steps] twice- once for
buried-via and finally for through-via at the end. For every set of buried-via planned addressing
different interlayer connection [Example 6 layer board with 2 and 3, 4 and 5 connections], about
20 additional process steps gets added. The designer can visualize the enormous process
additions he is making just by incorporating a few buried-via connections.
st
The situation is not simple either with blind-via interconnections. Descending from the top 1 layer
to inner third layer require a drilled hole ONLY TO THE REQUIRED DEPTH. For higher layer
count boards, built for 1.60 mm overall thickness, this distance for drilling will be as small as 0.05
mm to 0.20 mm. Is depth drilling to such close tolerances possible? The answer is largely “no”
and partially “yes” by specialized methods such as peck drilling at a very heavy cost penalty.
Added to this depth-drilling difficulty, another dimension of the problem is how to plate them with
copper. The designer, in his enthusiasm to make things small, also keep reducing the drill sizes,
and the plating solutions cannot reach such micro cavities which are normally locked by air
bubbles. In essence, the via options available for the designer in MLB design is still, by and large,
restricted to through-hole vias - others being possibilities at extraordinary costs. In fact this is a
major limitation of Conventional MLB construction. BUM technology addresses this problem very
efficiently.

4.1.4 Registration Considerations: From the above sequence diagram, it is clear that
“lamination” [i.e. converting into single ML sandwich] take place almost towards the end [step 13
in the above sequence]. Any mismatch between layer to layer registration would be therefore,
very expensive. As the number of layers keeps increasing, even the acceptable manufacturing
tolerances can get accumulated ultimately resulting in a reject.

Registration is essentially a process issue, and there is very little a designer can contribute to
improve the registrations. However, this does not mean that he has no role to play. He can
contribute on this issue in two ways, namely by providing larger pad areas, which will largely
cushion the position errors, and by accommodating “ registration test coupons” somewhere in the
panel size. Test coupon is made suitable for cross section or x-ray examination, and is tested just
after the MLB stack is drilled.

4.1.5: Drilling considerations: Drilling assume a great deal of importance in MLBs. Top quality
drilled holes are vital for successful MLB production. The designer assumes a very important role
in deciding the hole size, particularly of via connections, the pad area, and the aspect ratio. Lower
aspect ratio holes, having liberal pad areas are ideal. Lower aspect ratio is desired for better
through-hole plating. Backplanes which are normally thicker boards [larger than 2.2 mm] are
particularly difficult to plate as the aspect ratio increase. Another useful contribution, which a
designer can make, is to limit the number of drill sizes to a minimum, and distribute the critical
via-holes uniformly across the board area.
Even the best drilling practice will not give the hole wall which can be plated directly Hole This is
because, the lands on the inner layers are exposed as rings of copper on the hole wall. The
electrical interface between the plated copper and the internal layer circuitry is rather critical, and
it is essential that the copper-edges of the lands exposed on the hole wall are free from any
contamination for assured electrical continuity. The most common problem is “resin smear” which
is due to temperature raise at the drill interface.

The preparation of the hole wall for the subsequent metal deposition is primarily concerned with
the removal of this resin smear and also the loose drilling debris.. Ultrasonic cleaning removes
the debris with relative ease, but the smeared resin demands some kind of strong chemical
attack. Two basic approaches, categorized as de-smear and etch back are followed to achieve
this goal.

In de-smear, the chemical attack is designed to remove only the surface smear of the resin from
the hole wall without attacking the wall structure itself. Etch-back is a stronger chemical attack,
designed to remove not only the smeared resin, but also the additional resin from the board
structure and protruding glass fibers. Figure 4.5 illustrates the concepts of desmear and etch-
back. In a well-controlled system this will permit the individual copper rings to protrude into the
hole wall and present more surface area to the plated copper.

FIG. 4.5: Chemical De-smear and Etch Back


For de-smear or etch-back, sulfuric or chromic acids are used. However, new systems using
alkaline permanganates have become available. Another system which has come into wide use
in recent years is plasma de-smear technology. The growing use of polyimide resin systems has
made plasma a must, since other chemicals do not attack this resin. In the plasma system the
boards are exposed to a mixture of gases in a partial vacuum and a high frequency field. The
resultant chemical attack effectively removes the smeared material. Plasma is also used
successfully on other resin systems too

When full etch back is required, any of these systems may be used but all must be followed by
another process step using hydrofluoric acid [or ammonium bi-fluoride] to remove the projecting
fibers of glass cloth.
After de-smear or etch-back step, all subsequent processing of the MLB is essentially the same
as that of a two sided plated through board.

4.1.6 Manufacturing considerations: The chief manufacturing considerations are registration,


photo-printing and surface treatments, plating through hole, and lamination.

a. Registration: The manufacturer will try to obtain best registration by using tooling plates called
as “Caul Plates or Laminating Plates” [0.25"/6.35 mm thick MS or 0.50"/12.7 mm thick
aluminum]. These plates are ground flat and parallel within +/- 0.001"/0.025 mm. Three or more
0.25"/6.35 guide pins are used in accurately located holes with drill jig bushings inserted. In most
cases, an additional pin is located eccentricity to prevent accidental reversal of individual layer
during laminating stage. The location accuracy of these pins are critical because they control the
total package registration of film to layer, layer to layer, and ultimately hole registration to artwork
pattern during drilling.

b. Layer registration: Tooling hole punch is used to accurately punch holes in each layer to fit
the guide pins. One approach is to punch oblong holes to help equalize movement of material
during lamination. For small quantities or experimental work, round holes may be drilled if an
accurate hard drill fixture is used.

c. Film registration tooling: Registration of each layer of film - one to another- is controlled by
punching holes in each layer of film to coincide with the tooling holes punched in the individual
details, the tooling pins in the Caul plates, and eventually with the drilled hole pattern. The best
way to do this is to locate the tooling holes on the film itself while it is being plotted and
subsequently punch out using an optical punch.

Another way to obtain better registration is by punching tooling holes in the unexposed film using
the same punch tooling used on the individual layer details. This pre-punched film is then placed
on permanent pins on the bed of the photo plotter and the image is automatically registered to
them during plotting.

d. Photo Printing and surface treatment: While conventional photo printing technology is used
to image individual layers of circuitry, it is particularly important that the standard principle of
'emulsion down' be followed faithfully so that succeeding layers of the board are viewed properly.
For example, on a six layer board made with three two sided details, the film for layers 2 and 4
and 6 should be wrong reading when viewed from the top, or side 1 of the MLB. In both printing
and etching careful handling is necessary because of the thinness of the laminate materials,
which are damaged easily. Some scrubbers and dryers require special rails to handle the
material. During developing, etching and subsequent stripping details may be taped to the leader
board of rigid unclad laminate to ensure steady movement through the machine.
e. Surface Treatment: Prior to lamination, the etched copper conductors on the individual details
are treated to improve their internal adhesion after lamination. The process used to enhance the
bond is called as "black oxide or more correctly brown oxide" treatment. The copper surface is
chemically oxidized to a brown oxide which provide microscopic roughness for bonding with liquid
epoxy. The process involves a series of steps unique to multilayer construction.

f. Lamination: The lamination package or 'book' is made up of all the etched internal details
interleaved with a predetermined number of prepreg piles. Top and bottom layers of un-etched
copper clad are included which will ultimately become the outer layers of circuitry. .

The type and number of piles of prepreg between each layer is determined by considering the
overall thickness requirements of the finished board, minimum thickness requirements between
layers [particularly if there are critical impedance requirements] the thickness of copper. and
circuit density on the individual layers. For example with similar circuit density, two ounce copper
will require more resin than one ounce copper foil to fill around the conductors. Generally there
should never be less than two piles of prepreg between two layers. In preparation for lamination,
the book is placed between two caul plates with the individual layers held in registry to each other
by steel tooling pins inserted between the plates and through the pre-punched tooling holes in the
layers. Slip sheets of mould release material are placed between the outer layers of the book and
the plates themselves. Figure 4.6 illustrates multilayer press and its elements.

FIG.4.6: Small Multilayer press

There are two commonly laminating cycles for epoxy type of materials, both starting with the
o
press preheated to 177 +/- 2.5 C. One cycle is for use with high gel -prepreg [150 - 280 sec],
and consists of two stages. The first stage is a 'kiss cycle' lasting for 2.5 to 4.5 minutes at very
low pressure [10 to 25 psi]; followed by an hour cycle at the press temperature and full pressure
[200 psi]. At the end of this cycle the cooling has to be done. If cooling is done in the same press,
this pressure is maintained during cooling also until the press [and the laminate book] reach room
temperature, which may, take as long as 4 to 6 hours on forced air-cooling. The second system
uses low gel prepregs [70 to 150 sec] and consists of a single high-pressure cycle of one hour
duration, followed by the same cooling procedure described previously.

During lamination, the epoxy resin is in the fluid state, which is essential to fill the gaps and voids.
As the pressure is applied during this period, excess epoxy should be allowed to flow out of the
laminating area. The designer has to consider this process necessity and provide “venting” area
in the photo-tool design. The vent area is a cross-hatch pattern about 10 mm wide run all around
the laminating panel as shown in figure 4.7. This hatch pattern has to be created by the designer
on each layer.

FIG.4.7: Vent for lamination

The designer can introduce heat-dissipating metallic planes during lamination stage. If the heat
density on the board is high due to power component density, heat sinking is possible by
introducing metal plane just underneath the “hot component” foot print. The metal plane
themselves are separately designed and produced through chemical milling. Either copper or
aluminum metal is used. The best place is just next to the component mounting side, as indicated
in figure 4.8.

FIG.4.8: Chemically milled metal planes


The dielectric separation between the metal plane and component bottom is made as small as
possible for better heat transfer. Occasionally, a thermal conducting “prepreg” or epoxy is used
for such bonding. Metal cored laminates incorporating “copper-invar-copper “ are also introduced
during the laminating stage. Such planes are connected using metalised thermal via-holes
placed under the heat dissipating devices.

After the one-hour press cycle of either the single or two-pressure system, the pinned packages
can be removed hot from the press and cooled under low pressure in a separate cooling press.
The stacks are taken out, trimmed, and bare board tested, followed by post operations.

4.2 FLEXIBLE BOARDS

Every year worldwide flex circuit demand increases primarily due to advantages flex offers in
space consumption, weight and flexibility These unique characteristics make flex a necessary
replacement for rigid circuits and conventional wiring schemes in a number of applications and
have facilitated the development of many new applications. The largest growth area for flex
circuits is interconnecting computer hard disk drives [HDD]. As the head of HDD scans back and
forth across the rotating disk, flex circuits have replaces wires in connecting the moving head
assembly to the controller board. By employing a technique called “flex-on-suspension”[FOS],
manufacturers are able to increase yields and reduce assembly costs.

Interposer flex is a second huge area of flex application. The new IC packaging technologies
such as Chip-scale-packaging [CSP], multichip modules [MCM], and chip-on-flex[COF] all employ
flexible circuits as interposers. CSP interposers specially represent a large market because they
are used for semiconductors and flash memory in PCMCIA cards, disk drives, personal digital
assistants, mobile phones, pagers, camcorders, and digital cameras. Liquid crystal display [LCD]
monitors, membrane switches, inkjet printer cartridges use flex circuitry. Portable devices such as
cell phones, flat panel displays, and medical devices are growing areas of flex application.

Flexible board [FB] is not just a “flexible cable”. It not only carries interconnections [like cables]
but support the discrete components also. The option for flexibility of the board is dictated by the
end use. Mechanically, FB is not as strong as rigid boards- but are necessitated by the wide
product profile in which they are used.

Two types of flexibility requirement can be recognized. The first one is continuous repeated
flexibility during the entire lifetime of the circuits, and the second one is “limited flexibility [less
than 10 times] ” only. A large majority of FBs comes under the second category- requiring limited
flexibility.
Surprisingly, the design requirement and the assembly methods are not too different from those
used for rigid boards. However, there are certain differences in the substrates, as well as process
technology.

Substrates for Flexible Boards: Epoxy is a thermosetting rigid material not suitable for making
the circuits flex. Further, any polymer material gets rigidity due to reinforcement. Dielectrics such
as Polyimide and Polyester, which are thermoforming and flexible without any kind of
reinforcement, are ideal flex substrates. They can be used as films in thickness of 0.03 to 0.10
mm. Polyimide is a thermoforming polymer having flexibility and electrical properties across a
very wide temperature range. Its very good thermal stability under processing conditions
[including heat shocks], and its relatively low z-axis expansion makes it very attractive. Polyester
too has excellent flexibility, electrical characteristics, chemical resistance, but its weakness is its
low thermal stability.

Both polyimide and polyester films can be drilled, punched, thermoformed, laser machined and
coated easily. However, polyimide is at least three times more expensive than polyester.

One another less commonly used substrate is "Aramid" which is a fiber based paper or cloth.
Aramid is a thermoset material based on high performance nylon. This material is very limited in
the number of applications because it has high moisture absorption [up to 9%] and wet chemical
processes like plating is to be avoided since they can be trapped in paper structures.

Another candidate is Fluorocarbons which is also flexible having excellent electrical properties,
particularly suited for high-speed circuitry. It has good chemical resistance, low moisture
absorption and self-extinguishing properties; but poor thermal stability

Yet another alternative is composites, which are resins reinforced with high strength fibers, or
fabrics have good dimensional stability, thermal resistance, low moisture absorption and low
flammability. Some of the materials available in this class come under the trade names
Cosmoflex, Roger's Bendflex and Sheldahl's NEL. Reinforced dielectric can be machine or hand
soldered repeatedly with little adverse effect on the substrate. They are relatively expensive.
Table4.1 depicts the properties of different flex substrates.
Table 4.1 -Properties of Flexible substrates

Dielectric Dielectric Maximum CTE Moisture Elongation (%)


o
Constant Strength Operating (ppm/ C) Absorption(%)
o
Material (volts/mil) Temp( C)

Polyester 3.2 6,000 105 27 <0.08 120

Polyimide 3.5 6,000 200 20 <3.00 70

Aramid Paper 2 350-500 200 22 3.0 10

(Adapted from: Ken Gilleo, 'Handbook of Flexible Circuits' and Joseph Fjelstad, 'Flexible Circuit
Technology')

Conductors: Like rigid substrates, the flexible dielectric should carry the copper-conducting
plane. In the case of rigid substrates, copper foil is added by lamination process. However, for
flexible dielectric materials, lamination is not a satisfactory method. Instead, an adhesive is used
to bond the copper foil. The adhesive is either “acrylic or epoxy” based. The adhesive is applied
onto the pre-conditioned metal foil under heat and pressure.

Adhesive has always been the weak link. Military specifications demand flexible substrates, which
are usable without adhesive bonding of copper conductors. An adhesive-less flex substrate is
made by a process similar to lamination. The Cu foil is coated with a “polyimide prepreg” film to
form the composite.

Another “adhesive-less approach” is to deposit copper layer on polyimide film by vacuum


deposition/electroless deposition, followed by electroplating. An advantage of this approach is
that the polyimide film can be punched prior to Cu application, permitting plated through-holes
(PTHs) to be made in the substrate during electroplating.

Polymer Thick Film [PTF Circuitry]: Instead of using copper circuitry, polymer thick film
conducting inks can be used on flexible laminates. The patterns are realized by screen-printing
PTF inks onto the dielectric substrate and curing. Use of PTF material is increasing and
considerable development efforts are going on in this area because of cost savings and the
intrinsically low pollution levels with the circuit and assembly technologies. Most of today's
computer keyboards are manufactured using PTF.

Flex technology: There is no difference in the process steps used for making the rigid board and
a flex board. However, due to the flexible nature of the substrate, process equipment performing
the process steps is different. Generally, it is convenient to handle a flexible substrate in a “reel-
to-reel” format, rather than as discrete panel. This small change dictate horizontal processing,
which is fine up to the electroplating stage. However, electroplating in horizontal mode require
special equipment and fixtures. The chief difficulty is handling the flexible substrate.
4.3 RIGID-FLEX TECHNOLOGY

There are several applications in electronics system calling for interconnection substrate to be
flexible in certain areas and rigid otherwise. Such substrates are known as Rigid-flex circuits and
are perhaps the most complex interconnection structures in production today. The flexible portion
of the circuit is bonded to the rigid portion of the assembly, thereby introducing the flex material
as part of the plated through in the rigid section. They are capable of offering cost and weight
savings as well as increase in reliability over conventional wiring while greatly reducing rework
and repair. Figure 4.9 illustrates a Rigid Flex Board.

FIG. 4.9: Rigid-flex board

The basic element of one type of rigid-flex technology called as “PAL core”. It is a specially
formulated dual stage epoxy coated copper foil. The copper foil is coated with a modified tetra-
o
functional epoxy resin with glass transition temperature at 140 C [Tg] formulated to retain
flexibility.

The first epoxy layer is cured to a 95% cure- C-stage. This provides a defined dielectric in the
overall composite lamination. The layer is then coated with the same epoxy, but is only cured to
B-Stage and ready for further lamination. This PAL-core Dual Coated copper foil is the basic
building block on which the technology is based.

Regal-flex technology is presently used in applications such as airborne radar, guided missiles,
cockpit controls, navigation and communication systems, engine control modules, notebook
computers, and disk drivers. It is also believed that PCMCIA cards, smart cards, and MCM-Ls
present attractive opportunities for the technology based upon rigid-flex.

4.4 3-D RIGID MOLDED BOARDS

Injection molded rigid printed circuit broads is a technological revolution in electronics


interconnection. Compared with conventional rigid FR, polyimide or Teflon substrates, Injection
molded plastic substrates feature enhanced electrical, physical and environmental properties at
economical prices. In fact molded boards, because of their unique ability to be shaped into
complex shapes, represent a new generation of printed circuit boards providing cost effective
solutions to several packaging situations for best utilization of volume space.

Injection molding is not a new technique by itself. However, its adaptation to printed circuit board
making is a new concept. The conventional rigid boards are planar in nature, and provide
interconnections in x and y dimensions. The multilayer is still planar in nature with thickness
growing in the Z direction. In contrast, the injection-molded boards are truly 3-Dimensional in
character, with the ability to shape in all three directions as per the exact volume availability in the
instrument cubical. Using 3-D technique it is now possible to add the electronics circuit to the
plastic case, cover or general housing structure, or in other words fully integrate electronics with
the product being designed. In addition to this novel gain, the major driving force to adopt the 3-D
technology would be the opportunity for cost reductions. Savings are accomplished in two ways:
first through the elimination of the process steps in actual fabricating the PCB : secondly by
simplifying or minimizing the sub assemblies thereby reducing assembly costs. Further cost
savings may also come from incorporating 'attachment joining techniques' like ultrasonic welding.
Unlike the conventional rigid boards, injection molded boards can be sonic welded together or to
other structures or mounting of secondary hardware or components like threaded metal inserts,
terminal posts and the like. Figure 4.10 illustrates 3-D Moulded boards.

FIG. 4.10: 3-D Molded Board

Molded boards, regardless of whether they are planar or non-planar shapes share a unique
commonalty, which sets them apart from common circuit boards. First, injection molded
substrates result in the elimination of most if not all of the mechanical operations involved in the
fabrication of the PC board. The molding-in of holes, slots or other features can reduce
drilling/routing labor and eliminate certain disposable materials such as entry/backup boards, as
well as drills and router bits. Even more complex circuits having internal cut-outs such as J slots,
Key-ways, countersink holes, beveled edges etc cab be molded in one shot, offering considerable
cost and time savings on mechanical operations. Essentially the more details the physical profile
of the board more attractive will be the molded boards.

Secondly, the molded holes have excellent plating character. Defects such as rough holes, glass
fiber protrusion, wicking, and halloing are eliminated. The molded plated through holes [MPTH]
are quite consistent in thickness and free from nodulation. Thirdly, molded in features are
accurate in their dimensional registration from pat to part. This can be of considerable value for
automatic component placement. equipment. Once having compensated for the resin shrinkage
in the mould design, each and every board coming out of the mould are dimensionally identical
unlike boards fabricated individually.

Molding need not be limited to holes and cutouts only. The design can incorporate dents and ups
in the physical profile to suit the exact needs of the product being developed. For example, the
boards can have recessed areas for accurate placement of 'onboard' components such as chip
resistors, capacitors and inductors. A molded-in cavities optimizes placement of SMDs. In such
cases the soldering is to be done by vapour phase soldering technique.

Additionally, chip on board [COB] surface mount techniques using thermosonics, ultrasonic and
thermo-compression wire bonding procedures are practical on molded boards because of the
high temperature stability and fire-retardant character of the substrate. In fact molded boards
fabricated by high temperature materials like Poly-arylsulphone, Poly-ethersulphone, and Poly-
etheramide are more compatible to COB or TAB-on board techniques than glass epoxy substrate.
Incorporation of recesses or cavities during molding facilitates assembly of COB components as
well as PLCCs and chip carriers. With TCE between 23 and 28 PPM/C molded thermoplastic
substrates are well matched to plastic surface mounted components.

Injection molding of printed circuits is only one aspect of the evolving technology. The next stage
is the molded printed wiring assembly containing not only circuitry but 'on-board’ molded-in
structural supports, ribs, bosses, stand-offs and snap-fits. These three-dimensional features
enable design engineer to create new and novel multi functional packaging layout configured to
meet constrains of space, weight and shape.

3D Technologies: Molding produces substrates, which do not carry any metal on them. The
conductors are to be added by 'additive methods'. One technique is to use a conducting polymer
thick film [PTF], followed by plating on such circuitry to enhance the conductivity. This category
tends to suffer from low adhesion of the track to the substrate The initial tooling costs is to be kept
low at acceptable levels.

Electroless plating is used in PCBs for over thirty-five years now. Semi-additive or fully additive
plating using catalyzed surface is acceptable to 3-D moldings also. In general semi-additive is
used where the circuitry is required on one plane only and a plating resist can be applied to the
substrate. For applications where the circutisation is required for a more than one plane, a resist
less imaging using fully additive technique is suitable.

Using this technology, the molded substrate is made receptive to plating and then immersed in
photo sensitive plating catalyst solution. This is followed by selective exposure to UV light to
either a 2 or 3 dimensional photo mask. Those surfaces and through holes which are exposed to
UV become copper catalytic while other areas are washed out in developing process. The
catalytic image serves as the base for electroless plating in a majority of applications, or
sometimes electroplating. The second category tends to require extensive multistage processing
steps. This approach involves specialized two-shot molding machines, which are expensive, and
the corresponding tooling costs are high.

Another approach is to transport a silk screen-printed multilayer pattern into the molding tool to
impart the 3-D shape. Although it is possible to produce 3-D circuits like this it is not convenient.
Yet another method is to produce a 3-D board is to print the conducting inks directly on the 3-D
surface using a PAD printing technique. The inks can be of low temperature firing type to
enhance the bond strength. Alternatively the molded substrate can be electroless metallized all
over, and negative resist pattern printed on the metallized surface to act as stop off for etching,
and the pattern etched. Track and PTH thickness can be built further by electroplating.

4.5 MICROVIA BOARDS

The multilayer board technology described above has seen a quantum jump with the advent of
sequential building methods, introduced by YASU IBM in the year 1986. Subsequently, a series
of parallel technologies emerged, and today there are more than 40 variations of sequential build
multilayer board methods. All these technologies have emerged in an effort to address "“high
density interconnections" which not only require finer lines but also micro-vias, particularly the
blind and the buried varieties. All technologies, which address this issue, are grouped under
“Micro-via Technologies”.

The main difficulty in the conventional method of multilayer construction is producing blind vias,
and vias smaller than 0.20 mm in diameter. This restriction comes mainly due to the inability of
the mechanical drilling methods to achieve such targets. Blind holes are made by what is known
as peck drilling, which is not a productive technique. The IBM Yasu started off with an idea that
holes can also be optically patterned just like lines. Experimenting on this idea they arrived at a
multi layer structure which can be sequentially built, one layer by another layer, on the top of a
core substrate by alternating photo-definition of the tracks and holes, and metallisation steps. The
technology has since matured, and also given raise to a large number of technological variations.
We will discuss some important variations, which are likely to make commercial success.
Photodefined MLBs: The essence is that the vias are formed in photosensitive dielectric
material, generally based on epoxy-acrylate chemistry. The dielectric material is applied to a base
laminate, as a liquid or dry film, exposed and developed and thermally cured. The process is
similar to solder mask, implying that there is familiarity with the process and the requisite capital
equipment is in place with all MLB manufacturers.

The simplest photo-defined MLB has a layer count of four built on either side of a core laminate.
The photo-dielectric is coated on the DS patterned core, and the outer layers are built by copper
deposition, followed by patterning. The micro-vias are essentially built on the outer layers.
Sequential building is another approach. The photo-dielectric layers are added one by one
sequentially, and at every layer built, copper metallisation followed by patterning is repeated. As
the number of photo-defined dielectric layers increase, electrical connection to the inner layers
are made possible by cascading using micro-vias as shown in figure 4.11.

FIG. 4.11: Cascading of microvias

The entire processes which looks so simple are not easy to make as there are some key issues
which needs to be specifically addressed. First, it is not yet easy to obtain a suitable photo-
dielectric material. The next issue is coating the dielectric evenly on a patterned surface is by no
means simple. Finally, the bonding between the dielectric and plated copper is not easy.
However, while the technique is mastered in Japan, Europe and America have not shown much
interest in this technique.

There has been a lot of work in evaluating methods other than mechanical drilling for making
smaller holes [micro-vias] in the copper clad laminate. The options evaluated are:

a. Laser

b. Plasma

c. Chemical milling

Laser was obviously the first candidate for making micro-vias. The idea was to use the proven
material like epoxy-glass laminate and using laser beam ablate the material at desired locations.
The epoxy glass laminate posed problems because the laser had to act evenly on materials of
drastically different characteristics – the copper metal, the epoxy resin, and the glass
reinforcement. The holes showed burnt appearance, unsuitable for plating. However, later
advances in laser technology have now enabled a proper laser to be used, which will give
satisfactory results on epoxy glass.

Early difficulties in using laser encouraged evaluation of plasma for making smaller holes.
However, while plasma can ablate the resin, glass fibers posed problem. To take care of this,
plasma etching was first tried on flexible laminates, which do not have any reinforcement.
Typically, flexible polyimide was an excellent candidate for plasma etching. The copper layer itself
was used as etch resist. All that is required was to image the holes area [etch copper where holes
are required] and subject the laminate to oxygen plasma. Plasma attacks where ever there are
copper openings, and the micro vias are drilled. The steps can be repeated for Multilayer
construction using the same lamination concept.

Taking clue on the plasma drilling of micro-holes, instead of plasma, a chemical, which would
dissolve the polyimide, was also tried with good success, and all the four methods [photo-
definition, laser, plasma, and chemical milling] were available for generating micro-vias.

While making micro holes was one problem [which was solved], another problem was to plate
these very small holes with high degree of reliability. One advantage, however, was the aspect
ratio was small due to reduced dielectric thickness. Typically, the dielectric separations tried was
100 micron and less, and on this thickness 100 micron hole will still give an aspect ratio of “one”
which is platable. Further, the profile of the micro via was usually a funnel type, which further
assisted solution flow during plating.

In a parallel attempt to find replacement for copper plating which is cumbersome, research was
directed towards using electrically conducting adhesive to fill the micro-holes for interlayer
connectivity. Adhesive research supported this view, and a variety electrically conducting
adhesives with varied properties was made available. A particular variety known as “Z-axis”
conducting adhesive received greater attention and newer technologies of using it for micro-via
MLB constructions was invented.

New process approach of making micro-holes, new conducting materials, and newer laminate
concepts have resulted in more than forty technological routes for making micro-via board
constructions. We will outline a few of them which have sustained with different degree of
commercial success.

b. Dycostrate Technology: Dycostarate process uses plasma etching to etch blind and
through vias in polyimide films. The plasma etching is familiar to MLB manufacturers who
used remove drill smear and prepare the drilled hole walls for plating. Working on the same
concept, a Swiss company Dyconex developed special equipment to perform plasma-drilling
process, as illustrated in figure 4.12.
FIG.4.12: Dycostrate Technology

b. 3M's LMI Technology: 3M company introduced a concept called “ Laminated Micro-


Interconnect [LMI] which creates interconnection between layers during lamination. The LMI
takes advantage of wet etching capability of polyimide to create em-mass holes in the film for
subsequent processing, and is illustrated in figure 4.13.

FIG.4.13: Ms Laminated Micro Interconnects

c. Tessars TLS Technology: Tessera is widely noted for its development of -BGAs. It
concentrates on a substrate “Tessera Laminated Substrate” [TLS] which takes advantage of the
standard 0.50 mm grid described in IEC publication 97. Use of a standardized grid to both device
and the substrate offers the potential to directly mate the chip packages to substrate without
having to add wiring redistribution layers. This novel laminated substrate concept was extended
to PWB making. The fabrication begins with sublaminates, which are drilled and through hole
metallised. These standardized sublaminates can be individually personalized using traditional
image/etch process. After being effectively “programmed” to meet the needs of a given design,
the layers are tested, stacked, aligned, and laminated using a proprietary interposer technology to
connect the vias between the layers, as illustrated in figure 4.14.
FIG.4.14: Tessera TLS Technology

c. Sheldhals ViaGrid Technology: Sheldhal entered the race with their ability to make very
small via holes at extremely high rates [30,000 per minute] using either a phased array laser or
pulsed carbon dioxide laser to provide fixed grid vias at low cost and retained Tessera concept of
standardized grid. The Sheldhals technology is hence called Via-Grid technology, and is
illustrated in figure 4.15.

FIG.4.15: Sheldhals Via Grid Technology

Several other variations are reported, but many of these concepts are yet to mature as
technology to be offered for commercial exploitation. However, one technology called as ALIVH
[Any Layer Inner Via Hole] seem to have entered as the technology for HDMLBs. It adopts laser
drilling, and resin coated copper foil for building micro-via MLBs.

Future of Micro-Via Technology: Microvia boards offer all the advantages of multilayer
construction, and therefore, obviously will remain as the best choice for the designer. The world
production of Micro-via boards has already touched $ 5000 million at 13.8% growth per year as
given in Table 4.2.
Table 4.2: World production of Microvia Boards in Million $ -NT Information Ltd

1997 1998 1999 2000 2001

Japan 600 1,055 1,800 2,400 3,200

Asia 10 85 210 550 850

Europe 15 40 260 500 700

North America 35 40 150 180 200

World Total 660 1,220 2,420 3,630 5,03

The only hitch for much faster development is, at the moment, due to 40 different micro-via
technologies being simultaneously developed, there is some confusion as regards to which
technology will become mature and stable in the future. However, already clear-cut signs have
started emerging, with laser technology dominating, with Matsushita ALIVH technology having an
edge over the other alternatives being offered. However, the details of this technology is beyond
the scope of this chapter, and the designer interested in Microvia technology needs to make a
more intense study before deciding the state of the art of MLB construction with microvias.
Test Questions

a. The Substrate

1. Describe the anatomy of a copper clad laminate

2. What are the thickness of copper foil used for PWB application

3. What is the standard thickness of the laminate?

4. What factors influence the etchability of copper?

5. Describe how woven glass cloth is made?

6. How does the resin bond to the inorganic glass substrate?

7. What is the difference between the punchable and drilling grades of laminate?

8. What are fillers and how they improve the laminate property such as thermal dissipation?

9. What is the limitation of FR-4 grade laminate?

10. Name the standardization bodies for laminates?

11. What is prepreg material?

12. How copper foil is produced for lamination purpose?

13. What is a treated foil? List its advantages?

14. What is the direction for evolving new laminate materials?

15. What electrical properties are important for electronic design?

16. What thermal properties are important for electronic design?

17. What mechanical properties are important for electronic design?

18. In what sizes the laminates are produced?

19. What shop floor tests are conducted during laminate manufacture?

20. How to recognize the grade of a laminate?

b. PWB Drilling

1. What is the primary concern when drilling PWBs?

2. How does relative humidity affect the removal of drilling debris?

3. Define "surface feet per minute".

4. How is "chip load" measured?

5. How is chip load different than feed rate?


6. Name three main causes of burrs.

7. What are some of the consequences of burrs that become plated-over?

8. What is the X/Y co-ordinate plane?

9. How is X/Y data transferred and stored?

10. Why does a test panel need to be run?

11. Where does the entry material for a stack of panels belong?

12. What is the function of the "pressure foot"?

13. Why must panels be cleaned of all debris before stacking?

14. What happens if panels are stacked too high?

15. Why must extra care be taken when measuring drills with a micrometer?

16. How many times can worn drills be resharpened?

17. What are drill coupons used for?

18. Define "hit count”

c. Surface Preparation

1. Burrs are raised in ----------- process

2. There are two types of burrs. They are----------

3. The problems if burrs are not removed are------------------

4. What are five reasons which cause burrs?

5. How is deburring done?

6. 1What are the issues in setting a deburring machine?

7. How to make sure the conveyor speed is correct?

8. What is the role of ammeter in deburring machine?

9. How to dress the brushes?

10. What is the difference between scrubbing and deburring?

11. Why pressure washing is recommended?

12. What is strike pattern?

d. Screen Printing

1. How must panel surfaces be prepared in order for ink to adhere properly?
2. A clean copper panel will cause water to form beads on its surface. True or False?

3. Name the two types of screen ink available

4. All machines use two strokes in the screen printing process. What are they?

5. Both the ___________ and the __________ should be parallel to the stable before the
screen is inserted into the machine.

6. Define "off-contact distance".

7. Too much, or too little off-contact distance causes what kinds of problems, respectively?

8. To start adjustment of off-contact, always begin with the maximum setting. True or False?

9. A smeared image, caused by ink being pushed through the mesh, is a sign of
excessive___________

10. Set squeegee pressure beginning with zero and gradually increase. True or False?

11. How do you remove fine dust particles prior to screening?

12. A hard, dry ink spot left on a screen can cause __________ in the image.

13. How does one develop the best process parameters?

e. Imaging Techniques

1. What is the purpose of imaging?

2. How many types of imaging are possible?

3. What is a liquid photo resist?

4. What are the different techniques of coating a liquid resist?

5. How does the coating technique affect the uniformity of coating thickness?

6. What is the advantage of liquid resist?

7. What is a dry film resist and how does this differ from liquid resist?

8. What test is used to assure that the surface is clean before putting the resist?

9. What is the purpose of the cover film, and when they are removed?

10. What happens when air is trapped while laminating?

11. Dark areas of the photo tool will render the dry film area hard. True or false.

12. What are the likely defects during image transfer process.

13. How liquid resist is developed?


14. How dry film resists is developed?

15. What defect is observed if the image is over exposed?

16. What defect is observed if the image is under exposed?

17. What is over development?

18. When should be the dry film baked for best results?

19. How to find out the correct exposure time?

20. What are the defects, which can be corrected after the exposure is made?

f. Plating through Hole

1. What is the function of the rate panel and how does it work?

2. What is the difference between the electroless and electroplating processes

3. What is the purpose of the cleaner/conditioner bath?

4. What is dwell time? Drip time? Drag out?

5. Name three factors to increase the efficiency of a rinse.

6. What can happen if the level of a heated bath gets too low?

7. What is the purpose of the microetch?

8. Explain the theory of a cascade or counterflow rinse.

9. What function does the predip bath serve? Activator bath? Accelerator?

10. Name four factors that will affect the deposit thickness in the electroless bath.

11. What is the purpose of the acid dip? Anti-tarnish bath?

12. How should the panels look as they come off the line?

g. Pattern Plating

1. Why is the image applied before the plating process?

2. Give two reasons why the tin/lead is plated over the copper?

3. What can a scratch before plating result in?

4. What is necessary to complete the "path of electricity"?

5. Why is time in rinse tanks important?

6. Why is time in chemical tanks critical?

7. Describe the methods of evaluation for pattern plating?


8. Why is documentation necessary?

h. PWB Etching

1. What process does etching follow after ? What is the next step after etching?

2. What is a metal resist?

3. What factors should be taken into consideration to decide the etchant chemistry?

4. What is the advantage of ammoniacal etchant?

5. What do you understand by process latitude?

6. How this is applicable to the etch chemistry?

7. What happens to the copper that accumulates in the etchant?

8. How does it influence the etching?

9. Why spray etching gives better results as compared to static etching?

10. Does the spray pressure influence the etching accuracy? Why?

11. What type of spray is most commonly used?

12. What are the important parameters to be controlled during etching?

13. What defects are likely to occur during etching?

14. What is under cut ? How this is minimized?

15. What factors become significant in fine line etching?

16. Is there any corrective action possible after etching?

Suggested Questions on Solder Masking

1. What is solder masking?

2. Why solder masking is necessary?

3. How many types of solder masks are there?

4. What difficulties are encountered in using a liquid solder mask and how they are solved?

5. What methods are employed to apply solder mask?

6. Why bare copper is preferred to apply solder mask?

7. What is the thickness of solder mask usually applied?

8. What is vacuum lamination and why and where it is used?

9. How copper surface is prepared before solder masking?


10. How to strip defective solder mask?

QUESTION BANK - MULTI LAYERS

1. What is multilayer construction?

2. Why MLBs are always constructed as even number of layers?

3. How the internal layers are added?

4. How to interconnect the different layers in MLB construction

5. How the thickness of MLB is determined?

6. What are the standard thickness of MLBs?

7. Why thinner inner layers are required?

8. What is prepreg?

9. What is Gel time? Why different Gel times are useful in MLB construction

10. How the lamination is achieved?

11. What are the key issues in imaging and etching in MLB manufacture?

12. Why oxide treatment on Cu foil; is required?

13. What is a Flex circuit? In what way it is different from Flex Ribbons?

14. Why flexing is required?

15. What is the base for flex circuit and in what way it is different from rigid base?

16. Which property of copper is important for use in flex circuit?

17. What is adhesiveless flex?

18. List advantages of a rigid-flex circuit.

19. What is PAL core technology?

20. What is regal flex technology?

21. How many routes are possible to obtain 3-D boards?

22. What are the chief advantages of 3-D boards?

23. Why still 3-D boards are not widely used?

24. What are the methods of adding tracks onto the 3-D surface?

25. What are heat planes?

26. How heat planes are produced?


27. Explain the importance of resin content in the prepreg.

28. What is microvia?

29. Name some important technologies for building microvia boards

30. What is designers advantage in micro-via construction?


References

1. Sequential experimental study and optimisation of an acid copper pattern plating process by
GKK Poon, Vol 22, No 1, P 7, 1995

2. Drum side treated foil: Advanced copper foil technology for multilayer PCB applications,, EJ
Bergum, Vol 22, No 1, P 10, 1995

3. Some fundamental aspects of UV laser direct imaging, JH Chol, Vol 21, No 4, p 18, 1994

4. A photoimageable dielectric for sequential PWB fabrication, PD Kundsen etal, Vol 21, No 3, p
5, 1993

5. High performance materials for PCBs, M Cygon,, Vol 19, No 1, p 14, 1992

6. Acid copper plating high aspect ratio multilayer circuit boards, WA Fairweather, Vol 19, No 1 ,
p 53, 1992

7. A modern approach for drill optimisation, R Roberts, Vol 16, No 3, p 14, 1990

8. An advanced selective metalisation process for PTH manufacture, J Roubal, Vol 16, No 3, p
17, 1990

9. Fundamentals of screens for electronics screen printing, A.Hobby, Vol 16, No4, p16, 1990.

10. The production of through hole plating of modern base materials, T Hug etal, Vol 15, No 3, p
9, 1989

11. Electrophoretic photoresist technology: An image of the future-Today, DA Videsek, Vol 15,
No 12, p 6, 1989.

12. Pulse plating of electrolytic copper, A Montgomery, Vol 15, No 2, 1989

13. Base materials with predetermind technological properties for advanced pcb production
processes, MB Borisov etal, Vol 15, No 4, p 13, 1989

14. Tin-lead composition-its control and effect on solderability, DA Luke, Vol 15, No 4, p 15, 1989

15. High performance laminate systems for high speed electronics applications, JR Paulus, Vol
15, No 4, p 19, 1989

You might also like