Professional Documents
Culture Documents
Experiment: 4: AIM: To Study 4-Bit Multiplier Using VHDL - REQUIREMENTS: Active-HDL (Student Edition) Program Code
Experiment: 4: AIM: To Study 4-Bit Multiplier Using VHDL - REQUIREMENTS: Active-HDL (Student Edition) Program Code
PROGRAM CODE:
-------------------------------------------------------------------------------
-
-- Title : multiplier4
-- Design : Logic4
-- Author : multiplier
-- Company : Hewlett-Packard Company
--
-------------------------------------------------------------------------------
-
-- File : c:\my_designs\Logic4\src\multiplier4.vhd
-- Generated : Wed sep 11 10:04:39 2019
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity multiplier4 is
port(
A : in STD_LOGIC_VECTOR(3 downto 0);
B : in STD_LOGIC_VECTOR(3 downto 0);
S : out STD_LOGIC_VECTOR(7 downto 0)
);
end multiplier4;