Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

RESEARCH PAPERS

ENERGY AWARE MULTIPROCESSOR ARCHITECTURE


CONFIGURATION: DQN APPROACH

By

NAJAR YOUSRA * SAMIR BEN AHMED **


* Department of Science Computer School (ISI), Tunis in Tunisia.
** University of Science and Technology (FST), Tunis in Tunisia.

Date Received: 16/12/2019 Date Revised: 07/01/2020 Date Accepted: 27/02/2020

ABSTRACT
This research investigates a new Deep Q-Network (DQN) based approach to manage Dynamic Voltage Frequency
Scaling (DVFS) on a multiprocessor architecture, such that it would guarantee the balance between energy
consumption minimization and application feasibility. This paper also addresses software periodic real time applications
with time constraints. The proposed DQN formulation operates in two steps: on offline and on online configuration. It
calculates the optimal number of activated homogenous cores and their frequency and reconfigures the platform with
these parameters. We perform an experimental investigation on different parameters in a simulation environment
executing periodic tasks that are generated randomly with different system charge. The results suggest that the
proposed method reduces energy upto CC-EDF and Static-EDF and guarantees schedulability test when compared to
state of the art feedback that addresses the same applications.
Keywords: Deep Q-Network (DQN), Energy Optimization, Multiprocessor Architecture, Periodic Tasks, System Schedulability.

INTRODUCTION respect to a significant issue. Therefore, the chosen


Power consumption is one of the most challenging issues scaling factor must give a best possible trade-off between
in the design of modern real-time systems. The most energy reduction and time performance. The main
important component consuming energy is the processor challenge is to guarantee that the deadlines will not be
(ul Islam & Lin, 2015). Multi-core processors are single violated when the frequency is reduced to decrease
integrated circuits with two or more processor cores e n e r g y c o n s u m p t i o n. B e s i d e s, m u l t i p r o c e s s o r
attached together, shows high performance at low architectures are becoming one of the most used
energy. Dynamic Voltage and Frequency Scaling (DVFS) is solutions in order to meet the growing computational
a well-known method for reducing power consumption in requirements of modern applications. Hardware
modern real time applications (Fakhfakh, 2016). Dynamic configuration, in this work, concerns design parameters:
power is consumed by the major parts of the system due number of cores and their frequencies. Hence, we
to the switching operation of the Complementary Metal treated this issue as a multiple criteria multiple objective
Oxide Semiconductor (CMOS) circuits (Charr et al., 2014). decision making problem.
DVFS has been easily implemented in real-time system Different algorithms based on heuristics and intelligent
under timing constraints, where tasks can be executed approaches tries to calculate the scaling factor. In fact,
with lower CPU frequency. Hence, it will reduce power these algorithms are tightly-coupled with operating
dissipation especially when the energy leakage is not system's task management services, since the dynamic
important. However, this, significantly affects real-time selection of DVFS must be coupled with task computation
application performance, because lower CPU frequency time (Henkel & Parameswaran, 2007). Intelligent DVFS
increases tasks execution time making time contrasts approaches are essentially based on tree decision

i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019 1
RESEARCH PAPERS

method, neural networks, deep learning (Fettes et al., new type of reward function to handle multiple processing
2018; Zhang et al., 2017), and fuzzy logic (Yousra & Samir, elements and configuration knobs.
2019). Molnos et al. (2016) investigates the use of Q-learning in
Recently, Reinforcement Learning (RL) methods have managing DVFS to minimize energy consumption. This
been applied for energy optimization. Without relaying on paper addresses the problem of determining the clock
an explicit model, RL stills a promising approach that frequency of a System-on-a-Chip (SoC) that executes
exploits data collected at runtime to learn the optimal applications with throughput constraints. The solution is a
decision (Gupta et al., 2019). Different RL algorithms were novel Q-learning based manager. They evaluated the
applied in decision making. The most used is Q-learning controller on test board embedding and ARM host
due to its simplicity and its strong convergence properties, processor and a SoC with 16 processors elements and
especially in games decision making. Although its dynamic frequency scaling. All timing- related numbers,
efficiency, this algorithm applied in modern System on i.e., throughput, are realistic and include overheads.
Chips (SoCs), needs a large memory requirement for Q- Fettes et al. (2018) proposed LEAD-RL uses recent RL
table memorization. Thus, Deep Q-Learning (DQL) techniques state of-the-art such as Deep Q Networks
algorithm is applied for a large variety of problems. (DQNs) to select the V/F mode that maximizes an
1. Objectives and Contributions expected long-term reward capturing a desired energy
vs. throughput tradeoff. This creates a model that
The major contributions of this paper are as follows,
prioritizes actions leading to maximal energy savings with
The DQN approach is presented, which can dynamically
minimal throughput loss. It was realized by considering all
control both the frequency and the number of activated
possible voltage level selections for the next time window.
cores. Then, reward function and parameters are discussed
ul Islam et al. (2015,2018) proposed a Q learning
for effective training of the DQN. We present
algorithm that selects the best DVFS architecture from
comprehensive experimental evaluations of periodic tasks
(CC, DRA , LA). The research referenced in Zhang et al.
in Intel X86 and ARM Cortex A8 using a real time simulator.
(2018) proposed a double deep Q-learning model to
Our approach is compared with CC-EDF and Static-EDF.
reduce the energy consumption for the systems in edge
This paper describes the contribution of the approach into
computing devices. It targets randomly generated
three main parts: the first part is about the state-of-art
periodic real- time tasks. And it controls only the frequency
dynamic frequency scaling in the multiprocessing
of a single resource, i.e., one variable. The same other in
architecture and its use of reinforcement learning in this
Zhang et al. (2017) proposed Deep Q-Learning Model for
domain, the second part is dedicated to the proposed
the Energy Efficient Scheduling in Real-time Systems
DQN approach. Finally the simulations on generated
(DQLEES) by combining a stacked auto-encoder and a Q-
periodic sets with different system charges are detailed to
learning model.
evaluate the approach performance.
The stacked auto-encoder is substituted for a Q-function
2. Related Works
to learn the Q-value of each DVFS technology for any
Many RL schemes have been developed and tested for system state, that is described by the dynamic stack and
reconfiguration of multiprocessor architectures and system utilization.
Multiprocessor System on Chips (MPSoCs). In fact, Gupta
This paper (Chen et al., 2018) is an extension of a
et al. (2019) have presented an approach that uses
lightweight learning-based DVFS technique for single-
standard benchmarks on real platforms that do not make
core and multi-core embedded systems. This technique
any assumptions on the underlying workloads. It controls
was implemented and evaluated on the Linux operating
four parameters (the number of little and big cores and
system running on an Intel PXA27x embedded platform
their frequencies) simultaneously. Also, we are designing a
(Intel, Santa Clara, CA, USA) and NVIDIA Tegra K1 multi-

2 i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019
RESEARCH PAPERS

core platforms (NVIDIA, Santa Clara, CA, USA). For the The dynamic power is reduced by a factor of S, when
experiments, several benchmarks with different behaviors reducing the frequency of a processor by a factor S. The
were selected from MiBench and ParMiBench to energy consumption is measured in Joule, and can be
demonstrate the performance of the proposed scheme. calculated by multiplying the power consumption,
Real energy data were collected using a high- measured in watts,and the execution time of the program
performance Data Acquisition Instrument (DAQ). as follows:
According to the experimental results, the proposed Energy=Power x Time
learning-directed DVFS technique can achieve an energy
3.2 Periodic Task Model Schedulability
savings rate upto 42%.
In this paper, we assume a periodic task model in which
3. Context of the Research
each task Γi is defined by (ri1, WCETi, AETi,Ti, Di): ri: release
Since DVFS lowers core frequency, execution time of a time, Ti : period, Di : deadline and computation time that
program running over that scaled down processor may could be WCETi (Worst Case Computation Time) or AETi
increase, especially if the program is compute bound. (Actual Execution Time). Furthermore, we focus our
The frequency reduction process can be expressed by attention on the implicit deadline task system (Ci<Ti=Di).
the scaling factor S, which is the ratio between the highest As in classical treatments of the real-time scheduling
available frequency (fmax) for the CPU and the new problem, the relative deadline is assumed to be equal to
frequency (fnew) applied to the CPU, as in Equation 1. period, so a task must complete its execution before its
S=fmax /fnew (1) next release. Given that, the worst case computation time
3.1 Energy Model is supposed to be the time needed for the task to
complete its charge at maximum operating frequency, a
The hardware platform consists of mmax homogenous
real time scheduler allocates processor time to the task in
processors. Energy consumption of single processor is
such a way that it respect its deadline. In this section, we try
given by formula Equation 2. Many searches divide the
to establish a relationship between operating frequency
power consumed by a processor into two power metrics:
and system schedulability. A system is schedulable when
static power and dynamic power (Rauber et al., 2014;
all its tasks respect their deadlines under designed
Rountree et al., 2007; Cochran et al., 2011; Da Rosa et al.,
architecture and the specific real-time scheduler. Many
2012; Parain et al., 2001). The first one is consumed as
schedulability tests were associated with several
long as the computing unit is on; the latter is consumed
schedulers, such as global Earliest Deadline First (EDF). For
only during computation times.
a set of n periodic tasks, we assume that the platform is
E=∫0tmax P(t).dt with P = Pdyn+Pstatic (2)
composed of m identical processors and that Un is system
The dynamic power Pdyn is related to the switching activity
utilization, system schedulability is assured if this is
a, load capacitance CL, the supply voltage V, and
sufficient and necessary condition is satisfied (Nayet &
operational frequency f, as shown in Equation 3.
Grajar, 2006; Baker & Cirinei, 2006; Li et al., 2015).
2
Pdyn=a.CL.V .f (3)
Un =∑ni=1C∕T
i m/S
i £ (5)
For earlier processors, static power was considered to be
4. The proposed Approach: DQN-EDF
negligible,
We utilize the DQN as an optimization method that aimed
Pstatic = V. N. Kdesign. lleak (4)
at minimizing the energy consumption of the architecture
where, and guaranting the objectives of the research. There are
N - Number of transistor two main objectives in this case: to minimize energy
kdesign - Design dependent parameter consumption and to guarantee system schedulability

lleak - Technology dependent parameter under global EDF. Total system charge and initial

i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019 3
RESEARCH PAPERS

frequency are approach criterion. In fact, mathematical


relation between system charge and the frequency
makes it a decision-making with interdependent multiple
criteria. Decision- making with interdependent multiple
criteria is surprisingly a difficult task. If we have clear
conflicting objectives, there is normally no optimal
solution, which would simultaneously satisfies all the
criteria. In fact, in this case, minimizing the CPU speed
reduces the energy (realizes first objective) but rises the
computation time and system load (contraries second
objective). The main idea of the proposed architecture is Figure 2. Proposed DQN

to take advantage of reinforcement learning to avoid the possible action (9 actions). Then the action with maximum
establishment of a model describing the exact relations Q-value is applied by the agent to the architecture. After
between input and output parameters. each action, we have a new state and a reward from the
Figure 1 is an illustration of the proposed approach, which environment. The reward rn is then used to improve the
is based on a decision support system. It is a closed loop policy of the agent. The epsilon-greedy policy is used to
that configures the multiprocessor architecture with the explore the rewards due to all future actions (Gupta et al.,
couple (f,m). Two phases have been proposed: off-line 2019). The policy is modeled for the deep Q-learning
configuration and on-line configuration. The environment where Q- values are a function of state S, action a and
which gives states is the simulator, and the agent that neural network weights. At each interval, the policy
provides actions is the DQN agent. chooses the action that leads to the maximum Q-value
and the update is done through Equation 6.
4.1 Deep Q-Learning Scheme
(6)
The agent reads the states from environment (the
platform) and takes actions, such as choosing the
operating frequency. The aim of the agent is to learn a In this equation, a is the learning rate and γ is the discount
policy of maximizes a cost function such as energy per factor. Table 1 gives the parameters of DQN. The number
joule. Figure 2 is an illustration of DQN approach. of episodes are fixed in 1001.
The policy takes the state and counts the Q-value of each Epsilon and epsilon decay are used by the epsilon-
greedy policy. It fixes the dilemma between exploration
and exploitation.
We propose a reward scheme that assigns the maximum
reward value when the Un/m is inferior to 1 and the
normalized energy is inferior to 0.2. When the charge per
processor is superior to 1, the reward is zero. Experience
buffer stores the most recent current action, current state,
next state, and reward. Then, a minibatch is sampled
uniformly from the experience buffer (its size is given in
Table 2).
5. Simulations and Results
Our experiments are executed in multiprocessor
Figure 1. Proposed Approach for Offline/Online Configuration scheduling simulator that we develop with python. The

4 i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019
RESEARCH PAPERS

Bach size 32 Intel x 86


Learning rate 0.01 Parameter Value
¡ 0.95 Processor types Intel 86
Epsilon 1.0 Number of cores [1..16]
Epsilon_decay 0.995 Processing frequency [16 20 25 33] MHZ

Table 1. Training Parameters Operating voltage [2.0 2.2 2.4 2.7] V


Dynamic Energy Cons. [13.1 15.4 18.7 22.9] nJ
Reward Function
If (Un /m>1) then Reward=-20 Table 5. Intel X86 Characteristics
else
if (En <0.2) then Reward = 5
multiprocessor architecture parameters.
end
As indicated in the last paragraph, periodic application is
if (En>0.2) and (En<0.6) then Reward =3
else Reward=2 end composed of tasks characteristics; period/deadline (T, P),
end
Worst-Case Execution Times (WCET), Actual Execution Time
Table 2. Reward Function (AET), and Release time (r). Architecture parameters

DQN approach is developed using modules; Keras and concern especially, the number of processors, operating

Tensor flow dedicated to artificial intelligence. points, dynamic and static energy. Outputs are simulation
of scheduled tasks with global EDF/Global RM, application
The target architectures are multiprocessor systems
schedulability analysis, number of missed deadline and
composed of identical processors supporting DVFS
energy consumption.
techniques especially; Intel 486 GX and ARM Cortex A8.
Tables 4 and 5 gives the power consumption of processors Figure 3 is the class diagram illustration. It is centered on the

for all possible operating points. main class “model” that is composed of four classes;
“monitor”, “RTOS”, “CPU”, and “Task”.
The scheduler is global EDF, which is dynamic priority based
scheduling. The periodic tasks adopted to evaluate the The simulator integrates intelligent module that decides two

approach are illustrated in Tables 3 and 6. parameters; the number of activated processors and the
execution frequency. This decision system is composed of a
We developed a real time simulator IntSimRT using python,
previous research algorithm based on fuzzy logic. It
to evaluate the performance of DVFS techniques on
implements the agent described bellow. The DQN
multiprocessor architecture. The inputs of the simulator are;
approach is realized using Tensor flow and Keras modules,
the periodic application parameters and the
which are widely used in artificial intelligence.
Tasks WCETi Ti=Di ri AETi
The simulator in command line takes the tasks and
T1(new_frame) 1 19 0 1
T2(Nal_Dispatch) 2 5 0 1 architecture characteristics as input parameters, illustrated
T3(Slice1_processing) 38 66 0 21 in Figure 4. Then it generates scheduling application under
T4(Slice2_processing) 38 66 1 21
global-EDF as illustrated in Figure 5. It also calculates the
T5(Slice3_processing) 38 66 2 21
T6(Slice4_processing) 38 66 3 21
processor energy consumption of the periodic
T7(Rebuild_frame) 2 66 66 1 application as presented in Equation 2.
Table 3. Tasks of the H264 Video Decoder

ARM Cortex A8
Parameter Value

Number of cores [1..16]


Processing fr [125, 250, 500, 520, 720]MHz
Operating voltage [0975, 1.05, 1.2, 1.27, 1.35]V
Pd [57, 130, 303, 348, 550] mW
Pidle [4, 7, 16, 18, 28] mW

Table 4. ARM A8 Characteristics Figure 3. Class Diagram of the Simulator

i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019 5
RESEARCH PAPERS

Figure 4. Simulation Parameters and Results

Figure 7. Normalized Energy Consumption / System Charge for


Video Decoder H264 – ARM Cortex A8 fr = (125,250,500,720) MHZ

When we choose an application from those in Table 6, we


calculate its utilization charge and its normalized energy
estimation under maximum frequency and one active
processor, which is considered as state 0 = [U0, En0].
The offline configuration using the DQN algorithm
Figure 5. Tasks and CPU Execution without DVFS
Techniques (m=2 and Ci=AET) exposed below begins with training phase that takes
5.1 Offline Configuration some minutes, which is tolerable in offline mode. Figure 8
indicates the mean time consumed for training of
The conception of the reward function is based on the
different task sets with different charge (Table 7). The
energy consumed by cores while executing the periodic
number of tasks and the system charge does not affect
application depends on the number of active cores and
the training time since, it depends on the number of
their speed. We could notice from Figure 6 that rising the
episodes fixed in the training parameters.
number of active cores increases the energy
consumption. On the other hand, scaling down their In the first episodes, the agent acts randomly and the loss
speed decreases the energy and rises the system charge
as shown in Figure 7.
The offline configuration is realized before runtime. In this
part, we train the DQN network with the parameters fixed in
the Table 1.

Figure 8. Consumed Time While Training

Task Sets Number of Tasks Usys Random Generation Method


Set 1 3 1.83 Rand fix sum
Set 2 10 2.97 Rand fix sum
Set 3 30 4.77 Rand fix sum
Set 4 100 14.77 Rand fix sum
Figure 6. Processor Energy Consumption for Different
Processor Number-Video Decoder H264-Intel x86 Table 6. Periodic Application

6 i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019
RESEARCH PAPERS

Intel X86 Set1 Set2 Set3 Set4


Configuration (33MHz,2) (33MHz,4) (20MHz,7) (33MHz,16)

Schedulability Yes Yes Yes


no
(U=0.92) (U=0.7) (U=0.76)

Estimated Energy (MJ) 846.33 MJ 6622.67MJ 11130.11MJ 245218 MJ

Table 7. Offline DQN Configuration Results

function (illustrated in Figure 9) takes huge values before


stabilization in the end. In fact, the agent while learning from
the rewards of its random acts, adjusts weights to attempt
the desired policy.
Results are efficient since they respect constraints which Figure 11. Energy Consumption for Video Decoder H264-ARM

are; the deadline guarantee of all tasks, the execution time and with CC-EDF.
raised by the scale factor must inferior to its period and the
Conclusion
energy minimization.
With relentless technology scaling and mass integration of
As shown in Figure 10, the proposed configuration for the
transistors into a single chip, exponentially increased
h264 decoder simulated with ARM A8 architecture is (m=2,
power consumption and severely degraded reliability
fr=720 MHz), which estimated energy of 101300.n11 MJ
have become first class design design issues in modern
and system charge Un=0.93.
computing systems. In this paper, we study the energy
5.2 Online Configuration minimization and the schedulability problem of periodic
The trained model allows the agent to make decision in tasks in multiprocessor architecture. We proposed a deep
online mode. Since, tasks execute their AET time the reinforcement learning approach for dynamic resource
dynamic slacks are used to configure the architecture. management of multiprocessor architecture.
The agent is called when a task is terminated. Figure 11 is Although the DQN agent is model free, it guarantees a
an illustration of the energy consumed with the DQN-EDF balance between the minimization of consumed energy
and the schedulability of periodic application. Applied to
different periodic applications generated randomly, the
approach proposed efficient configuration in offline and
online mode. Compared to well-known DVFS techniques
based on EDF such as static-EDF, CC-EDF, and DSR, the
agent saved energy and guarantees theschedulability.
These results were achieved by the development of a new
reward function specific to guarantee the constraints of
the issue. The advantage of this new approach is that, we
Figure 9. Loss Values of Offline Configuration for do not need an exhaustive model to make the optimal
H264 Decoder - ARM Cortex A8 decision. Besides, it is a generic agent that could easily be
modified to configure memory cache size and
associativity. In fact, the power module is responsible for
estimating the consumed energy should just be extended.
We proposed a model that calculates the frequency of
processors and the number of activated processors. This
Figure 10. The Simulator Response for Video Decoder
H264- Intel X86 achieves good results for different applications.

i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019 7
RESEARCH PAPERS

References Ogras, U. Y. (2019). A deep Q-learning approach for


[1]. Baker, T. P., & Cirinei, M. (2006, December). A dynamic management of heterogeneous processors. IEEE
necessary and sometimes sufficient condition for the Computer Architecture Letters, 18(1), 14-17. https://
feasibility of sets of sporadic hard-deadline tasks. In 2006 doi.org/10.1109/LCA.2019.2892151
27th IEEE International Real-Time Systems Symposium [9]. Henkel, J., & Parameswaran, S. (2007). Designing
(RTSS'06) (pp. 178-190). IEEE. https://doi.org/10.1109/RTSS. embedded processors: A low power perspective. Springer
2006.7 Science & Business Media. https://doi.org/10.1 007/978-1-
[2]. Charr, J. C., Couturier, R., Fanfakh, A., & Giersch, A. 4020-5869-1
(2014, August). Dynamic frequency scaling for energy [10]. Li, J., Luo, Z., Ferry, D., Agrawal, K., Gill, C., & Lu, C.
consumption reduction in synchronous distributed (2015). Global EDF scheduling for parallel real-time tasks.
applications. In 2014 IEEE International Symposium on Real-Time Systems, 51(4), 395-439. https://doi.org/10.10
Parallel and Distributed Processing with Applications (pp. 07/s11241-014-9213-9
225-230). IEEE. https://doi.org/10.1109/ISPA.2014.38 [11]. Molnos, A., Lesecq, S., Mottin, J., & Puschini, D. (2016).
[3]. Chen, Y. L., Chang, M. F., Yu, C. W., Chen, X. Z., & Liang, Investigation of Q-learning applied to DVFS management
W. Y. (2018). Learning-directed dynamic voltage and of a System-on-Chip. IFAC-PapersOnLine, 49(5), 278-284.
frequency scaling scheme with adjustable performance https://doi.org/10.1016/j.ifacol.2016.07. 126
for single-core and multi-core embedded and mobile [12]. Navet, N., & Grajar, B. (2006). Systèmes temps réel,
systems. Sensors, 18(9), 1-25. https://doi.org/ Hermes.
10.3390/s18093068
[13]. Parain, F., Banâtre, M., Cabillic, G., Higuera-Toledano,
[4]. Cochran, R., Hankendi, C., Coskun, A., & Reda, S. M. T., Issarny, V., & Lesot, J. P. (2001). Techniques de
(2011, November). Identifying the optimal energy-efficient réduction de la consommation dans un système
operating points of parallel workloads. In 2011 IEEE/ACM embarqué temps réel. Technique et Science
International Conference on Computer-Aided Design Informatiques, 20(10), 1247-1278.
(ICCAD) (pp. 608-615). IEEE. https://doi.org/10110
[14]. Rauber, T., Rünger, G., Schwind, M., Xu, H., & Melzner,
9/ICCAD.2011.6105393
S. (2014). Energy measurement, modeling, and prediction
[5]. Da Rosa, T. R., Larréa, V., Calazans, N., & Moraes, F. G. for processors with frequency scaling. The Journal of
(2012, August). Power consumption reduction in MPSoCs Supercomputing, 70(3),1451-1476. https://doi.org/10.10
through DFS. In 2012 25th Symposium on Integrated Circuits 07/s11227-014-1236-4
and Systems Design (SBCCI) (pp. 1-6). IEEE.
[15]. Rountree, B., Lowenthal, D. K., Funk, S., Freeh, V. W., De
[6]. Fanfakh, A. B. M. (2016). Energy Consumption Supinski, B. R., & Schulz, M. (2007, November). Bounding
Optimization of Parallel Applications with Iterations using energy consumption in large-scale MPI programs. In SC'07:
CPU Frequency Scaling, (Doctoral dissertation), University of Proceedings of the 2007 ACM/IEEE Conference on
Franche-Comte. Retrived from https://pdfs.semantics Supercomputing (pp.1-9). IEEE. https:/
cholar.org/2c04/0e77e51aea5a2385a49e9b230844cf4f /doi.org/10.1145/1362622.1362688
0d6b.pdf
[16]. ul Islam, F. M. M., & Lin, M. (2015). Hybrid DVFS
[7]. Fettes, Q., Clark, M., Bunescu, R., Karanth, A., & Louri, A. scheduling for real-time systems based on reinforcement
(2018). Dynamic voltage and frequency scaling in NoCs learning. IEEE Systems Journal, 11(2), 931-940. https://doi
with supervised and reinforcement learning techniques. .org/10.1109/JSYST.2015.2446205
IEEE Transactions on Computers, 68(3), 375-389.
[17]. ul Islam, F. M. M., Lin, M., Yang, L. T., & Choo, K. K. R.
https://doi.org/10.1109/TC.2018.2875476
(2018). Task aware hybrid DVFS for multi-core real-time
[8]. Gupta, U., Mandal, S. K., Mao, M., Chakrabarti, C., & systems using machine learning. Information Sciences,

8 i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019
RESEARCH PAPERS

433, 315-332. https://doi.org/10.1016/j.ins.2017.08.042 on deep Q-learning model. IEEE Transactions on


[18]. Yousra, N., & Samir, B. A. (2019, July). Intelligent Sustainable Computing, 4(1), 132-141. https://doi.org/10.
online configuration for DVFS multiprocessor architecture: 1109/TSUSC.2017.2743704
fuzzy approach. In International Conference on [20]. Zhang, Q., Lin, M., Yang, L. T., Chen, Z., Khan, S. U., &
Industrial, Engineering and other Applications of Applied Li, P. (2018). A double deep Q-learning model for energy-
Intelligent Systems (pp.616-627). Springer, Cham. efficient edge scheduling. IEEE Transactions on Services
https://doi.org/10. 1007/978-3-030-22999-3_53 Computing, 12(5), 739-749. https://doi.org/10.1109/TS
[19]. Zhang, Q., Lin, M., Yang, L. T., Chen, Z., & Li, P. (2017). C.2018.2867482
Energy-efficient scheduling for real-time systems based

ABOUT THE AUTHORS


Najar Yousra is currently working as an Assistant Professor in the Department of Science Computer School (ISI) of Tunis in Tunisia.
She received degrees in Computer Science. Her research fields are Fuzzy Logic, Deep Learning, Decision Making, and Real Time
Systems.

Samir Ben Ahmed is currently working as a Professor in University of Science and Technology (FST) of Tunis in Tunisia. From 1996 to
1999, he was the Director of Electrical Engineering department of ENIT. From 1999 to 2001, he was the Director of the Computer
Science department at the Faculty of Sciences of Tunis. From 2001 to 2005, he was the Founding Director of the Higher Institute of
Computer Science. From 2005 to 2011, he was the Director of National Institute of Applied Sciences and Technology of Tunis.
Since 2012, he has been responsible for the Commission of Doctoral Theses in Computer Science - Faculty of Sciences of Tunis.

i-manager’s Journal on Embedded Systems, Vol. 8 l


No. 1 l
July - December 2019 9

You might also like