Professional Documents
Culture Documents
Bascule JK
Bascule JK
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity basc_jk is
Q : out std_logic
);
end basc_jk;
signal Qn : std_logic;
begin
process (clk,r,j,k)
begin
if r<='1' then
Q<='0';
Qn <='0';
Qn <='1';
end if;
end if;
end process ;
q <= qn;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity test_jk is
-- Port ( );
end test_jk;
component jk
Q : OUT std_logic );
end component ;
begin
j=> j ,
k=> k,
s=> s
);
j<='1' after 5 ns , '0' after 10 ns ;
end Behavioral;
compteur jk
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test_jk is
-- Port ( );
end test_jk;
component jk
Q : OUT std_logic );
end component ;
signal r :std_logic ;
begin
u1 : jk port map (
r => r ,
j=> j(0) ,
k=> k(0)
);
u2 : jk port map (
r => r ,
j=> j(1) ,
k=> k(1)
);
u3 : jk port map (
r => r ,
j=> j(2) ,
k=> k(2)
);
process
begin
end process;
end Behavioral;
test jk
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Design Name:
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test_jk is
-- Port ( );
end test_jk;
architecture Behavioral of test_jk is
component basc_jk
Q : out std_logic);
end component ;
begin
r => r ,
j=> j ,
k=> k,
Q => Q
);
process
begin
end process;
end Behavioral;