1n Vakilipourtakaloo2018

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

Materials Research Express

PAPER Related content


- Nanostructured silicon via metal assisted
Nickel assisted chemical etching for multi- catalyzed etch (MACE): chemistry
fundamentals and pattern engineering
crystalline Si solar cell texturing: a low cost single Fatima Toor, Jeffrey B Miller, Lauren M
Davidson et al.

step alternative to existing methods - A porous Si-emitter crystalline-Si solar cell


with 18.97% efficiency
Liang-Xing Wang, Zhi-Quan Zhou, Hong-
To cite this article: Ashkan Vakilipour Takaloo et al 2018 Mater. Res. Express 5 075506 Chen Hao et al.

- Rear surface protection and front surface


bi-layer passivation for silicon
nanostructure-textured solar cells
Bingfei Dou, Rui Jia, Haofeng Li et al.
View the article online for updates and enhancements.

This content was downloaded from IP address 134.84.192.102 on 10/07/2018 at 07:04


Mater. Res. Express 5 (2018) 075506 https://doi.org/10.1088/2053-1591/aaceec

PAPER

Nickel assisted chemical etching for multi-crystalline Si solar cell


RECEIVED
23 April 2018
texturing: a low cost single step alternative to existing methods
REVISED
8 June 2018
ACCEPTED FOR PUBLICATION
Ashkan Vakilipour Takaloo1 , Fırat Es2,3 , Gülsen Baytemir2 and Raşit Turan2
25 June 2018 1
Research Center and the Research Institute of Advanced Materials (RIAM), Department of Materials Science and Engineering, Seoul
PUBLISHED National University, Seoul 151-742, Republic of Korea
6 July 2018 2
Center for Solar Energy Research and Applications (GUNAM) and the Department of Physics, Middle East Technical University, Ankara
06800, Turkey
3
Author to whom any correspondence should be addressed.
E-mail: Ashkan1088@snu.ac.kr, esfirat@metu.edu.tr, baytemir@metu.edu.tr and turanr@metu.edu.tr

Keywords: Photovoltaics, metal assisted etching, nickel, black Si

Abstract
The texturing of silicon surfaces is a well-known method of reducing the reflection from the surface of
crystalline Si solar cell devices. With the utilization of diamond wires in recent advances in wafer
slicing technology, surface texturing for the multi-crystalline Si wafers by the traditional acid-based
texturing technique has become difficult. Metal-Assisted Etching (MAE) has been shown to be a
promising and low-cost alternative to the traditional acid-based isotropic texturing. This paper
reports, for the first time, a new single-step Ni-assisted etching technique to obtain nano-scale porous
structures, that is, black silicon on multi-crystalline wafers. We observed lower reflection results in
comparison with standard isotropic texturing using a standard acid solution. The structural and
optical properties of the surface were identified through reflection measurements and scanning
electron microscopy imaging. As a final step, the optimized texturing process was applied to multi-
crystalline solar cell devices and showed promising results regarding cell performance parameters.

1. Introduction

Crystalline silicon (c-Si) based solar cells have dominated the photovoltaic market for decades with a market
share of more than 90% [1]. The increase in the production volume of c-Si solar cells has played an important
role in this trend. However, efforts are still underway to develop new approaches to reduce the cost/
performance ratio even further to allow the ultimate dominance of solar energy over other energy resources [2].
The performance of a solar cell, which is usually expressed in terms of the conversion efficiency, can be improved
by reducing the electrical and optical losses through new material and process approaches [3]. A silicon surface is
a good reflector of light with an average reflectivity of 40% for the whole solar spectrum, resulting in a significant
loss of incoming solar radiation [4]. To reduce the reflection and hence increase the absorption in the active part
of the cell, a Si surface is made less reflective by surface texturing combined with antireflection coating [5].
Surface texturing of monocrystalline Si wafers is traditionally done with a KOH-based solution which generates
pyramidal shapes on the wafer surface, while multi-crystalline wafer surfaces are etched by an acid-based
solution to form a rough surface with a higher reflection [6]. The texturing of multi-crystalline Si surfaces is still a
subject of investigation due to the poor optical outcome of the acidic texturing. For both mono- and multi-
crystalline Si wafers, the initial roughness from the slurry-based wire cutting process is utilized to generate an
optically useful surface after the etching process. However, in recent years, with the introduction of the diamond
wire cutting process in industrial wafer production lines, the roughness of the surface has been reduced. This
reduced roughness makes the texturing process more difficult. New approaches to the texturing processes need
to be developed to adapt the production lines to new diamond cut wafers. Recently, the metal-assisted chemical
etching (MAE) method has attracted a lot of interest as an alternative way to texture diamond cut wafers [7].
MAE etching with the help of noble metals like Pt, Pd, Au, and Ag is known to create low-reflectivity

© 2018 IOP Publishing Ltd


Mater. Res. Express 5 (2018) 075506 A Vakilipour Takaloo et al

Table 1. Electroless
nickel-assisted etching
parameters.

Parameter Value

H 2 O2 25 ml
HF 30 ml
NiSO4 1 gr
Temperature 25 °C

nanostructures or porous structures on silicon wafers with feature sizes ranging from a few nanometres to
several micrometres [8–14]. In this process, the Si substrate is partly covered with a noble metal and is subjected
to a solution composed of HF and an oxidative agent. Regions of the substrate under the noble metal are
oxidized faster due to the catalytic effect of the metal. The oxide is etched by HF, resulting in the formation of
cavities on the surface. It was reported that alkaline texturing could achieve reflectance values lower than those
obtained by MAE [15]. However, these noble metals are expensive and not suitable for mass production. It is
thus important to find a low-cost alternative to these noble metals. Previous reports have shown that nickel
nanoparticles can be employed to generate further texturing on pyramidal structures of silicon wafers and
achieve a reflectance value lower than 5% in the visible spectrum range [16, 17].
In this work, we applied the single-step MAE method with Ni as a catalyst metal for Si wafer texturing
without any prior alkaline texturing, as reported previously [18]. This novel method provides an easy electroless
Ni etching route for c-Si texturing and offers an alternative to the existing metal-assisted etching processes.
Following the introduction and optimization of the Ni-assisted etching process, multi-crystalline silicon solar
cells were fabricated and tested.

2. Experimental details

First, 156×156 mm2 p-type multi-crystalline Si wafers with a resistivity of 1–3 Ω cm and thickness of 180 μm
were used to fabricate nanostructured black silicon solar cells. The micro-damage and porous silicon formed on
the wafer surface during the wire cutting were removed by wet etching in a hot (80 °C), concentrated (20% by
weight) KOH solution for 4 min. This was followed by rinsing in deionized water, removing the thin surface
oxide with 5% concentrated H, and final rinsing in deionized water. Before the Ni-assisted etching process,
RCA1 cleaning using a solution of NH4OH, H2O2, and H2O with a volume ratio of 1:1:5 was carried out at 80 °C
for 10 min to avoid organic contamination. RCA2 cleaning using HCl, H2O2, and H2O with a volume ratio of
1:1:5 was also carried out at 80 °C for 10 min to avoid metallic contamination. Rinsing with DI water and
dipping in dilute HF at a concentration of 5% was done at the end of each step for subsequent oxide removal and
residual cleaning followed by DI rinsing. The MAE solution was then prepared in a plastic beaker containing a
mixture of H2O, NiSO4, HF (%47), and H2O2 (30%) with an optimized ratio as shown in table 1.
Wafers with conditioned surfaces were dipped into MAE solution for different time durations. Following the
MAE process, RCA1 and RCA2 cleaning was again applied to remove metallic and organic contaminants.
Cleaned wafers were dried under hot N2 flow and then loaded into a solid-state diffusion furnace for P doping
using POCl3 at a sheet resistivity of 50 Ω/cm2. Phıosphosilicate glass (PSG) that formed during the doping
process was removed by dipping in dilute HF followed by DI rinsing and hot N2 drying. An anti-reflective SiNx
layer with a thickness of 75 nm was deposited in a direct PECVD chamber. Screen printing of Ag and Al fingers
on the front and back sides of the wafer completed the metallization process. The metallization process was
followed by a co-firing process to produce Al:BSF formation and fire-through contacts. The cell performance
was tested with a flash solar simulator calibrated to the AM1.5 G spectrum. Reflection from the surface was
measured by an integrating sphere, monochromator, and Si detector setup. The surface morphology was
investigated by scanning electron microscopy (SEM).

3. Results and discussion

Figure 1 depicts the mechanism of the nickel-assisted etching method, which involves etching of silicon wafers
in a nickel sulfate and hydrofluoric acid based solution. As shown in the first and second steps of the process,
when a Si substrate is immersed in aqueous NiSO4, homogeneous dispersed Ni2+ ions condense to contact the
Si substrate. Random deposition of Ni in HF-based solutions is initiated by a couple of redox reactions
(equations (1) and (2)). The reduction of metal ions and oxidation of silicon due to cathodic and anodic
reactions coincide on the surface of Si [19]. Although the addition of HF to the Ni bath induces low pH values

2
Mater. Res. Express 5 (2018) 075506 A Vakilipour Takaloo et al

Figure 1. Nickel-assisted etching mechanism of Si wafers in aqueous solution of nickel sulfate, HF, and H2O2.

Figure 2. Plan view optical image of the surface of full wafer pc-Si (a) before and (b) after Ni electroless etching in an aqueous solution
containing HF, H2O2, and NiSO4 with the ratios mentioned in table 1.

that significantly decrease the promotion of Ni deposition on Si surface, it is shown that a driving potential of
E0=–0.84 V promotes the spontaneous oxidation of Si and facilitates the transport of electrons from Si to Ni.
This shows that Ni is reduced at the expense of Si with a driving force of E0=–0.257 V [20].
Ni2 + + 2e =  Ni (s ) (1)
Si (s ) + H2 O  SiO2 + 4H+ + 4e = (2)
H2 O2 + 2e -  H2 O (3)
SiO2 + 6HF  H2S 2iF + 2H2 O (4)
In the third step, oxygen (O2) is preferably reduced underneath deposited Ni atoms that act as a catalyst. Ni
transfers the holes and equation (3) shows the consumption of the generated electrons by reduction of H2O2. In
the last stage, etching occurs as small pits on the silicon surface (equation (4)). Due to the difference in the
concentration of positive charges under nickel particles and etched sidewalls which are not covered by nickel,
holes propagate to oxidize underneath the nickel, and therefore SiO2 is etched.
Figure 2 shows two plan-view images comparing the colour of Si samples before and after Ni etching
treatment. It is seen that after the etching process is completed, the colour of the silicon is turned completely
black with a dull appearance, which is clear evidence of the absence of light reflected from the surface.
Figure 3(a) shows the top view image of textured pc-Si fabricated after 5 min of electroless etching treatment
in an aqueous NiSO4 solution. It is evident that this part of the textured silicon consists of four separate grains
with clear grain boundaries. We see that each grain shows a different shape of texturing, indicating a dependence
of the crystallographic orientations. Figure 3(b) shows electron back scatter diffraction (EBSD) analyses of
figure 3(a). Each colour on the EBSD map represents a specific crystallographic orientation of the grains shown
in figure 3(a). The diversity in the alignment of the textured silicon is associated with the different chemical
reactivity of EE solution with a specific plane lattice belonging to each separate grain.
Figure 3(c) shows a magnified view of the marked area in figure 3(a). We see a very fine homogeneous and
uniform structure of the textured silicon achieved with the Ni-assisted etching technique. The inset of figure 3(c)
shows magnified images of random areas of figure 3(c), revealing the fluffy nanostructure of the textured silicon.
It is also seen that these nano-textured shapes have formed over each other like clouds and create an efficient
anti-reflection coating on the surface. Figure 3(d) shows a cross-section of the characteristics of the 1 μm-thick
nano-textured silicon layer formed on the surface of silicon after the 5 min treatment. It is seen that some

3
Mater. Res. Express 5 (2018) 075506 A Vakilipour Takaloo et al

Figure 3. Plan view SEM image of pc-Si textured by Ni-assisted etching: (a) the different crystallographic orientation of grains, (b)
EBSD map of grains’ orientation distribution, (c) plan-view enlarged SEM image of marked area in figure, (d) cross-sectional SEM
image of an area of the nano-textured surface of silicon obtained after 5 min of Ni-assisted etching technique. First, 300 nm was
designated as the nano-porous layer by the red line in the figure.

Figure 4. Reflection results of iso- and nano-textured surfaces as a function of wavelength.

cylindrical pores with length ranging from 100 to 300 nm have bored into the silicon substrate under the 300 nm
thick nanoporous layer.
Figure 4 shows the reflection spectrum of an iso-textured and MAE textured wafer after SiNx anti-reflective
coating. It is obvious that the MAE textured and iso-textured samples have similar reflection values in the
wavelength range of 550–1100 nm. On the other hand, as can be seen in table 2, AM1.5 G weighted reflection
values are lower for the MAE textured wafer due to its better performance in the blue-UV regions.
Figure 5 shows the I-V results of solar cells made on MAE textured Si wafer and compares them to those of
the identically processed reference sample. We see that the solar cell fabricated on the sample textured by MAE
with Ni as the catalyst performs quite well in terms of Voc and Isc values. As a first trial without any further
optimization, this result represents a successful demonstration of the use of Ni in the MAE process. Due to the
lower fill factor (FF) values, the efficiency of the cell is slightly lower than what we expected from the measured

4
Mater. Res. Express 5 (2018) 075506 A Vakilipour Takaloo et al

Table 2. Performance values of the ISO- and nano-textured silicon.

Type Voc (mV) Jsc (mA cm−2) FF (%) Eff. (%) A.M1,5 weighted reflection (%)

Iso-textured 619 36.20 74.1 16.60 5.37


Nano-textured 621 35.56 70.4 15.56 4.38

Figure 5. Current density of iso- and nano-textured wafer solar cells as a function of voltage.

Voc and Isc values. It is clear that higher efficiency values are feasible by improving the FF through optimization
of the parameters determining the series and shunt resistance values. One particular problem is associated with
the metallization of nano-textured surfaces with the standard Ag paste. The grain size of the Ag paste is usually
greater than the feature size of the textured surface, which makes it difficult for the metal fingers to stick on the
surface [9]. The Voc value of the samples textured with MAE is most likely related to the higher recombination
on the surface of the cell due to the increased surface area. This is a typical problem of solar cells made on black Si
and can be solved by employing a suitable passivation process such as highly conformal Atomic Layer
Deposition (ALD) [21].
Table 2 displays the cell parameters extracted from the optical and electrical measurements. Although the
nano-textured cells have lower reflection, the overall cell results show that iso-textured cells have a higher
performance. First of all, the lower Voc values of a nano-textured cell are attributed to higher surface
recombination due to insufficient passivation via plasma enhanced chemical vapor deposition PECVD SiNx. It
is a well-known fact that the black Si surface, which is a very complex structure, requires high-level passivation
techniques such as highly conformal ALD. In addition, lower reflection and Jsc are indicators of low collection
efficiency due to high Auger recombination on the tips of the nano-texture, since a high doping level and
effective junction depth are needed to collect generated carriers [13]. Lower FF is also a common problem faced
in nano-textured cells since the grain size of the front Ag paste is a lot larger than the black Si nano-texture.

4. Conclusion

In this study, we examined Ni-assisted etching of multi-crystalline Si wafers as an alternative to the traditional
acid texturing process and the existing MAE methods with more expensive noble metals. We have demonstrated
the ability of texturing with Ni to enable the formation of black Si with desirable reflection performance. The
etching process is described by well-known anodic oxidation and an etching sequence where a series of chemical
reactions take place. The dependence on the crystal orientation of each grain was shown to exist by SEM and
EBSD measurements. Finally, this new etching approach was applied to the fabrication of solar cells with
industrial dimensions. Although some improvements through process and material optimization are needed,
the performance of the cells made on the MAE textured surface is sufficient and promising for future
applications.

5
Mater. Res. Express 5 (2018) 075506 A Vakilipour Takaloo et al

ORCID iDs

Ashkan Vakilipour Takaloo https://orcid.org/0000-0002-1420-8692


Fırat Es https://orcid.org/0000-0002-9127-5370

References
[1] Pathi P, Peer A and Biswas R 2017 Nanomaterials 7 17
[2] Cengiz M S and Mamiş M S 2015 International Journal of Photoenergy 2015 256101
[3] Rao K D M, Nikita N, Gupta R, Krebs F C and Kulkarni G U 2017 ACS Appl. Mater. Interfaces 9 8634
[4] Barugkin C, Paetzold U W, Catchpole K R, Basch A and Carius R 2016 International Journal of Photoenergy 2016 7390974
[5] Yang W, Tang J and Wang Y 2011 Solar Energy Materials & Solar Cells 95 3455
[6] Watanabe R, Abe S, Haruyama S, Suzuki T, Onuma M and Saito Y 2013 International Journal of Photoenergy 2013 951303
[7] Hana H, Huang Z and Lee W 2014 Nano Today 9 271
[8] Es F, Ciftpinar E H, Demircioglu O, Gunoven M, Kulakci M and Turan R 2015 Applied Surface Science 332 266
[9] Kulakci M, Es F, Ozdemir B, Unalan H E and Turan R 2013 IEEE Journal of Photovoltaics 3 548
[10] Es F, Demircioglu O, Gunoven M, Kulakci M, Unalan H E and Turan R 2013 Physica E. Low-Dimensional Systems and Nanostructure
51 71
[11] Es F, Kulakcı M and Turan R 2016 IEEE Journal of Photovoltaics 6 440
[12] Altinoluk S H, Ciftpinar H E, Demircioglu O, Es F, Baytemir G and Akar O 2016 Energy Procedia 92 291
[13] Es F, Baytemir G, Kulakci M and Turan R 2017 Solar Energy Materials and Solar Cells 160 269
[14] Es F, Baytemir G, Kulakci M and Turan R 2016 Physica Status Solidi (RRL)—Rapid Research Letters 10 866
[15] Nishioka K, Horita S and Ohdaira K 2008 Sol. Energy Mater. Sol. Cells 92 919
[16] Yue Z, Shen H, Jiang Y, Wang W and Jin J 2013 Appl. Phys. A 114 813
[17] Yue Z, Shen H, Jiang Y, Jin J and Wang W J 2014 Mater Sci: Mater Electron 25 1559
[18] Takaloo A V et al 2018 Materials Research Express 5 035905
[19] Lide D R 2004 J. Am. Chem. Soc. 126 1586
[20] Takano N, Hosoda N, Yamada T and Osaka T 1999 Journal of the Electrochemical Society 146 1407
[21] Savin H, Repo P, Gastrow G, Ortega P, Calle E, Garín M and Alcubilla R 2015 Nature Nanotechnology 10 624

You might also like