Power-Gated 9T SRAM Cell For Low-Energy Operation

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO.

3, MARCH 2017 1183

Power-Gated 9T SRAM Cell for Low-Energy Operation


Tae Woo Oh, Hanwool Jeong, Kyoman Kang, Juhyun Park, Younghwi Yang, and Seong-Ook Jung
Abstract— This brief proposes a novel power-gated 9T (PG9T) static
random access memory (SRAM) cell that uses a read-decoupled access
buffer and power-gating transistors to execute reliable read and write
operations. The proposed 9T SRAM cell uses bit interleaving to achieve
soft error immunity and utilizes a column-based virtual VSS signal
to eliminate unnecessary bitline discharges in the unselected columns,
thereby reducing the energy consumption. In a 22-nm FinFET technology,
the proposed PG9T SRAM cell has a minimum operating voltage
of 0.32 V while achieving the 6σ read stability yield. Compared with
the previously proposed 9T SRAM cell, the proposed cell consumes 45%
and 17% less energy per read and write operation, respectively, at the
minimum operating voltage, and has a 12% smaller bit cell area.
Fig. 1. (a) Previous 9T SRAM cell. (b) Proposed PG9T SRAM cell.
Index Terms— Bit interleaving, FinFET, low-energy operation,
power gating, static random access memory (SRAM). (half-selected problem). To address this issue, a write-back scheme
is required, which increases the delay, area, and power consumption.
CP10T [6] and PPN10T [7] SRAM cells were developed to eliminate
I. I NTRODUCTION
the half-selected problem without write-back scheme. However, the
With the recent proliferation of portable devices, low power write ability is weakened by the stacked write path and the large
consumption has been highlighted as one of the most important bit cell area degrades the memory integrity. Especially, in PPN10T
design features of a system on chip (SoC). Low power consumption SRAM cell, the write speed is significantly degraded by the Vth drop
in a static random access memory (SRAM) is particularly important, along the stacked write path with an nMOS and a pMOS. 9T SRAM
because a significant portion of the SoC is occupied by the SRAM. It cell in [8] improves the write ability by turning OFF the transmission
is, therefore, necessary to minimize the supply voltage to achieve low gate inserted into the feedback inverter loop, as shown in Fig. 1(a).
power operation in the SRAM [1]. However, scaling down the supply However, bit cell area is still large and unnecessary BL discharges in
voltage increases the threshold voltage (Vth ) variation due to the the unselected columns increase the energy consumption during the
variation sources, such as the random dopant fluctuation (RDF) and read operation.
line edge roughness (LER) [2]. An increase in the Vth variation exac- This brief proposes a novel power-gated 9T (PG9T) SRAM cell,
erbates the mismatch between paired transistors in an SRAM cell, and shown in Fig. 1(b), for low-voltage operations and compares it with
this, in turn, degrades both the SRAM read stability and write ability. previously proposed SRAM cells based on 22-nm FinFET technology.
To reduce the Vth variation, FinFET is widely used in 22-nm The proposed PG9T SRAM cell achieves target read stability and
technology as an alternative device to conventional planar-bulk write ability yield, and less energy per operation with a smaller area.
MOSFET [3]. A low-doped or undoped channel in FinFET suppresses
the RDF, and the 3-D structure affords a better short-channel control- II. P ROPOSED P OWER -G ATED 9T SRAM C ELL
lability [4]. Accordingly, the susceptibility to the Vth variation can
The PG9T SRAM cell consists of a cross-coupled pair of inverters
be alleviated in a FinFET-based SRAM. Despite the advantages of
(PU1, PU2, PD1, PD2, PGP, and PGN) and an access buffer (AC1,
the FinFET, it cannot achieve sufficient operational yield at a low
operating voltage using the conventional 6T SRAM cell. Moreover, AC2, and MDR). One of the two cross-coupled inverters (PU1, PD1,
the quantized strength ratio between transistors in a FinFET-based PGP, and PGN) has stacked pMOSs (PU1 and PGP) and nMOSs (PD1
and PGN) in the pull-up and pull-down networks, respectively, where
SRAM cell worsens the read–write tradeoff. There is thus the need
for the development of a new variation-tolerant SRAM cell. PGP and PGN are the power-gating pMOS and nMOS, respectively.
In the light of the forgoing issues of the conventional 6T SRAM A single-ended BL and the storage node Q are connected through the
cell, various SRAM cells have been recently proposed [5]–[8]. access buffer for read and write accesses. The row-based wordline
(WL) is enabled for both the read and write operations while
In 8T SRAM cell [5], the storage nodes are decoupled from the read
bitline (BL) to enable the same level of read stability as hold stability. the column-based write WL (WWL) is enabled only for the write
However, pseudoread operation in row half-selected cells during write operation. The WL for PGP (WLPU) and the WL for PGN (WLPD)
are the row-based control signals, which turn OFF the pass-gate
operation may cause data flip when bit interleaving scheme is used
transistors only during the write operation. Meanwhile, the column-
Manuscript received April 2, 2016; revised June 26, 2016 and September 26, based virtual VSS (VVSS) is activated only during the read operation.
2016; accepted October 26, 2016. Date of publication November 17, 2016;
date of current version February 22, 2017. This work was supported by
the IT R&D Program of MOTIE/KEIT through the Project entiltled Design A. Read Operation
Technology Development of ultra-low voltage operating circuit and IP for A read operation begins when the WL is enabled and the VVSS
smart sensor SoC under Grant 10052716. is discharged to VSS while the AC2 is turned OFF. Depending on
T. W. Oh, H. Jeong, J. Park, Y. Yang, and S.-O. Jung are with the School
of Electrical and Electronic Engineering, Yonsei University, Seoul 03722, the data stored at node Q, the precharged BL is either discharged
South Korea (e-mail: sjung@yonsei.ac.kr). or remains high. When the data at node Q is “1,” MDR is turned
K. Kang was with the School of Electrical and Electronic Engineering, ON , and this causes the discharge of the BL to VSS through AC1
Yonsei University, Seoul 03722, South Korea. He is now with Samsung and MDR. Conversely, when the storage at Q is low, the BL level
Electronics Company, Ltd., Hwaseong 18448, South Korea.
Color versions of one or more of the figures in this paper are available
remains high, because MDR is turned OFF. The read operation ends
online at http://ieeexplore.ieee.org. when a sense amplifier detects the transition of the BL. Because
Digital Object Identifier 10.1109/TVLSI.2016.2623601 the data storage nodes are decoupled from the BL, the read current
1063-8210 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Authorized licensed use limited to: Oklahoma State University. Downloaded on April 12,2020 at 17:20:24 UTC from IEEE Xplore. Restrictions apply.
1184 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017

does not flow through the storage nodes, and this eliminates read
disturbance. The read stability is thus the same as the hold stability.
In spite of an asymmetrical inverter latch, the PG9T SRAM cell
exhibits the same read stability as the other read-decoupled SRAM
cells (8T, CP10T, PPN10T, and previous 9T), which have the
symmetrical latches. This is because the strength ratios between the
pull-up network (pMOSs) and pull-down network (nMOSs), which
determine the read stability, for the two inverters in the latch are the
same as those of the other SRAM cells.
B. Write Operation
A write operation begins when the WLPU is charged to VDD
and the WLPD is discharged to VSS . The power-gating transis-
tors are then turned OFF and the paths from the power sources
(VDD and VSS ) to storage node Q are disconnected. At the same time,
both the WL and WWL are activated. The storage node Q is driven
only by the BL voltage, and the write disturbance caused by the power
supply is eliminated. The write ability is thus significantly enhanced
compared with those of the previously proposed 8T and 10T SRAM Fig. 2. PG9T SRAM cell array and waveforms of data storage nodes in row
cells. and column half-selected cell during write “1” operation.
However, writing “1” at the storage node is much more difficult
than writing “0,” because the access transistors (AC1 and AC2) are The SRAM cells were verified by Monte Carlo simulations for
composed of nMOSs, which have a weak ability to pass “1.” Vth statistical analysis. In the simulation, the Vth variation was modeled
drop occurs in the nMOS transistors during a write “1” operation. to follow Gaussian distribution whose standard deviation (σV th ) is
During this operation, the storage node is first charged to a middle expressed as
voltage (about VDD –Vth ) and considerable time is required for full AV t
charging to VDD using the cross-coupled inverter pair. Moreover, σV th = √ (1)
Length × Width
when using 22-nm FinFET technology, it is not possible to control
Vth of the access transistors by utilizing reverse short channel effect where the Pelgrom coefficient A V t is assumed to be 1.8 mV · μm
as in [8], because the lengths of the transistor gates in an SRAM cell [12] to consider all variation sources including RDF, LER, work
should be equal for a lithography-friendly gate patterning process [9]. function variation, and fin width and gate length variations [13].
In order to solve this problem, boosted WL (WL and WWL) write- The simulation was performed using a qualified BSIM-CMG 106.1.0
assist technique can be used. When the WL boosting technique is model fitted with a commercial device based on the 22-nm FinFET
applied to the PG9T SRAM cell, the higher voltage can be transferred technology [14]. In the transient simulations performed in this brief
to storage node Q to reduce the write delay. to determine the delay, energy consumption, and sensing yield, a
junction capacitance of 256 cells per BL and a wire capacitance of
C. Bit Interleaving Scheme 0.16 fF/μm [15] were employed. The target yield was set to 6σ to
A bit interleaving scheme is necessary for nanoscale SRAM arrays achieve sufficiently stable operations [16].
to minimize the occurrence of multiple bit errors, because the soft
error rate caused by α-particles increases with technology scal-
A. Bit Cell Area
ing [10]. Error correction code (ECC) is a common in memories and
microprocessor caches for significantly reducing the external single Fig. 3(a) and (b), respectively, shows the layout of a single bit
bit errors. However, the conventional ECC has very limited correction cell of the previous 9T and PG9T SRAM based on 22-nm FinFET
capability, making it insufficient to protect memory in scaled tech- technology. The layout of the previous 9T SRAM cell in Fig. 3(a) is
nologies, which are vulnerable to multiple bit errors in a word [11]. different from that in [8] for area minimization with considering the
In the bit interleaving scheme, on the other hand, neighboring bits are layout rule restriction in 22-nm FinFET technology, such as width
arranged using different words, and then, column-based BL signals quantization effect, single gate orientation, and regular gate pitch.
are interleaved through multiplexers and detected by a single sense Fig. 3(c) shows the metal layout of the PG9T SRAM cell. It is
amplifier. Thus, although the data flip occurs locally at the multiple assumed that the single fin is used for all devices in the SRAM cells.
bits, the conventional ECC can easily recover the errors [10]. The number of metal layers is minimized by using local interconnects
Fig. 2 shows the PG9T SRAM cell array during write “1” opera- (LI1 and LI2) [17]. Four metal layers are used to route the control
tion. In the row half-selected cells, the storage node Q floats for a signals along the rows and columns. VDD and VSS are routed by
moment, but the data are maintained, because the write access time metal 1 in the column direction; BL and WWL are internally routed
is sufficiently short. In addition, in the column half-selected cells, the by metal 2 in the row direction; BL and WWL are routed again by
storage node Q is strongly driven by the inverter and the data can metal 3 in the column direction; VVSS is also routed by metal 3
thus be maintained despite the charge sharing with the intermediate in the column direction; and WL, WLPU, and WLPD are routed by
node VX. Because only the cross point bit cell, which is located in metal 4 in the row direction.
the selected row and column (write-selected cell), is accessed, the bit Fig. 4 shows the comparison of the bit cell area and estimated
interleaving scheme can be implemented without using a write-back macrolevel area. The bit cell area of the PG9T SRAM cell is
scheme in the PG9T SRAM architecture. 29%, 13%, and 12% smaller than those of the CP10T, PPN10T,
and previous 9T SRAM cells, respectively. The CP10T SRAM cell
III. C OMPARATIVE A NALYSIS BASED ON 22-nm T ECHNOLOGY has the largest area because of many transistors and control signals.
In this section, the proposed PG9T SRAM cell is compared with In spite of many transistors, however, the PPN10T SRAM cell has
the previously proposed SRAM cells in 22-nm FinFET technology. a relatively smaller area due to the small number of control signals.

Authorized licensed use limited to: Oklahoma State University. Downloaded on April 12,2020 at 17:20:24 UTC from IEEE Xplore. Restrictions apply.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 1185

Fig. 3. Layout of (a) previous 9T and (b) proposed PG9T SRAM cell without metal layers and (c) with metal layers based on 22-nm FinFET technology.

Fig. 4. Bit cell and macrolevel area based on 22-nm FinFET technology.
Fig. 6. (a) Write ability yields of read-decoupled SRAM cells. (b) WWTVs
of proposed PG9T SRAM cell at different process corners.

RSNMs, which are nearly equivalent to the hold static noise margins,
because the read disturbances are eliminated by decoupling the
storage nodes from the BLs. They all achieve the target read stability
yield of 6σ , even in the low voltage region without the use of a read
assist circuit, as shown in Fig. 5(a). The minimum supply voltage
(VDD,min ) is defined as the voltage where 6σ read stability yield
is ensured. The SRAM cells have the same VDD,min of 0.32 V,
because they all use read-decoupled SRAM cell structure. Fig. 5(b)
shows the RSNM of the PG9T SRAM cell at different process
corners (FS, TT, and SF). At TT corner, the inverters in the latch are
low-skewed because of greater electron mobility than hole mobility.
FS corner makes the inverters further low skewed while the SF corner
cancels out the originally low-skewed effect by making them high
skewed from the TT corner. Therefore, the RSNM is the smallest
at FS corner. Fig. 5(c) compares the 6σ worst read delay of the
proposed PG9T SRAM cell with those of the previously proposed
Fig. 5. (a) Read stability yields, (b) RSNMs at different process corners,
and (c) read delays of read-decoupled SRAM cells. SRAM cells for different supply voltages. The PPN10T SRAM cell
has the shortest read delay, because it has small BL capacitance and
The previous 9T SRAM cell has a larger layout area than the PG9T its differential BL pair requires only small voltage development for
SRAM cell, because WWL controls both pMOS in transmission gate correct sensing. However, the shortest read delay of the PPN10T
and nMOS in read/write access transistors; thereby sufficient gate SRAM is worthless, because the write delay is much longer than the
tip-to-tips are required, which is not the case in the PG9T SRAM read delay, as further discussed in Section III-C. The previous 9T
cell. For the estimation of macrolevel area, it is assumed that the and PG9T SRAM cells have the same read delays, because they use
write-back scheme [18] is used only for the 8T SRAM, and the identical read buffers.
peripheral circuit areas are the same for the other SRAMs. Referring
C. Write Ability and Minimum Required Boosted WL Voltage
to [8] and [18], the peripheral circuit areas of the 8T and previous
9T SRAM are obtained, and then, macrolevel areas were estimated The write abilities of the read-decoupled SRAM cells are compared
by adding the obtained peripheral circuit areas to the cell array areas based on the WL write trip voltage (WWTV) [19]. The write ability
for the read-decoupled SRAMs. As a result, the PG9T SRAM has yield is measured in μ/σ and obtained from the Gaussian distribution
15%, 6%, and 6% smaller macrolevel area than CP10T, PPN10T, and of the WWTVs for 10 000 Monte Carlo samples. Fig. 6(a) compares
previous 9T SRAMs, respectively. the write ability yields for low operating voltages. The write ability
yields in the CP10T and PPN10T SRAM cells are significantly
B. Read Stability and Delay poorer than that in the 8T SRAM cell owing to the stacked access
The read static noise margin (RSNM) [19] was used as the transistors in the former. On the other hand, the write ability yields
read stability metric. The read stability yield is measured in μ/σ of the previous 9T and PG9T SRAM cells are higher, because their
and obtained from the Gaussian distribution of the RSNMs for disconnected latches facilitate the write operation despite the stacked
10 000 Monte Carlo samples. All of the read-decoupled SRAM cells access transistors. Fig. 6(b) shows the WWTVs of the PG9T SRAM
(8T, CP10T, PPN10T, previous 9T, and PG9T) have the same cell at different process corners (FS, TT, and SF). The WWTVs is

Authorized licensed use limited to: Oklahoma State University. Downloaded on April 12,2020 at 17:20:24 UTC from IEEE Xplore. Restrictions apply.
1186 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017

TABLE I
C OMPARISON OF L EAKAGE P OWER AT VDD,min

This shows that the minimum read energy can be achieved when the
Fig. 7. (a) Minimum Vboost for 6σ write yield and (b) WL energy SRAM operates with the supply voltage slightly larger than VDD,min ,
consumption per write operation at VDD,min using WL boosting scheme specifically 0.4 V. In the previous 9T SRAM, BL discharge occurs
in [20].
in both the 96 unselected and 32 selected columns. However, in the
PG9T SRAM, BL discharge occurs only in the 32 selected columns
because of the column-based VVSS scheme. Due to the elimination
of unnecessary BL discharge from the unselected columns, the read
energy consumption of the PG9T SRAM is 30% and 45% lower
than those of the CP10T and previous 9T SRAMs, respectively, at
VDD,min . The column-based VVSS scheme can also be applied to the
previous 9T SRAM cell and this is further discussed in Section III-E.
Fig. 8(b) compares the write energy consumptions of the different
SRAMs when using the WL boosting scheme in [20] for ensuring 6σ
write ability yield. The write energy consumption is dominated by the
Fig. 8. Energy consumption per (a) read and (b) write operation.
column-based signals, because the number of the selected columns
the largest in FS corner and the smallest in SF corner due to the is much larger than that of the selected row in the 256-row ×
stacked nMOS write path. 128-column cell array with the 4:1 bit interleaving scheme. In the
In the low voltage region, none of the read-decoupled SRAM previous 9T SRAM cell, two row-based control signals (WL and
cells is able to achieve the 6σ target write ability yield without an WWLB) and two column-based control signals (WWL at the gates
assist circuit. The WL (WL and WWL) boosting-assist technique of MAW and MNP) are activated during the write operation. In the
is an appropriate means of achieving the target write ability yield proposed PG9T SRAM cell, on the other hand, three row-based
and speeding up the write operation in these cells, as explained control signals (WL, WLPU, and WLPD) and a column-based control
in Section II-B. However, this technique requires a larger area and signal (WWL) are activated during the write operation. Thus, the
increases the power consumption. It is thus important to minimize the PG9T SRAM consumes less write energy than the previous 9T
required WL boosting voltage (Vboost ). The minimum WL boosting SRAM due to the smaller number of activated column-based signals.
voltage (Vboost ) required to ensure 6σ write ability yield is shown in The write energy consumption of the PG9T SRAM is 49% and
Fig. 7(a). In the CP10T, previous 9T, and PG9T SRAM cells, both 17% lower than those of the CP10T and previous 9T SRAMs,
WL and WWL are boosted. The minimum required WL boosting respectively, at VDD,min .
voltage for the PG9T SRAM cell is comparable to that for the The standby leakage power (Ileakage ) is measured at VDD,min
previous 9T cell, and these two are the lowest among those for where the 6σ hold stability yield is ensured. In the standby mode of
all the SRAM cells. Conversely, the CP10T and PPN10T SRAM the SRAMs, the BLs are set to a high impedance mode and the WLs
cells require the highest WL boosting voltage. Although the target are deactivated. Table I shows the comparison of the leakage power.
write ability yield is satisfied by using the boosted WL scheme, the The CP10T, PPN10T, previous 9T, and PG9T SRAMs consume
PPN10T SRAM cell has a write delay of 1.02 μs at VDD = 0.32 V, similar leakage power, because they use the similar stacked write
which is significantly longer than the read delay. The PPN10T SRAM access transistors. The 8T SRAM consumes the highest leakage
cell is thus incapable of proper operation at a low VDD when power, which derives from the single access transistor.
using 22-nm FinFET technology.
E. Column-Based VVSS Scheme and Sensing Yield
With the implementation of the capacitive coupling WL boosting
scheme in [20], the WL energy consumption per the write operation In a single-ended SRAM with the column-based VVSS scheme, a
was measured, as shown in Fig. 7(b). The WL energy consumption of data-dependent leakage current undesirably discharges the precharged
the PG9T SRAM is comparable with that of the 8T SRAM because BL. The BL noise particularly deteriorates when all the column half-
of the small amount of Vboost . Although the previous 9T SRAM selected cells store “1”s. Because all the VVSSs in the column half-
requires the lowest Vboost among all the SRAMs, its WL energy selected cells are activated, many leakage paths are generated, and
consumption is higher than that of the PG9T SRAM, because it has the BL voltage difference between the read “1” and read “0” cases
larger WL (WL and WWL) capacitances. is thus reduced. This may cause a sensing error. Correct detection of
the stored data requires sufficient BL voltage difference between the
D. Energy Consumption and Standby Leakage Power two cases. The sensing yield presented in [21] is used as a measure
The average energy consumptions per read or write operation of the of how accurately the sensing scheme reads the stored data with Vth
SRAMs were measured and compared for different supply voltages variation. The target sensing yield in this case is also 6σ , which
using the worst case data pattern. A 4:1 bit interleaving scheme and is sufficiently high. With this target yield, the sensing scheme can
256 rows × 128 columns of the cell arrays were assumed. accurately detect the BL voltage without errors.
Fig. 8(a) compares the read energy consumptions of the different Column-based VVSS can also be applied to the previous SRAM
SRAMs. As the supply voltage reduces, the read energy consumption cell. In this case, the sensing yield of the previous 9T cell reduces and
also reduces. When VDD scales down below 0.4 V, however, the read becomes the same as that of the PG9T SRAM cell. This is because the
energy consumption increases due to the leakage current caused by same bias condition of the column half-selected cells in the previous
exponentially increasing read delay, as shown in Figs. 5(c) and 8(a). 9T and PG9T cells yields the equivalent leakage current. The read

Authorized licensed use limited to: Oklahoma State University. Downloaded on April 12,2020 at 17:20:24 UTC from IEEE Xplore. Restrictions apply.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 1187

operation than the previous 9T, CP10T, and PPN10T SRAM cells.
These features of the proposed SRAM cell afford a high integrated
memory, better noise immunity, and low energy consumption.
R EFERENCES
[1] B. H. Calhoun, J. F. Ryan, S. Khanna, M. Putic, and J. Lach, “Flexible
circuits and architectures for ultralow power,” Proc. IEEE, vol. 98, no. 2,
pp. 267–282, Feb. 2010.
[2] A. Agarwal, B. C. Paul, S. Mukhopadhyay, and K. Roy, “Process
variation in embedded memories: Failure analysis and variation aware
architecture,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1804–1814,
Fig. 9. Comparison of sensing yields for different supply voltages.
Sep. 2005.
[3] S. C. Song et al., “Systematic approach of FinFET based SRAM bitcell
TABLE II design for 32nm node and below,” in Proc. IEEE Int. Conf. IC Design
C OMPARISON IN 22-nm F IN FET T ECHNOLOGY AT VDD,min Technol., May 2009, pp. 165–168.
[4] J. Kavalieros et al., “Tri-gate transistor architecture with high-k gate
dielectrics, metal gates and strain engineering,” in Symp. VLSI Technol.
Dig. Tech. Papers, Jun. 2006, pp. 50–51.
[5] L. Chang et al., “Stable SRAM cell design for the 32 nm node
and beyond,” in Symp. VLSI Technol. Dig. Tech. Papers, Jun. 2005,
pp. 128–129.
[6] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T
sub-threshold SRAM array with bit-interleaving and differential read
scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2,
pp. 650–658, Feb. 2009.
[7] C.-H. Lo and S.-Y. Huang, “P-P-N based 10T SRAM cell for low-
leakage and resilient subthreshold operation,” IEEE J. Solid-State
Circuits, vol. 46, no. 3, pp. 520–529, Mar. 2011.
[8] M. H. Chang, Y. T. Chiu, and W. Hwang, “Design and iso-area Vmin
analysis of 9T subthreshold SRAM with bit-interleaving scheme in
65-nm CMOS,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, no. 7,
pp. 429–433, Jul. 2012.
[9] R. Schenker, V. Singh, and Y. Borodovsky, “The role of strong phase
shift masks in Intel’s DFM infrastructure development,” Proc. SPIE,
vol. 7641, p. 76410S, Apr. 2010.
[10] J. Maiz, S. Hareland, K. Zhang, and P. Armstrong, “Characterization of
multi-bit soft error events in advanced SRAMs,” in IEEE IEDM Tech.
Dig., Dec. 2003, pp. 21.4.1–21.4.4.
[11] S. Paul, F. Cai, X. Zhang, and S. Bhunia, “Reliability-driven ECC
energy becomes the same as that of the proposed PG9T SRAM owing allocation for multiple bit error resilience in processor cache,” IEEE
to the same WL swing and BL discharge. In the aspects of the bit Trans. Comput., vol. 60, no. 1, pp. 20–34, Jan. 2011.
[12] H. Kawasaki et al., “Challenges and solutions of FinFET integration
cell area and energy consumption per write operation, the PG9T in an SRAM cell and a logic circuit for 22 nm node and beyond,”
SRAM cell outperforms the previous 9T SRAM cell with column- in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2009,
based VVSS, because they are independent of the direction of VVSS. pp. 289–292.
The energy per read operation can be significantly reduced by [13] K. J. Kuhn, “Reducing variation in advanced logic technologies:
Approaches to process and design for manufacturability of nanoscale
a column-based VVSS, as noted in Section III-D. However, the CMOS,” in Proc. IEEE Int. Electron Devices Meeting (IEDM),
sensing margin is slightly degraded when the same inverter sensing Dec. 2007, pp. 471–474.
scheme is used for this case, as shown in Fig. 9. Nevertheless, this [14] C. Auth et al., “A 22nm high performance and low-power CMOS
can be resolved by reducing the variation of trip voltage of the technology featuring fully-depleted tri-gate transistors, self-aligned con-
tacts and high density MIM capacitors,” in Proc. Symp. VLSI Technol.,
inverter sensing scheme through the use of more fins in the sensing Jun. 2012, pp. 131–132.
scheme. Provided that the number of fins of the sensing scheme is [15] D. Ingerly et al., “Low-k interconnect stack with metal-insulator-metal
higher than three (Nfin,N = Nfin,P = 3), the sensing yield of the capacitors for 22nm high volume manufacturing,” in Proc. IEEE Int.
PG9T SRAM cell would easily achieve the 6σ target at the minimum Interconnect Technol. Conf. (IITC), Jun. 2012, pp. 1–3.
[16] C. Shin et al., “Performance and area scaling benefits of
supply voltage, with a very small area penalty. The proposed PG9T FD-SOI technology for 6-T SRAM cells at the 22-nm node,” IEEE
SRAM cell is, therefore, designed with a column-based VVSS to Trans. Electron Devices, vol. 57, no. 6, pp. 1301–1309, Jun. 2010,
significantly reduce the energy consumption, while achieving the doi: 10.1109/TED.2010.2046070.
target sensing yield. [17] K. Ronse et al., “Opportunities and challenges in device scaling by the
introduction of EUV lithography,” in Proc. IEEE Int. Electron Devices
IV. C ONCLUSION Meeting (IEDM), Dec. 2012, pp. 435–438.
We proposed a PG9T SRAM cell characterized by smaller area, [18] K. Kushida et al., “A 0.7 V single-supply SRAM with 0.495 μm2
cell in 65 nm technology utilizing self-write-back sense amplifier and
improved variation tolerability, and low energy consumption com- cascaded bit line scheme,” in Proc. IEEE Symp. VLSI Circuits, Jun. 2008,
pared with previously proposed SRAM cells. Table II summarizes pp. 46–47.
the performance between previous and proposed SRAM cells based [19] Z. Guo, A. Carlson, L. T. Pang, K. T. Duong, S. J. K. Liu, and B. Nikolic,
on the 22-nm FinFET technology at the minimum operating voltage. “Large-scale SRAM variability characterization in 45 nm CMOS,” IEEE
J. Solid-State Circuits, vol. 44, no. 11, pp. 3192–3714, Nov. 2009.
By cutting off the path from the power sources to the storage nodes, [20] M. E. Sinangil, H. Mair, and A. P. Chandrakasan, “A 28nm high-density
only the BL of the cell drives the storage node, thus affording 6T SRAM with optimized peripheral-assist circuits for operation down
improved write ability. The energy consumption per read operation to 0.6V,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers,
of the proposed SRAM cell is much lower than those of the previous Feb. 2011, pp. 260–262.
[21] H. Nho, S.-S. Yoon, S. S. Wong, and S.-O. Jung, “Numerical estimation
SRAM cells thanks to the elimination of unnecessary BL discharge of yield in sub-100-nm SRAM design using Monte Carlo simulation,”
through the use of a column-based VVSS. In addition, the proposed IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 9, pp. 907–911,
SRAM cell has a smaller area and consumes less energy per write Sep. 2008.

Authorized licensed use limited to: Oklahoma State University. Downloaded on April 12,2020 at 17:20:24 UTC from IEEE Xplore. Restrictions apply.

You might also like