Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 4

OCV

Turn on OCV for CTS

On-chip variation (OCV) causes variation in the timing performance of each transistor in a design.
During timing analysis, the tool uses the on-chip variation (OCV) mode to perform timing, which
models the effects of variation in operating conditions across the chip.

Single delay through out the design. Does not take 1. Path Distance 2. Path Depth 3. Individual
Cell Delay

In advanced OCV models, these variations are modeled using derating factors based on the path
depth and path distance. Uses Path Bounding Box.

In parametric OCV models, the variations are modeled using random variation and path distance
of cells.

AOCV

Advanced On-Chip Variation (AOCV) analysis is supported in IC Compiler starting


with the C-2009.06-SP3 release. This is an optional method of accuracy improvement
that determines varying derating factors for clock paths. A longer path that has
more gates tends to have less total variation because the random variations from
gate to gate tend to cancel each other out. Accordingly, advanced OCV applies
higher derating values to short clock paths and lower derating values to long
clock paths. This method is less pessimistic than a conventional OCV analysis,
which relies on constant derate factors that do not take path-specific metrics
into account.

Process variation can be briefly categorized into chip-to-chip (die-to-die) variation and on-chip
variation (OCV). In the traditional static timing analysis, the chip-to-chip variation effect is
captured by analyzing the design in different timing corners. On-chip variation is captured by
applying early and late timing derating factors to timing path elements.

As the feature size of the technology nodes keep decreasing, on-chip variation has become more
complicated. Applying a single derating factor has become too simplistic and can either cause too
much pessimism on setup paths or bring potential risk on hold paths. In order to overcome these
problems, the Advanced OCV (AOCV) model was proposed to provide path depth and distance-
based derating factors to capture random and systematic on-die variation effects respectively.

By modeling derating factor as a function of path depth, depth-based AOCV captures the statistical
cancellation effect of random variations. However, using path depth to determine derates for path
elements is still a simplistic approach which can add pessimism in graph-based analysis.

Rise Fall
------------------------------------------------------------------------
Cell delay= 0.047596 0.050970
AOCVM derate= 1.022200 1.024600
AOCVM guardband= 1.000000 1.000000
Incremental derate= 0.000000 0.000000

Cell delay derated= 0.048653 0.052224

Cell delay derated= "Cell delay" * ( "AOCVM guardband" * "AOCVM derate" + "Incremental
derate" )

version: 1.0

object_type: lib_cell
rf_type: rise fall
delay_type: cell
derate_type: late
object_spec: -regexp "*/INVX.*"
depth: 1 2 3 4 5 6 7 8 9 10
distance:
table: 1.2267 1.2026 1.1923 1.1862 1.1822 1.1793 1.1771 1.1753 1.1739 1.1727

POCV:

In AOCV models, these variations are modeled using derating factors based on the path depth and
path distance. In parametric OCV models, the variations are modeled using random variation and
path distance of cells. An advantage of parametric on-chip variation (POCV) over AOCV is that it
reduces the slack pessimism between graph-based (GBA) and path-based analysis (PBA).

POCV uses a Gaussian distribution to model "random variation," whereas it uses distance-based
derating to model "systematic variation" (similar to AOCV). Two key parameters in POCV random
variation modeling are the mean (average delay) and sensitivity (standard deviation or sigma).
Sensitivity is derived from side file or Liberty Variation Format (LVF) data.

Rise Fall--------------------------------------------------------------------
Cell delay= 0.109168 0.082236
POCVM delay sigma= 0.005922 0.004411
POCVM coefscale factor= 1.000000 1.000000
POCVM distance derate= 1.000000 1.000000
POCVM guardband= 1.191000 1.191000
Incremental derate= 0.000000 0.000000

Cell delay derated= 0.130019 0.097944


Cell delay sigma= 0.007053 0.005254

Cell delay derated= "Cell delay" * ("POCVM guardband" * "POCVM distance derate" +
"Incremental derate" )

Cell delay sigma = "POCVM delay sigma" * ("POCVM guardband" * "POCVM coefscale factor" )

In this mode, the tool computes arrival times, required times, and slack as statistical distributions
rather than fixed minimum and maximum values.
In the default PBA mode, PrimeTime performs two path-based recalculation processes: slew
recalculation and derating recalculation. However, when the
variable pba_derate_only_mode variable is set to true, only derating recalculation is
performed.

In GBA POCV, each object (cell and net) is typically part of many different paths, and the tool
computes distance metrics conservatively for each object to avoid optimism for any single path.
With derate-only mode enabled, PBA POCV only removes the only pessimism resulting from
"systematic variation" (distance-based derating); the "random variation (specific to an instance)"
pessimism of GBA POCV is the same as for PBA POCV.

Nominal cell delay (mean) and the cell delay variation (σ or sensitivity )

 Library-based POCV data – The .db library specifies the variation data in a set of tables.
Each table entry specifies the variation σ for a combination of input slew and output load,
for a given timing arc in the library cell, similar to the delay tables in the same library.
When the tool reads in the .db library, it has access to all the POCV variation data at the
timing arc level.

 File-based POCV data – You read in a coefficient data file in plain text format using
the read_aocvm command. This applies a single coefficient value C for each library cell,
hierarchical cell, or design specified in the text file. The delay variation σ is calculated
as C * nominal_delay. All the timing arcs of a cell share the same coefficient C,
irrespective of input slew and output load.
File Based POCV (Cell Delay Coefficient, Net Delay Coefficient, Distance Based)

Library Based POCV:

You might also like