Download as pdf or txt
Download as pdf or txt
You are on page 1of 38

5 4 3 2 1

X'TAL
14.318MHZ

HOST 133MHz/166MHz
ZH5 Block Diagram PA@ PATA
SA@ SATA
MP@ MINI PCI
Clock MC@ MINI CARD
PCI-E 100MHz
Generator GS@ G-SENSOR

CK_410M Yonah/Merom CPU G9@ 5789


VGA 96MHz Thermal Sensor
D Celeron-M G7@ 5787 D

ICS954310BGLF USB 48MHz & FAN Page : 6


INTEL Mobile_479 CPU
SOCKET_M Page : 3~4
PCI 33MHz DOCK DVI
Chrontel 7307C DVI
HOST BUS
REF 14MHz 533/667 Page : 32 Docking
Page : 5 MHz Page : 32
SDVO
DDR2-SODIMM Channel A
CPU CORE Page : 13 CALISTOGA-GM
TVOUT
VCC_CORE
DDR2 533/667 945GM/940GML
MAX8736 & CRT Switch
MHz 1466 CRT
MAX8552E Channel B
Page : 34 DDR2-SODIMM FCBGA RGB SN74CBTLV3257PWR Page : 19
Page : 13 Page : 19

Page : 7~12 LVDS LVDS


1.5V / USB0,1,2 Page : 18
+1.5V X'TAL24.576MHZ
C
1.05V(VCCP) SYSTEM DMI I/F
C

+1.05V ext USB*3 IDE - HDD


MAX1714A X2 Page : 21 Page : 26 ATA 66/100 AD19 REQ2# / GNT2# INT C/D# AD25 REQ0# / GNT0# INT E/F/G# 1394
PCI BUS Page: 22
Page : 37 USB4 TI
ICH7-M MINI PCI PCI7412
SATA
BlueTooth SATA - HDD 652 BGA PCMCIA+1394 PCMCIA
+1.8VSUS Azalia
Page : 21 Page : 26
Page: 23
+1.8V 4 IN 1
1.8V / 0.9V USB7
USB 2.0 PCI-E Page :22 OSC Page :22~24
/ 2.5V +0.9VSUS CCD Page : 14~17 48MHZ 4 IN 1
+0.9V Page : 18 X'TAL Page: 24
TPS511116 32.768KHZ
+2.5V
Page : 36 LPC
+5VPCU X'TAL X'TAL AUDIO CODEC Audio
32.768K 32.768K MINI CARD MODEM AMP
B
USB6 ALC883 B
5V / 3.3V / 10V +3V_PCU / Wirelee LAN Page : 27
Page : 27 Page: 28
+3V_S5 Page : 21

+5V_S5 NS NS LINEIN HP INT


MAX8734A G-SENSOR MIC-IN
KBC(97551) TPM 1.2 SIO (87383) OUT SPK
+3VSUS KXP84-0200 Page: 28
+5VSUS Page : 26 Page : 30 Page : 31 Page : 29
X'TAL
+3V 25M

+5V
AUDIO
FIR BROADCOM BOARD Page: 28
+10V
Touchpad Keyboard Page : 29 LAN Switch RJ45/11
Page : 35 10/100/1G LAN MAX4892
Page : 31 Page : 31 COM LPT BCM5789M Page : 20
Page : 20
BATTERY Page : 20
CHARGER PS2 Docking EZ4
& Selector Connector
A A

MAX8724 BATTERY DOCKING AUDIO


Page : 38 Page : 33

PCI DEVICE IDSEL# REQ# / GNT# Interrupts PROJECT : ZH5


Quanta Computer Inc.
PCI7412 AD25 REQ0# / GNT0# INT E/F/G#

Size Document Number Rev


MINI PCI AD19 REQ2# / GNT2# INT C/D# BLOCK DIAGRAM 1A

Date: Friday, September 08, 2006 Sheet 1 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

TABLE OF CONTENTS

Page 01 : BLOCK DIAGRAM


Page 02 : TABLE OF CONTENTS
Page 03 : Yonah/Merom CPU(HOST Bus)-1
D Page 04 : Yonah/Merom CPU(POWER/NC)-2 D

Page 05 : CLOCK GENERATOR


Page 06 : THERMAL SENSOR & FAN
Page 07 : GMCH HOST Bus(1 of 6)
Page 08 : GMCH DDRII MEMORY (2 of 6)
Page 09 : GMCH DMI & VEDIO (3 of 6)
Page 10 : GMCH POWER & STRAP(4 of 6)
Page 11 : GMCH LVDS/DAC-PWR2 (5 of 6)
Page 12 : GMCH GND (6 of 6)
Page 13 : DDRII SO-DIMM & TERMINATOR
Page 14 : ICH7-M RTC/SATA/IDE/AZALIA/CPU (1 OF 4)
Page 15 : ICH7-M MDI/PCI E/PCI/USB (2 OF 4)
C
Page 16 : ICH7-M GPIO/SMBus (3 of 4) C

Page 17 : ICH7-M POWER & GND (4 of 4)


Page 18 : LVDS CONNECTOR & CCD
Page 19 : CRT PORT
Page 20 : GigaLAN BCM5787MKMLG & RJ45/RJ11
Page 21 : PCMCIA /Mini Card /USB / Blue Tooth
Page 22 : PCI7412-IEEE1394
Page 23 : PCI7412-PCMCIA
Page 24 : PCI7412-CARD READER
Page 25 : HOLES
Page 26 : SATA/PATA HDD /G SENSOR
Page 27 : CODEC ALC883 & MDC
B
Page 28 : AUDIO AMP & SPEAK/LIN OUT B

Page 29 : SIO (87383)


Page 30 : EC Controller PC97551 & FLASH
Page 31 : SWITCH,LED,KB,TP,TPM1.2,EMI PAD
Page 32 : DOCK DVI CH7307C
Page 33 : DOCK EZ4 CONNECTOR
Page 34 : CPU CORE (MAX8736ETL+)
Page 35 : SYSTEM +5V/+3V(MAX8734A)
Page 36 : DDRII POWER 1.8VSUS / 0.9VTERM
Page 37 : CHIPSET POWER +1.5V / VCCP(+1.05V)
Page 38 : BATTERY CHARGER & SELECTOR
A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
TABLE OF CONTENTS 1A

Date: Friday, September 08, 2006 Sheet 2 of 39

5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

T101
U42A
(7) H_A#[31:3] +1.05V
H_A#3 J4 H1
A[3]# ADS# H_ADS# (7)
H_A#4 L4 E2
A[4]# BNR# H_BNR# (7)
H_A#5 M3 G5 +1.05V +1.05V (4,5,7,10,11,14,17,35,37)
A[5]# BPRI# H_BPRI# (7)
H_A#6 K5
H_A#7 A[6]#
M1 A[7]# DEFER# H5 H_DEFER# (7)

ADDR GROUP 0
H_A#8 N2 F21 R268
A[8]# DRDY# H_DRDY# (7)
H_A#9 J1 E1 56_4
A[9]# DBSY# H_DBSY# (7)
H_A#10

CONTROL
N3 A[10]#
H_A#11 P5 F1 Near to MCH <500mils
D A[11]# BR0# H_BREQ#0 (7) D
H_A#12 P2
H_A#13 A[12]#
L1 A[13]# IERR# D20 (7) H_D#[63:0] H_D#[63:0] (7)
H_A#14 P4 B3
A[14]# INIT# H_INIT# (14)
H_A#15 P1 T161 U42B
H_A#16 A[15]# H_D#0 E22
R1 A[16]# LOCK# H4 H_LOCK# (7) D[0]# D[32]# AA23 H_D#32
L2 H_D#1 F24 AB24 H_D#33
(7) H_ADSTB0# ADSTB[0]# H_CPURST# (7) D[1]# D[33]#
B1 H_D#2 E26 V24 H_D#34
(7) H_REQ#[4:0] RESET# D[2]# D[34]#
H_REQ#0 K3 F3 H_RS#0 H_D#3 H22 V26 H_D#35
REQ[0]# RS[0]# D[3]# D[35]#

DATA GRP 0
H_REQ#1 H2 F4 H_RS#1 H_D#4 F23 W25 H_D#36

DATA GRP 2
H_REQ#2 K2 REQ[1]# RS[1]# H_RS#2 H_D#5 G25 D[4]# D[36]#
REQ[2]# RS[2]# G3 H_RS#[2:0] (7) D[5]# D[37]# U23 H_D#37
H_REQ#3 J3 G2 H_D#6 E25 U25 H_D#38
REQ[3]# TRDY# H_TRDY# (7) D[6]# D[38]#
H_REQ#4 L5 T100 H_D#7 E23 U22 H_D#39
REQ[4]# H_D#8 K24 D[7]# D[39]#
(7) H_A#[31:3] HIT# G6 H_HIT# (7) D[8]# D[40]# AB25 H_D#40
H_A#17 Y2 E4 H_D#9 G24 W22 H_D#41
A[17]# HITM# H_HITM# (7) D[9]# D[41]#
H_A#18 U5 H_D#10 J24 Y23 H_D#42
H_A#19 A[18]# H_D#11 J23 D[10 D[42]#
R3 A[19]# BPM[0]# AD4 T179
D[11]# D[43]# AA26 H_D#43
H_A#20 W6 AD3 T168 XDP PU_R < 0.2" H_D#12 H26 Y26 H_D#44
H_A#21 A[20]# BPM[1]# H_D#13 F26 D[12]# D[44]#
U4 AD1 T177 Y22 H_D#45

XDP/ITP SIGNALS
H_A#22 A[21]# BPM[2]# H_D#14 K22 D[13]# D[45]#
Y5 A[22]# BPM[3]# AC4 T165
D[14]# D[46]# AC26 H_D#46
H_A#23 U2 AC2 T176 H_D#15 H25 AA24 H_D#47
H_A#24 A[23]# PRDY# D[15]# D[47]#
R4 A[24]# PREQ# AC1 XDP_BPM#5 (7) H_DSTBN#0 H23 DSTBN[0]# DSTBN[2]# W24 H_DSTBN#2 (7)
H_A#25 T5 AC5 XDP_TCK G22 Y25
A[25]# TCK +1.05V (7) H_DSTBP#0 DSTBP[0]# DSTBP[2]# H_DSTBP#2 (7)
H_A#26 T3 AA6 XDP_TDI T175 J26 V23
A[26]# TDI (7) H_DINV#0 DINV[0]# DINV[2]# H_DINV#2 (7)
H_A#27 W3 AB3 XDP_TDO
C A[27]# TDO (7) H_D#[63:0] H_D#[63:0] (7) C
H_A#28 W5 AB5 XDP_TMS
H_A#29 A[28]# TMS XDP_TRST# H_D#16 N22
Y4 A[29]# TRST# AB6 R269
D[16]# D[48]# AC22 H_D#48
H_A#30 W2 C20 XDP_DBRESET# 56_4 H_D#17 K25 AC23 H_D#49
H_A#31 A[30]# DBR# H_D#18 P26 D[17]# D[49]#
Y1 A[31]# D[18]# D[50]# AB22 H_D#50
(7) H_ADSTB1# V4 D21 H_PROCHOT_R# H_D#19 R23 AA21 H_D#51
ADSTB[1]# PROCHOT D[19]# D[51]#

DATA GRP 1
A24 H_D#20 L25 AB21 H_D#52

DATA GRP 3
THERMDA H_THERMDA (6) D[20]# D[52]#
THERM

A6 A25 H_D#21 L22 AC25 H_D#53


(14) H_A20M# A20M# THERMDC H_THERMDC (6) D[21]# D[53]#
A5 H_D#22 L23 AD20 H_D#54
(14) H_FERR# FERR# D[22]# D[54]#
(14) H_IGNNE# C4 IGNNE# THERMTRIP# C7 THERMTRIP#_PWR R272 *0_4
PM_THRMTRIP# (9,14)
H_D#23M23
D[23]# D[55]# AE22 H_D#55
H_D#24 P25 AF23 H_D#56
R274 0_4 H_STPCLK_R# D5 H_D#25 P22 D[24]# D[56]#
(14) H_STPCLK# STPCLK# D[25]# D[57]# AD24 H_D#57
C6 H_D#26 P23 AE21 H_D#58
H CLK

(14) H_INTR LINT0 +1.05V D[26]# D[58]#


(14) H_NMI B4 A22 H_D#27 T24 AD21 H_D#59
LINT1 BCLK[0] CLK_CPU_BCLK (5) D[27]# D[59]#
(14) H_SMI# A3 A21 H_D#28 R24 AE25 H_D#60
SMI# BCLK[1] CLK_CPU_BCLK# (5) D[28]# D[60]#
H_D#29 L26 AF25 H_D#61
R456 H_D#30 T25 D[29]# D[61]#
AA1 RSVD[01]# D[30]# D[62]# AF22 H_D#62
AA4 T22 1K/F_4 H_D#31 N24 AF26 H_D#63
RSVD[02]# RSVD[12]# D[31]# D[63]#
AB2 RSVD[03]# (7) H_DSTBN#1 M24 DSTBN[1]# DSTBN[3]# AD23 H_DSTBN#3 (7)
AA3 N25 AE24
RESERVED

RSVD[04]# (7) H_DSTBP#1 DSTBP[1]# DSTBP[3]# H_DSTBP#3 (7)


M4 RSVD[05]# RSVD[13]# D2 (7) H_DINV#1 M26 DINV[1]# DINV[3]# AC20 H_DINV#3 (7)
N5 F6 +1.05V
RSVD[06]# RSVD[14]# H_GTLREF COMP0 R457 27.4/F_4 25/25mils
T2 RSVD[07]# RSVD[15]# D3 AD26 GTLREF COMP[0] R26
V3 C1 MISC U26 COMP1 R458 54.9/F_4
RSVD[08]# RSVD[16]# COMP[1] COMP2 R463 27.4/F_4
B B2 RSVD[09]# RSVD[17]# AF1 COMP[2] U1 B
C3 D22 C MODIFY : 5mil R452 *1K/F_4 C26 V1 COMP3 R462 54.9/F_4 R279
RSVD[10]# RSVD[18]# TEST1 COMP[3]
RSVD[19]# C23 modify C T97 T96 *200_4
B25 C24 R453 1K/F_4 D25 E5
RSVD[11]# RSVD[20]# TEST2 DPRSTP# ICH_DPRSTP# (14) C MODIFY : 5mil
R455 B5
DPSLP# H_DPSLP# (14)
PZ47903-2741-01 2K/F_4 D24
DPWR# H_DPWR# (7)
(5) CPU_BSEL0 B22 BSEL[0] PWRGOOD D6 H_PWRGD (14)
(5) CPU_BSEL1 B23 BSEL[1] SLP# D7 H_CPUSLP# (7,14)
+1.05V C21 AE6
(5) CPU_BSEL2 BSEL[2] PSI# PSI# (34) H_PWRGD is CMOS driving by ICH
R454 0_4 H_PROCHOT_R#
(34) H_PROCHOT#
PZ47903-2741-01
TO VRD
XDP_TMS R276 54.9/F_4
XDP_DBRESET# R394 0_4
SYS_RST# (16)
+1.05V
XDP_TDI R275 54.9/F_4 Comp0,2 connect with Zo=27.4ohm.
R467 22K_4
Comp1,3 connect with Zo=55ohm,
PWROK_EC (16,30)
make these trace length shorter than 0.5".
XDP_BPM#5 R277 54.9/F_4 XDP_TCK PD 27.4/1% ? R271
56_4 R273 C282 Edison _0517 to modify
XDP_TRST PD 510ohm /5% ? 10K_4
A XDP_TDI PU 150ohm /1.05V *1U-16V_6 A
XDP_TCK R282 54.9/F_4 XDP_TMS PU 39.2/1%?
XDP_TDO PU 54.9ohm? PROJECT : ZH5
2

For ITP700 R270


XDP_TRST# R281 54.9/F_4 THERMTRIP#_PWR 1 3 1999_SHT# (35)
Size Document Number
Quanta Computer Inc.Rev
330_4 Q13 MMBT3904
Yonah/Merom CPU(HOST Bus)-1 1A

Date: Friday, September 08, 2006 Sheet 3 of 39

5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

U42D VCC_CORE VCC_CORE


A4 P6 +1.05V +1.05V (3,5,7,10,11,14,17,35,37)
VSS[001] VSS[082] U42C VCC_CORE
A8 VSS[002] VSS[083] P21 VCC_CORE (34)
A11 P24 A7 AB20 +1.5V +1.5V (11,15,17,21,35,37)
VSS[003] VSS[084] VCC[001] VCC[68]
A14 VSS[004] VSS[085] R2 A9 VCC[002] VCC[69] AB7
A16 VSS[005] VSS[086] R5 A10 VCC[003] VCC[70] AC7
A19 VSS[006] VSS[087] R22 A12 VCC[004] VCC[71] AC9
A23 VSS[007] VSS[088] R25 A13 VCC[005] VCC[72] AC12
A26 VSS[008] VSS[089] T1 A15 VCC[006] VCC[73] AC13
B6 VSS[009] VSS[090] T4 A17 VCC[007] VCC[74] AC15
D B8 T23 A18 AC17 D
VSS[010] VSS[091] VCC[008] VCC[75] VCC_CORE
B11 VSS[011] VSS[092] T26 A20 VCC[009] VCC[76] AC18
B13 VSS[012] VSS[093] U3 B7 VCC[010] VCC[77] AD7
B16 VSS[013] VSS[094] U6 B9 VCC[011] VCC[78] AD9
B19 VSS[014] VSS[095] U21 B10 VCC[012] VCC[79] AD10
B21 U24 VCC_CORE B12 AD12
VSS[015] VSS[096] VCC[013] VCC[80] C542 C558 C549 C553
B24 VSS[016] VSS[097] V2 B14 VCC[014] VCC[81] AD14
C5 V5 B15 AD15 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8
VSS[017] VSS[098] VCC[015] VCC[82]
C8 VSS[018] VSS[099] V22 B17 VCC[016] VCC[83] AD17
C11 VSS[019] VSS[100] V25 B18 VCC[017] VCC[84] AD18
C14 W1 C286 C562 C547 C559 B20 AE9
VSS[020] VSS[101] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[018] VCC[85] reserve dummy cap--Allen
C16 VSS[021] VSS[102] W4 C9 VCC[019] VCC[86] AE10
C19 VSS[022] VSS[103] W23 C10 VCC[020] VCC[87] AE12
C2 VSS[023] VSS[104] W26 C12 VCC[021] VCC[88] AE13
C22 VSS[024] VSS[105] Y3 C13 VCC[022] VCC[89] AE15
C25 VSS[025] VSS[106] Y6 C15 VCC[023] VCC[90] AE17
D1 Y21 C557 C290 C560 C548 C17 AE18
VSS[026] VSS[107] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[024] VCC[91]
D4 VSS[027] VSS[108] Y24 C18 VCC[025] VCC[92] AE20
D8 VSS[028] VSS[109] AA2 D9 VCC[026] VCC[93] AF9
D11 VSS[029] VSS[110] AA5 D10 VCC[027] VCC[94] AF10
D13 VSS[030] VSS[111] AA8 D12 VCC[028] VCC[95] AF12
D16 AA11 D14 AF14 +1.05V
VSS[031] VSS[112] C278 C279 C556 C276 VCC[029] VCC[96]
C D19 VSS[032] VSS[113] AA14 D15 VCC[030] VCC[97] AF15 C
D23 AA16 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 D17 AF17
VSS[033] VSS[114] VCC[031] VCC[98]
D26 VSS[034] VSS[115] AA19 D18 VCC[032] VCC[99] AF18
E3 AA22 E7 AF20 +1.05V C272 C291 C273 C289 C292 C293
VSS[035] VSS[116] VCC[033] VCC[100] .1U-10V_4.1U-10V_4.1U-10V_4.1U-10V_4.1U-10V_4.1U-10V_4
E6 VSS[036] VSS[117] AA25 E9 VCC[034]
E8 VSS[037] VSS[118] AB1 E10 VCC[035] VCCP[01] V6
E11 AB4 C551 C284 C283 C285 E12 G21 C570
VSS[038] VSS[119] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[036] VCCP[02]
E14 VSS[039] VSS[120] AB8 E13 VCC[037] VCCP[03] J6

330U-2.5V_7343
E16 AB11 E15 K6 +
VSS[040] VSS[121] VCC[038] VCCP[04]
E19 VSS[041] VSS[122] AB13 E17 VCC[039] VCCP[05] M6
E21 AB16 E18 J21 +1.5V
VSS[042] VSS[123] VCC[040] VCCP[06]
E24 VSS[043] VSS[124] AB19 E20 VCC[041] VCCP[07] K21
F5 AB23 C550 C281 C544 C552 F7 M21
VSS[044] VSS[125] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[042] VCCP[08]
F8 VSS[045] VSS[126] AB26 F9 VCC[043] VCCP[09] N21
F11 VSS[046] VSS[127] AC3 F10 VCC[044] VCCP[10] N6
F13 VSS[047] VSS[128] AC6 F12 VCC[045] VCCP[11] R21
F16 AC8 F14 R6 C541 C540
VSS[048] VSS[129] VCC[046] VCCP[12]
F19 VSS[049] VSS[130] AC11 F15 VCC[047] VCCP[13] T21
F2 AC14 C280 C543 C277 C555 F17 T6 .01U-16V_4 10U/X5R-6.3V_8
VSS[050] VSS[131] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[048] VCCP[14]
F22 VSS[051] VSS[132] AC16 F18 VCC[049] VCCP[15] V21
F25 AC19 F20 W21 +1.5V
VSS[052] VSS[133] VCC[050] VCCP[16]
G4 VSS[053] VSS[134] AC21 AA7 VCC[051]
G1 VSS[054] VSS[135] AC24 AA9 VCC[052] VCCA B26
B B
G23 VSS[055] VSS[136] AD2 AA10 VCC[053]
G26 AD5 C546 C545 C274 C287 AA12
VSS[056] VSS[137] 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 VCC[054]
H3 VSS[057] VSS[138] AD8 AA13 VCC[055] VID[0] AD6 H_VID0 (34)
H6 VSS[058] VSS[139] AD11 AA15 VCC[056] VID[1] AF5 H_VID1 (34)
H21 VSS[059] VSS[140] AD13 AA17 VCC[057] VID[2] AE5 H_VID2 (34)
H24 VSS[060] VSS[141] AD16 AA18 VCC[058] VID[3] AF4 H_VID3 (34)
J2 VSS[061] VSS[142] AD19 AA20 VCC[059] VID[4] AE3 H_VID4 (34)
J5 AD22 C554 C275 C561 C288 AB9 AF2
VSS[062] VSS[143] VCC[060] VID[5] H_VID5 (34)
J22 AD25 10U-4V_8 10U-4V_8 10U-4V_8 10U-4V_8 AC10 AE2
VSS[063] VSS[144] VCC[061] VID[6] H_VID6 (34)
J25 VSS[064] VSS[145] AE1 AB10 VCC[062]
K1 VSS[065] VSS[146] AE4 Edison-0517_change to 10U/4V_0805 AB12 VCC[063]
K4 VSS[066] VSS[147] AE8 AB14 VCC[064]
K23 VSS[067] VSS[148] AE11 AB15 VCC[065] VCCSENSE AF7 VCCSENSE (34)
K26 VSS[068] VSS[149] AE14 AB17 VCC[066]
L3 VSS[069] VSS[150] AE16 AB18 VCC[067] VSSSENSE AE7 VSSSENSE (34)
L6 AE19 VCC_CORE
VSS[070] VSS[151] PZ47903-2741-01
L21 VSS[071] VSS[152] AE23
L24 AE26 A1A 7/8 del R11,R12
VSS[072] VSS[153]
M2 VSS[073] VSS[154] AF3
M5 VSS[074] VSS[155] AF6
M22 AF8 + C651 + C652
VSS[075] VSS[156]
M25 VSS[076] VSS[157] AF11
A N1 AF13 A
VSS[077] VSS[158] 150U-4V_3528 150U-4V_3528
N4 VSS[078] VSS[159] AF16
N23 AF19
N26
VSS[079]
VSS[080]
VSS[160]
VSS[161] AF21 PROJECT : ZH5
P3 VSS[081] VSS[162] AF24
Edison-0605_Add CPU bypass and also close CPU.
PZ47903-2741-01 Size Document Number Quanta Computer Inc.Rev
Yonah/Merom CPU(POWER/GND)-2 1A

Date: Friday, September 08, 2006 Sheet 4 of 39

5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


A B C D E

FSC FSB FSA CPU SRC PCI


1 0 1 100 100 33
0 0 1 133 100 33 Default

0 1 1 166 100 33
0 1 0 200 100 33
0 0 0 266 100 33
1 0 0 333 100 33 VDD_A
4 Close to IC <500mils 4
1 1 0 400 100 33
1 1 1 200 100 33 C505 27P-50V_4 CG_XIN
Place these termination to close CK410M.

45

46
2
Y6 U37
L49 25 mils 14.318MHZ 58 60 14M_REF R425 33_4

VDDA

GNDA
X1 REF0 14M_ICH (16)
BK2125HS121-T_8 RP34
VDD_SRC_CPU C506 27P-50V_4 CG_XOUT 57 52 RHCLK_CPU 1 2 33_4P2R_S
+3V

1
X2 CPUCLKT0 RHCLK_CPU# CLK_CPU_BCLK (3)
51 3 4 C498
CPUCLKC0 CLK_CPU_BCLK# (3)
120 ohms@100Mhz C216 C199 C490 C481 C488 +3V R222 *10K_4 CK-410M RP29 *10P-50V_4
.1U-10V_4 10U-10V_8(16,34) VR_PWRGD_CK410# 10 49 RHCLK_MCH 1 2 33_4P2R_S
PM_STPCPU# Vtt_PwrGd#/PD CPUCLKT1 RHCLK_MCH# CLK_MCH_BCLK (7)
.1U-10V_4 .1U-10V_4 .1U-10V_4 (16) PM_STPCPU# 62 48 3 4
PM_STPPCI# CPU_STOP# CPUCLKC1 CLK_MCH_BCLK# (7)
(16) PM_STPPCI# 63 RP24
R414 2.2/F_6 VDD_A R1683 *33_4 PCI/PCIE_STOP# CLK_PCIE_MINI1_
44 1 2 MC@33_4P2R_S CLK_PCIE_MINI1 (21)
(24) CARDCLK CGCLK_SMB CPUCLKT2/PCIET8 CLK_PCIE_MINI1_#
(13) CGCLK_SMB 54 43 3 4 CLK_PCIE_MINI1# (21)
C484 C487 CGDAT_SMB SCLK CPUCLKC2/PCIEC8 RP19
(13) CGDAT_SMB 55
.1U-10V_4 10U-10V_8 R420 33_4 SDATA R_CLK_PCIE_EZ2
41 1 2 33_4P2R_S CLK_PCIE_EZ2 (33)
(16) CLKUSB_48 CLK_BSEL0 R421 4.7K_4 REQ1#/PCIET7 R_CLK_PCIE_EZ2#
12 40 3 4 CLK_PCIE_EZ2# (33)
CLK_BSEL1 FSA/USB_48MHz REQ2#/PCIEC7 RP15
16
CLK_BSEL2 R428 4.7K_4 FSB/TEST_MODE RSRC_MCH
61 39 1 2 33_4P2R_S CLK_PCIE_3GPLL (9)
R427 33_4 REF1/FSLC/TEST_SEL PCIET6 RSRC_MCH#
38 3 4 CLK_PCIE_3GPLL# (9)
(29) SIO_14M VDD_REF PCIEC6 RP13
56
VDD_SRC_CPU VDD_REF R_CLK_PCIE_EZ1
50 36 1 2 33_4P2R_S CLK_PCIE_EZ1 (33)
C240 VDDCPU PCIET5 R_CLK_PCIE_EZ1#
35 3 4 CLK_PCIE_EZ1# (33)
*10P-50V_4 VDD_PCI PCIEC5
1
VDD_PCI_1
7 30
VDD_PCI_2 PCIET4
25 mils PCIEC4
31
VDD_SRC_CPU 21 RP20
3 L51 VDD_PCI VDD_PCIE RSRC_SATA
+3V 28 26 3 4 SA@33_4P2R_S CLK_PCIE_SATA (14)
3
BK2125HS121-T_8 VDDPCIE SATA_CKT RSRC_SATA#
42 27 1 2 CLK_PCIE_SATA# (14)
C512 C513 C514 VDD_PCIE SATA_CKC RP21
.1U-10V_4 .1U-10V_4 10U-10V_8 VDD_48 11 24 RSRC_ICH 3 4 33_4P2R_S
VDD_48 PCIET3 RSRC_ICH# CLK_PCIE_ICH (15)
25 1 2 CLK_PCIE_ICH# (15)
CLKGN_REQ3_PCIE PCIEC3 RP25
32
R433 2.2/F_6 VDD_48 CLKGN_REQ4_PCIE REQ3(PCIE) R_CLK_PCIE_LAN
33 22 3 4 33_4P2R_S CLK_PCIE_LAN (20)
REQ4(PCIE) PCIET2 R_CLK_PCIE_LAN#
23 1 2 CLK_PCIE_LAN# (20)
C231 C508 Iref=5mA, R200 475/F_6 IREF PCIEC2
47
.1U-10V_4 10U-10V_8 Ioh=4*Iref IREF
19
PCIET1
20
PCIEC1 RP30
RP31
DREFCLK 1 2 R_DOT96 14 17 R_DREFSSCLK 3 4 33_4P2R_S
(9) DREFCLK DOT96MHz 27Mfix/LCD_SSCGT/PCIE0T DREFSSCLK (9)
R424 1_6 VDD_REF DREFCLK# 3 4 R_DOT96# 15 18 R_DREFSSCLK# 1 2
(9) DREFCLK# DOT96MHz# 27SS/LCD_SSCGC/PCIE0C DREFSSCLK# (9)
RP33
C494 C500 33_4P2R_S 5 R_PCLK_SIO R429 33_4 CLK_CPU_BCLK 1 249.9_4P2R_S
selPCIEX0_LCD#/PCI5 R_PCLK_7412 PCI_CLK_SIO (29) CLK_CPU_BCLK#
.1U-10V_4 10U-10V_8 T140 34 4 R431 33_4 3 4

GND_PCI_1
GND_PCI_2
PWRSAVE# PCI4 PCI_CLK_7412 (22)

GND_SRC
3 R_PCLK_TPM R432 *33_4 RP28
PCI3 PCLK_TPM (31)

GND_48
INTERNAL PULL HIGH 64 PCLK_MINI_LPC R430 33_4 CLK_MCH_BCLK 1 249.9_4P2R_S
del R408 PCICLK2/REQ_SEL R_PCLK_ICH PCLK_MINI (21) CLK_MCH_BCLK#
9 R423 33_4 3 4

GND

GND

GND
PCIF1/selLCD_27# R_PCLK_591 PCLK_ICH (15)
REQ3 Latched Select PCIF0/ITP_EN
8 R426 33_4
PCLK_591 (30)
RP23
"0" : CLK Enable CLK_PCIE_MINI1 1 2MC@49.9_4P2R_S
ICS954310BGLF CLK_PCIE_MINI1# 3 4
"1" : CLK Disable Control : PCIE 2,4

53
13
59
2
6
29
37
C496 C507 C501 RP6
+3V R242 *10K_4 CLK_PCIE_LAN 3 449.9_4P2R_S
+3V CLK_PCIE_LAN#
ITP/SRC7 SELECT *10P-50V_4 *10P-50V_4 *10P-50V_4 1 2
CLKGN_REQ3_PCIE R408 10K_4 R221 10K_4 C499 C502 C503 RP14
0: SRC7 1: ITP CLK_PCIE_3GPLL 1 249.9_4P2R_S
CLKGN_REQ4_PCIE R407 10K_4 R230 10K_4 CLK_PCIE_3GPLL# 3 4
2 (33) EZ_CLKREQ# 2
*10P-50V_4 *10P-50V_4 *10P-50V_4 RP5
BSEL strappings need to be set for 533MHz Moby Dick (Intel?915GM - Calistoga Interposer) CLK_PCIE_SATA 3 4SA@49.9_4P2R_S
REQ4 Latched Select (if Calistoga is designed for 667MHz board). CLK_PCIE_SATA# 1 2
"0" : CLK Enable SELLCD_27# Select. (Pin 17,18)
"1" : CLK Disable "0" : 27MHzSS/27MHzSS# pair
+1.05V R223 *1K_4 "1" : LCD CLK pair
Control : PCIE Default RP22
3,5,7 R_PCLK_SIO R238 10K_4 CLK_PCIE_ICH 3 449.9_4P2R_S
R216 0_4 CLK_BSEL0 R231 1K_4 CLK_PCIE_ICH# 1 2
(3) CPU_BSEL0 MCH_BSEL0 (9)
R210 *1K_4 Latched Select. (Pin 17,18)
"0" : LCD CLK
"1" : PCIEX CLK Default RP7
DREFSSCLK 3 449.9_4P2R_S
DREFSSCLK# 1 2
Edison 0518_ to change RP32
DREFCLK 3 449.9_4P2R_S
+3V
the R409/R410 value. R207 *1K_4 DREFCLK# 1 2
+1.05V
RP18
CLK_PCIE_EZ2 1 249.9_4P2R_S
R203 0_4 CLK_BSEL1 R199 1K_4 CLK_PCIE_EZ2# 3 4
(3) CPU_BSEL1 MCH_BSEL1 (9)
RP12
R201 *0_4 CLK_PCIE_EZ1 1 249.9_4P2R_S
Q20 R409 R410 CLK_PCIE_EZ1# 3 4
2

RHU002N06 4.7K_4 4.7K_4

3 1 CGDAT_SMB
(16,21,33) PDAT_SMB

1 1

+3V

Q21 modify C: del R375,R384 R237 *1K_4


+1.05V PROJECT : ZH5
2

RHU002N06

3 1 CGCLK_SMB R243 0_4 CLK_BSEL2 R254 1K_4


(16,21,33) PCLK_SMB (3) CPU_BSEL2 MCH_BSEL2 (9) Quanta Computer Inc.
R248 *0_4
Size Document Number Rev
CLOCK GENERATOR 1A
Date: Tuesday, September 12, 2006 Sheet 5 of 39
A B C D E

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+3V
+3V

Q12 R267 25mils

2
RHU002N06 200_6
D D
(30,38) MBCLK 3 1 LM86VCC

C270
R266 R265 .1U-10V_4 10/20mils
+3V 10K_4 10K_4
U41

2
LM86__SMC 8 1
Q11 SCLK VCC H_THERMDA
H_THERMDA (3)
(30,38) MBDATA 3 1 LM86_SMD 7 2 C271
RHU002N06 SDA DXP 2200P-50V_6
1 2 6 3 H_THERMDC
ALERT# DXN H_THERMDC (3)
(16) THERM_ALERT# R264 *0_4
THERM_OVER# 4 5
OVERT# GND

MAX6657/GMT-781
ADDRESS: 98H
C C

+5V
reserve to save one MOSFET(Q75)

THERM_OVER# 1 2 G993_VEN R448 +3V


1

R443 0_4 *10K_4


Q22
R263
2 THERM_OVER# 10K_4
*AO3403
B CN25 B
U40 (30) FANSIG
2 3 TH_FAN_POWER FAN_CON
+5V
3

VIN VO 1
GND 5 2
R444 *0_4 G993_VEN 1 C534 C269
VEN GND 6
7
30 MIL 3
GND .01U-16V_4
(30) VFAN 4 VSET GND 8

G995 .01U-16V_4

A A
PROJECT : ZH5

Size Document Number


Quanta Computer Inc. Rev
CPU THERMAL SENSOR & FAN 1A

Date: Friday, September 08, 2006 Sheet 6 of 39

5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

H_XRCOMP
(3) H_D#[63:0] H_A#[31:3] (3)
U35A
H_D#0 F1 H9 H_A#3
R252 H_D#1 H_D#_0 H_A#_3 H_A#4 +1.05V
15 mils/10mils J1 H_D#_1 H_A#_4 C9 +1.05V (3,4,5,10,11,14,17,35,37)
24.9/F_4 H_D#2 H1 E11 H_A#5
H_D#3 H_D#_2 H_A#_5 H_A#6
J6 H_D#_3 H_A#_6 G11
H_D#4 H3 F11 H_A#7
H_D#5 H_D#_4 H_A#_7 H_A#8
K2 H_D#_5 H_A#_8 G12
H_D#6 G1 F9 H_A#9
H_D#7 H_D#_6 H_A#_9 H_A#10
G2 H_D#_7 H_A#_10 H11
D H_D#8 H_A#11 D
K9 H_D#_8 H_A#_11 J12
+1.05V H_D#9 K1 G14 H_A#12
H_D#10 H_D#_9 H_A#_12 H_A#13
K7 H_D#_10 H_A#_13 D9
H_D#11 J8 J14 H_A#14
H_D#12 H_D#_11 H_A#_14 H_A#15
H4 H_D#_12 H_A#_15 H13
R246 H_D#13 J3 J15 H_A#16
54.9/F_4 H_D#14 H_D#_13 H_A#_16 H_A#17
K11 H_D#_14 H_A#_17 F14
H_D#15 G4 D12 H_A#18
H_XSCOMP H_D#16 H_D#_15 H_A#_18 H_A#19
T10 H_D#_16 H_A#_19 A11
H_D#17 W11 C11 H_A#20
H_D#18 H_D#_17 H_A#_20 H_A#21
T3 H_D#_18 H_A#_21 A12
H_D#19 U7 A13 H_A#22
+1.05V H_D#20 H_D#_19 H_A#_22 H_A#23
U9 H_D#_20 H_A#_23 E13
H_D#21 U11 G13 H_A#24
H_D#22 H_D#_21 H_A#_24 H_A#25
T11 H_D#_22 H_A#_25 F12
H_D#23 W9 B12 H_A#26
H_D#24 H_D#_23 H_A#_26 H_A#27
T1 H_D#_24 H_A#_27 B14
R261 H_D#25 T8 C12 H_A#28
221/F_4 H_D#26 H_D#_25 H_A#_28 H_A#29 +1.05V
T4 H_D#_26 H_A#_29 A14
H_D#27 W7 C14 H_A#30
H_XSWING H_D#28 H_D#_27 H_A#_30 H_A#31
U5 H_D#_28 H_A#_31 D14
H_D#29 T9
H_D#30 H_D#_29 R213
W6 H_D#_30 H_ADS# E8 H_ADS# (3)
H_D#31 T5 B9 100/F_4 H_VREF :10 mils/20 mils space
C H_D#_31 H_ADSTB#_0 H_ADSTB0# (3) C
R259 C259 H_D#32 AB7 C13
H_D#_32 H_ADSTB#_1 H_ADSTB1# (3)
100/F_4 H_D#33 AA9 J13 H_VREF
.1U-10V_4 H_D#34 H_D#_33 H_VREF_0
W4 H_D#_34 H_BNR# C6 H_BNR# (3)
H_D#35 W3 F6

HOST
H_D#_35 H_BPRI# H_BPRI# (3)
H_D#36 Y3 C7 C230 R215
H_D#_36 H_BREQ#0 H_BREQ#0 (3)
H_D#37 Y7 B7 R436 0_4 .1U-10V_4 200/F_4
H_D#_37 H_CPURST# H_CPURST# (3)
H_D#38 W5 A7
H_D#_38 H_DBSY# H_DBSY# (3)
H_D#39 Y10 C3
+1.05V H_D#_39 H_DEFER# H_DEFER# (3)
H_D#40 AB8 J9
H_D#_40 H_DPWR# H_DPWR# (3)
H_D#41 W2 H8
H_D#_41 H_DRDY# H_DRDY# (3)
H_D#42 AA4 K13 H_VREF
H_D#43 H_D#_42 H_VREF_1
AA7 H_D#_43 H_DINV#[3:0] (3)
R417 H_D#44 AA2 J7 H_DINV#0
54.9/F_4 H_D#45 H_D#_44 H_DINV#_0 H_DINV#1 C229
AA6 H_D#_45 H_DINV#_1 W8
H_D#46 AA10 U3 H_DINV#2 .1U-10V_4
H_YSCOMP H_D#47 H_D#_46 H_DINV#_2 H_DINV#3
Y8 H_D#_47 H_DINV#_3 AB10
H_D#48 AA1 H_D#_48 H_DSTBN#[3:0] (3)
H_D#49 AB4 K4 H_DSTBN#0
H_D#50 H_D#_49 H_DSTBN#_0 H_DSTBN#1
AC9 H_D#_50 H_DSTBN#_1 T7
+1.05V H_D#51 AB11 Y5 H_DSTBN#2
H_D#52 H_D#_51 H_DSTBN#_2 H_DSTBN#3
AC11 H_D#_52 H_DSTBN#_3 AC4
H_D#53 AB3 H_D#_53 H_DSTBP#[3:0] (3)
H_D#54 AC2 K3 H_DSTBP#0
H_D#55 H_D#_54 H_DSTBP#_0 H_DSTBP#1
B AD1 H_D#_55 H_DSTBP#_1 T6 B
R416 H_D#56 AD9 AA5 H_DSTBP#2
221/F_4 H_D#57 H_D#_56 H_DSTBP#_2 H_DSTBP#3
AC1 H_D#_57 H_DSTBP#_3 AC5
H_D#58 AD7
H_YSWING H_D#59 H_D#_58
AC6 H_D#_59
H_D#60 AB5 D3
H_D#_60 H_HIT# H_HIT# (3)
H_D#61 AD10 D4
H_D#_61 H_HITM# H_HITM# (3)
H_D#62 AD4 B3
H_D#_62 H_LOCK# H_LOCK# (3)
R415 C482 H_D#63 AC8
100/F_4 H_D#_63
.1U-10V_4 H_XRCOMP E1 H_XRCOMP H_REQ#[4:0] (3)
H_XSCOMP E2 D8 H_REQ#0
H_XSWING E4
H_XSCOMP H_REQ#_0
G8 H_REQ#1 COMPONENTS P/N
H_XSWING H_REQ#_1 H_REQ#2
B8
H_YRCOMP Y1
H_REQ#_2
F8 H_REQ#3 945GM AJ009450T04
H_YSCOMP H_YRCOMP H_REQ#_3 H_REQ#4
U1 H_YSCOMP H_REQ#_4 A8
H_YRCOMP H_YSWING W1 H_YSWING H_RS#[2:0] (3)
B4 H_RS#0
H_RS#_0 H_RS#1
(5) CLK_MCH_BCLK AG2 H_CLKIN H_RS#_1 E6
R413 AG1 D6 H_RS#2
(5) CLK_MCH_BCLK# H_CLKIN# H_RS#_2
24.9/F_4
H_SLPCPU# E3 H_CPUSLP# (3,14)
15 mils/10mils H_TRDY# E7 H_TRDY# (3)
Short Stub < 100mils
A Calistoga A
extract from same point
PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
GMCH HOST Bus(1 OF 6 ) 1A
Date: Friday, September 08, 2006 Sheet 7 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

(13) M_B_DQ[63:0]
U35E
M_B_DQ0 AK39
D M_B_DQ1 SB_DQ0 D
AJ37 SB_DQ1 SB_BS_0 AT24 M_B_BS#0 (13)
M_B_DQ2 AP39 AV23
SB_DQ2 SB_BS_1 M_B_BS#1 (13)
M_B_DQ3 AR41 AY28
SB_DQ3 SB_BS_2 M_B_BS#2 (13)
M_B_DQ4 AJ38 SB_DQ4 M_B_CAS# (13)
M_B_DQ5 AK38 AR24
SB_DQ5 SB_CAS# M_B_DM[7:0] (13)
M_B_DQ6 AN41 AK36 M_B_DM0
M_B_DQ7 SB_DQ6 SB_DM_0 M_B_DM1
AP41 SB_DQ7 SB_DM_1 AR38
M_B_DQ8 AT40 AT36 M_B_DM2
M_B_DQ9 SB_DQ8 SB_DM_2 M_B_DM3
AV41 SB_DQ9 SB_DM_3 BA31
M_B_DQ10 AU38 AL17 M_B_DM4
M_B_DQ11 SB_DQ10 SB_DM_4 M_B_DM5
AV38 SB_DQ11 SB_DM_5 AH8
M_B_DQ12 AP38 BA5 M_B_DM6
(13) M_A_DQ[63:0] SB_DQ12 SB_DM_6
U35D M_B_DQ13 AR40 AN4 M_B_DM7
M_A_DQ0 M_A_BS#0 M_B_DQ14 SB_DQ13 SB_DM_7
AJ35 SA_DQ0 SA_BS_0 AU12 M_A_BS#0 (13) AW38 SB_DQ14 M_B_DQS[7:0] (13)
M_A_DQ1 AJ34 AV14 M_A_BS#1 M_B_DQ15 AY38 AM39 M_B_DQS0
M_A_BS#1 (13)

B
M_A_DQ2 SA_DQ1 SA_BS_1 M_A_BS#2 M_B_DQ16 SB_DQ15 SB_DQS_0 M_B_DQS1
AM31 SA_DQ2 SA_BS_2 BA20 M_A_BS#2 (13) BA38 SB_DQ16 SB_DQS_1 AT39
M_A_DQ3 AM33 M_B_DQ17 AV36 AU35 M_B_DQS2
SA_DQ3 M_A_CAS# (13) SB_DQ17 SB_DQS_2
M_A_DQ4 AJ36 AY13 M_A_CAS# M_B_DQ18 AR36 AR29 M_B_DQS3
SA_DQ4 SA_CAS# M_A_DM[7:0] (13) SB_DQ18 SB_DQS_3
M_A_DQ5 AK35 AJ33 M_A_DM0 M_B_DQ19 AP36 AR16 M_B_DQS4
M_A_DQ6 SA_DQ5 SA_DM_0 M_A_DM1 M_B_DQ20 SB_DQ19 SB_DQS_4 M_B_DQS5
AJ32 AM35 BA36 AR10

MEMORY
M_A_DQ7 SA_DQ6 SA_DM_1 M_A_DM2 M_B_DQ21 SB_DQ20 SB_DQS_5 M_B_DQS6
AH31 SA_DQ7 SA_DM_2 AL26 AU36 SB_DQ21 SB_DQS_6 AR7
M_A_DQ8 AN35 AN22 M_A_DM3 M_B_DQ22 AP35 AN5 M_B_DQS7
SA_DQ8 SA_DM_3 SB_DQ22 SB_DQS_7 M_B_DQS#[7:0] (13)
M_A_DQ9 AP33 AM14 M_A_DM4 M_B_DQ23 AP34 AM40 M_B_DQS#0
M_A_DQ10 SA_DQ9 SA_DM_4 M_A_DM5 M_B_DQ24 SB_DQ23 SB_DQS#_0 M_B_DQS#1
C AR31 SA_DQ10 SA_DM_5 AL9 AY33 SB_DQ24 SB_DQS#_1 AU39 C
M_A_DQ11 AP31 AR3 M_A_DM6 M_B_DQ25 BA33 AT35 M_B_DQS#2
M_A_DQ12 SA_DQ11 SA_DM_6 M_A_DM7 M_B_DQ26 SB_DQ25 SB_DQS#_2 M_B_DQS#3
AN38 SA_DQ12 SA_DM_7 AH4 AT31 SB_DQ26 SB_DQS#_3 AP29
M_A_DQ13 AM36 M_B_DQ27 AU29 AP16 M_B_DQS#4
SA_DQ13 M_A_DQS[7:0] (13) SB_DQ27 SB_DQS#_4
M_A_DQ14 AM34 AK33 M_A_DQS0 M_B_DQ28 AU31 AT10 M_B_DQS#5
M_A_DQ15
M_A_DQ16
AN33
AK26
SA_DQ14
SA_DQ15
SA_DQ16
A SA_DQS_0
SA_DQS_1
SA_DQS_2
AT33
AN28
M_A_DQS1
M_A_DQS2
M_B_DQ29
M_B_DQ30
AW31
AV29
SB_DQ28
SB_DQ29
SB_DQ30
SB_DQS#_5
SB_DQS#_6
SB_DQS#_7
AT7
AP5
M_B_DQS#6
M_B_DQS#7
M_A_DQ17 AL27 AM22 M_A_DQS3 M_B_DQ31 AW29
SA_DQ17 SA_DQS_3 SB_DQ31 M_B_A[13:0] (13)
M_A_DQ18 AM26 AN12 M_A_DQS4 M_B_DQ32 AM19 AY23 M_B_A0
M_A_DQ19 SA_DQ18 SA_DQS_4 M_A_DQS5 M_B_DQ33 SB_DQ32 SB_MA_0 M_B_A1

SYSTEM
AN24 AN8 AL19 AW24
MEMORY
M_A_DQ20 SA_DQ19 SA_DQS_5 M_A_DQS6 M_B_DQ34 SB_DQ33 SB_MA_1 M_B_A2
AK28 SA_DQ20 SA_DQS_6 AP3 AP14 SB_DQ34 SB_MA_2 AY24
M_A_DQ21 AL28 AG5 M_A_DQS7 M_B_DQ35 AN14 AR28 M_B_A3
SA_DQ21 SA_DQS_7 M_A_DQS#[7:0] (13) SB_DQ35 SB_MA_3
M_A_DQ22 AM24 AK32 M_A_DQS#0 M_B_DQ36 AN17 AT27 M_B_A4
M_A_DQ23 SA_DQ22 SA_DQS#_0 M_A_DQS#1 M_B_DQ37 SB_DQ36 SB_MA_4 M_B_A5
AP26 SA_DQ23 SA_DQS#_1 AU33 AM16 SB_DQ37 SB_MA_5 AT28
M_A_DQ24 AP23 AN27 M_A_DQS#2 M_B_DQ38 AP15 AU27 M_B_A6
M_A_DQ25 SA_DQ24 SA_DQS#_2 M_A_DQS#3 M_B_DQ39 SB_DQ38 SB_MA_6 M_B_A7
AL22 SA_DQ25 SA_DQS#_3 AM21 AL15 SB_DQ39 SB_MA_7 AV28
M_A_DQ26 AP21 AM12 M_A_DQS#4 M_B_DQ40 AJ11 AV27 M_B_A8
M_A_DQ27 SA_DQ26 SA_DQS#_4 M_A_DQS#5 M_B_DQ41 SB_DQ40 SB_MA_8 M_B_A9
AN20 SA_DQ27 SA_DQS#_5 AL8 AH10 SB_DQ41 SB_MA_9 AW27
M_A_DQ28 AL23 AN3 M_A_DQS#6 M_B_DQ42 AJ9 AV24 M_B_A10
M_A_DQ29 SA_DQ28 SA_DQS#_6 M_A_DQS#7 M_B_DQ43 SB_DQ42 SB_MA_10 M_B_A11
AP24 SA_DQ29 SA_DQS#_7 AH5 AN10 SB_DQ43 SB_MA_11 BA27
M_A_DQ30 AP20 M_B_DQ44 AK13 AY27 M_B_A12
SA_DQ30 M_A_A[13:0] (13) SB_DQ44 SB_MA_12
M_A_DQ31 AT21 AY16 M_A_A0 M_B_DQ45 AH11 AR23 M_B_A13
SA_DQ31 SA_MA_0 SB_DQ45 SB_MA_13

DDR
M_A_DQ32 M_A_A1 M_B_DQ46
SYSTEM

AR12 SA_DQ32 SA_MA_1 AU14 AK10 SB_DQ46


M_A_DQ33 AR14 AW16 M_A_A2 M_B_DQ47 AJ8 AU23
SA_DQ33 SA_MA_2 SB_DQ47 SB_RAS# M_B_RAS# (13)
B M_A_DQ34 AP13 BA16 M_A_A3 M_B_DQ48 BA10 AK16 TP_MB_RCVENIN# B
M_A_DQ35 SA_DQ34 SA_MA_3 M_A_A4 M_B_DQ49 SB_DQ48 SB_RCVENIN# TP_MB_RCVENOUT# T61
AP12 SA_DQ35 SA_MA_4 BA17 AW10 SB_DQ49 SB_RCVENOUT# AK18
M_A_DQ36 M_A_A5 M_B_DQ50 T62
AT13 SA_DQ36 SA_MA_5 AU16 BA4 SB_DQ50 SB_WE# AR27 M_B_WE# (13)
M_A_DQ37 AT12 AV17 M_A_A6 M_B_DQ51 AW4
M_A_DQ38 SA_DQ37 SA_MA_6 M_A_A7 M_B_DQ52 SB_DQ51
AL14 SA_DQ38 SA_MA_7 AU17 AY10 SB_DQ52
M_A_DQ39 AL12 AW17 M_A_A8 M_B_DQ53 AY9
M_A_DQ40 SA_DQ39 SA_MA_8 M_A_A9 M_B_DQ54 SB_DQ53
AK9 SA_DQ40 SA_MA_9 AT16 AW5 SB_DQ54
M_A_DQ41 AN7 AU13 M_A_A10 M_B_DQ55 AY5
M_A_DQ42 SA_DQ41 SA_MA_10 M_A_A11 M_B_DQ56 SB_DQ55
AK8 SA_DQ42 SA_MA_11 AT17 AV4 SB_DQ56
M_A_DQ43 AK7 AV20 M_A_A12 M_B_DQ57 AR5
M_A_DQ44 SA_DQ43 SA_MA_12 M_A_A13 M_B_DQ58 SB_DQ57
AP9 SA_DQ44 SA_MA_13 AV12 AK4 SB_DQ58
DDR

M_A_DQ45 AN9 M_B_DQ59 AK3


M_A_DQ46 SA_DQ45 M_B_DQ60 SB_DQ59
AT5 SA_DQ46 SA_RAS# AW14 M_A_RAS# (13) AT4 SB_DQ60
M_A_DQ47 AL5 AK23 TP_MA_RCVENIN# M_B_DQ61 AK5
M_A_DQ48 SA_DQ47 SA_RCVENIN# TP_MA_RCVENOUT# T63 M_B_DQ62 SB_DQ61
AY2 SA_DQ48 SA_RCVENOUT# AK24 T66 AJ5 SB_DQ62
M_A_DQ49 AW2 AY14 M_B_DQ63 AJ3
SA_DQ49 SA_WE# M_A_WE# (13) SB_DQ63
M_A_DQ50 AP1
M_A_DQ51 SA_DQ50 Calistoga
AN2 SA_DQ51
M_A_DQ52 AV2
M_A_DQ53 SA_DQ52
AT3 SA_DQ53
M_A_DQ54 AN1
M_A_DQ55 SA_DQ54
AL2 SA_DQ55
M_A_DQ56 AG7
M_A_DQ57 SA_DQ56
AF9 SA_DQ57
A M_A_DQ58 AG4 A
M_A_DQ59 SA_DQ58
AF6 SA_DQ59
M_A_DQ60 AG9
M_A_DQ61 AH6
SA_DQ60
SA_DQ61
PROJECT : ZH5
M_A_DQ62 AF4
M_A_DQ63 SA_DQ62
AF8 SA_DQ63 Quanta Computer Inc.
Calistoga
Size Document Number Rev
GMCH DDRII MEMORY (2 of 6) 1A
Date: Friday, September 08, 2006 Sheet 8 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

U35B +V1.5_PCIE
+V1.5_PCIE (11)
T78 CLK_MCH_OE# H32 RSVD_0 +3V
+3V (5,6,11,13,14,15,16,17,18,19,21,22,23,24,26,27,28,29,30,31,32,33,34,35,36,37,38)
T71 MCH_RSVD_1 T32 RSVD_1 AY35 +0.9VSUS 20mils/20mils space
SM_CK_0 M_CLK_DDR0 (13) +0.9VSUS (13,31,36) +V1.5_PCIE
T72 MCH_RSVD_2 R32 RSVD_2 AR1 +1.8VSUS +1.8VSUS (10,13,31,35,36)
SM_CK_1 M_CLK_DDR1 (13)
T141 MCH_RSVD_3 F3 RSVD_3 AW7
MCH_RSVD_4 SM_CK_2 M_CLK_DDR2 (13)
T77 F7 RSVD_4 AW40 U35C
SM_CK_3 M_CLK_DDR3 (13)

RSVD
T65 MCH_RSVD_5 AG11 RSVD_5 T84 D32 D40 EXP_A_COMPX R245 24.9/F_4
T64 MCH_RSVD_6 R224 0_4 L_BKLTCTL EXP_A_COMPI
AF11 RSVD_6 SM_CK#_0 AW35 M_CLK_DDR#0 (13) (18) INT_BLON J30 L_BKLTEN EXP_A_COMPO D38
T76 MCH_RSVD_7 H7 RSVD_7 AT1 +3V R234 10K/F_4 L_CLKCTLA H30
MCH_RSVD_8 SM_CK#_1 M_CLK_DDR#1 (13) L_CLKCTLA
T74 J19 RSVD_8 AY7 R229 10K/F_4 L_CLKCTLB H29 F34
TV_DCONSEL0 SM_CK#_2 M_CLK_DDR#2 (13) EDIDCLK L_CLKCTLB EXP_A_RXN_0 PEG_RXN1
T73 K30 RSVD_9 AY40 G26 G38
TV_DCONSEL1 SM_CK#_3 M_CLK_DDR#3 (13) (18) EDIDCLK EDIDDATA L_DDC_CLK EXP_A_RXN_1 PEG_RXN1 (32)
T75 J29 RSVD_10 G25 H34
MCH_RSVD_11 (18) EDIDDATA L_IBG L_DDC_DATA EXP_A_RXN_2
T147 A41 RSVD_11 AU20 B38 J38
SM_CKE_0 M_CKE0 (13) L_IBG EXP_A_RXN_3
T150 MCH_RSVD_12 A35 RSVD_12 AT20 T80 L_VBG C35 L34
D
MCH_RSVD_13 SM_CKE_1 M_CKE1 (13) DISP_ON L_VBG EXP_A_RXN_4 D
T151 A34 RSVD_13 BA29 F32 M38
SM_CKE_2 M_CKE2 (13) (18) DISP_ON L_VDDEN EXP_A_RXN_5
T159 MCH_RSVD_14 D28 RSVD_14 AY29 L_VREFH C33 N34
MCH_RSVD_15 SM_CKE_3 M_CKE3 (13) L_VREFL L_VREFH EXP_A_RXN_6
T160 D27 C32 P38
RSVD_15 L_IBG L_VREFL EXP_A_RXN_7
AW13 M_CS#0 (13) R34
SM_CS#_0 TXLCLKOUT- EXP_A_RXN_8
AW12 M_CS#1 (13) A33 T38
SM_CS#_1 LA_CLK# EXP_A_RXN_9

MUXING
K16 AY21 TXLCLKOUT+ A32 V34
(5) MCH_BSEL0 CFG_0 SM_CS#_2 M_CS#2 (13) LA_CLK EXP_A_RXN_10
K18 AW21 T85 TXUCLKOUT- E27 W38
(5) MCH_BSEL1 CFG_1 SM_CS#_3 M_CS#3 (13) TXUCLKOUT+ LB_CLK# EXP_A_RXN_11
(5) MCH_BSEL2 J18 15mils/15mils T87 E26 Y34
MCH_CFG_3 CFG_2 M_OCDCOMP_0 R253 LB_CLK EXP_A_RXN_12
F18 AL20 AA38
CFG_3 SM_OCDCOMP_0 EXP_A_RXN_13

LVDS
T90 MCH_CFG_4 E15 AF10 M_OCDCOMP_1 TXLOUT0- C37 AB34
T86 MCH_CFG_5 CFG_4 SM_OCDCOMP_1 1.5K/F_4 TXLOUT1- LA_DATA#_0 EXP_A_RXN_14
F15 B35 AC38
MCH_CFG_6 CFG_5 TXLOUT2- LA_DATA#_1 EXP_A_RXN_15
E18 BA13 M_ODT0 (13) A37
MCH_CFG_7 CFG_6 SM_ODT_0 R191 R182 LA_DATA#_2
D19 BA12 M_ODT1 (13) D34
MCH_CFG_8 CFG_7 SM_ODT_1 +1.8VSUS EXP_A_RXP_0 PEG_RXP1
D16 AY20 M_ODT2 (13) F38 PEG_RXP1 (32)
CFG_8 SM_ODT_2 EXP_A_RXP_1

CFG
T88 MCH_CFG_9 *40.2/F_4 *40.2/F_4

GRAPHICS
G16 AU21 M_ODT3 (13) G34
MCH_CFG_10 CFG_9 SM_ODT_3 TXLOUT0+ EXP_A_RXP_2
E16 B37 H38

DDR
MCH_CFG_11 CFG_10 M_RCOMP# Layout as short as passable TXLOUT1+ LA_DATA_0 EXP_A_RXP_3 SDVOB_R-
D15 AV9 B34 J34 SDVOB_R- (32)
MCH_CFG_12 CFG_11 SM_RCOMP# M_RCOMP NC from WW45 TXLOUT2+ LA_DATA_1 EXP_A_RXP_4 SDVOB_G-
G15 AT9 A36 L38 SDVOB_G- (32)
MCH_CFG_13 CFG_12 SM_RCOMP R176 LA_DATA_2 EXP_A_RXP_5 SDVOB_B-
K15 M34 SDVOB_B- (32)
MCH_CFG_14 CFG_13 EXP_A_RXP_6
C15 AK1 SMDDR_VREF_MCH R184 0_6 +0.9VSUS 80.6/F_4 N38 SDVOB_CLK-
SDVOB_CLK- (32)
T89 MCH_CFG_15 CFG_14 SM_VREF_0 T82 TXUOUT0- EXP_A_RXP_7
H16 AK41 G30 P34
8/27 A1B:Change T83 MCH_CFG_16 CFG_15 SM_VREF_1 M_RCOMP# T156 TXUOUT1- LB_DATA#_0 EXP_A_RXP_8
G18 D30 R38
MCH_CFG_17 CFG_16 T81 TXUOUT2- LB_DATA#_1 EXP_A_RXP_9
PM_EXTTS#1 to H15
CFG_17
15mils/10mils F29
LB_DATA#_2 EXP_A_RXP_10
T34
PM_DPRSLPVR T79 MCH_CFG_18 J25 AF33 M_RCOMP V38
CFG_18 G_CLKIN# CLK_PCIE_3GPLL# (5) EXP_A_RXP_11
MCH_CFG_19 K27 AG33 W34
CFG_19 G_CLKIN CLK_PCIE_3GPLL (5) EXP_A_RXP_12
MCH_CFG_20 J26 A27 Y38
CLK
CFG_20 D_REFCLKIN# DREFCLK# (5) TXUOUT0+ EXP_A_RXP_13
A26 T155 F30 AA34
D_REFCLKIN DREFCLK (5) TXUOUT1+ LB_DATA_0 EXP_A_RXP_14
(16) PM_BMBUSY# G28 C40 R179 T158 D29 AB38
PM_BMBUSY# D_REFSSCLKIN# DREFSSCLK# (5) LB_DATA_1 EXP_A_RXP_15

PCI-EXPRESS
PM_EXTTS#0 F25 D41 80.6/F_4 T157 TXUOUT2+ F28
(13) PM_EXTTS#0 PM_EXTTS#_0 D_REFSSCLKIN DREFSSCLK (5) LB_DATA_2
PM

C R1588 PM_EXTTS#1 H26


*0_4 F36 C_PEG_TXN0C234 .1U-10V_4 SDVOB_R- C
(16,34) PM_DPRSLPVR PM_EXTTS#_1 DMI_TXN[3:0] (15) EXP_A_TXN_0
(3,14) PM_THRMTRIP# G6 G40 C_PEG_TXN1C495 .1U-10V_4 SDVOB_G-
PM_THRMTRIP# DMI_TXN0 EXP_A_TXN_1
(16,34) DELAY_VR_PWRGOOD AH33 AE35 H36 C_PEG_TXN2C225 .1U-10V_4 SDVOB_B-
PWROK DMI_RXN_0 DMI_TXN1 EXP_A_TXN_2 C_PEG_TXN3C491 .1U-10V_4 SDVOB_CLK-
AH34 AF39 J40
R183 RST IN# MCH RSTIN# DMI_RXN_1 DMI_TXN2 TV_COMP EXP_A_TXN_3
(15) PLT_RST-R# AG35 (33) TV_COMP A16 L36
100/F_4 DMI_RXN_2 DMI_TXN3 TV_Y/G TV_DACA_OUT EXP_A_TXN_4
AH39 (33) TV_Y/G C18 M40
DMI_RXN_3 TV_DACB_OUT EXP_A_TXN_5
MISC

H28 TV_C/R A19 N36


(32) SDVO_CTRLCLK SDVO_CTRLCLK (33) TV_C/R TV_DACC_OUT EXP_A_TXN_6

TV
(32) SDVO_CTRLDATA H27 P40
SDVO_CTRLDATA DMI_TXP0 R439 150/F_4 R219 TVIREF EXP_A_TXN_7 SDVOB_R+
(15) MCH_ICH_SYNC K28 AC35 J20 R36 SDVOB_R+ (32)
LT_RESET# DMI_RXP_0 DMI_TXP1 R440 150/F_4 TV_IREF EXP_A_TXN_8 SDVOB_G+
AE39 B16 T40 SDVOB_G+ (32)
DMI_RXP_1 DMI_TXP2 R441 150/F_4 4.99K/F_6 TV_IRTNA EXP_A_TXN_9 SDVOB_B+
AF35 B18 V36 SDVOB_B+ (32)
TP_MCH_NC0 DMI_RXP_2 DMI_TXP3 TV_IRTNB EXP_A_TXN_10 SDVOB_CLK+
T145 D1 AG39 DMI_TXP[3:0] (15) B19 W40 SDVOB_CLK+ (32)
TP_MCH_NC1 NC0 DMI_RXP_3 TV_IRTNC EXP_A_TXN_11
T143 C41 DMI_RXN[3:0] (15) Y36
TP_MCH_NC2 NC1 EXP_A_TXN_12
T149 C1 AA40
TP_MCH_NC3 BA41 NC2 EXP_A_TXN_13
T123 AE37 DMI_RXN0 AB36
TP_MCH_NC4 BA40 NC3 DMI_TXN_0 EXP_A_TXN_14
T126 AF41 DMI_RXN1 < 0.1" . 15mils/15mils space AC40
NC4 DMI_TXN_1 EXP_A_TXN_15
NC

T125 TP_MCH_NC5 BA39 AG37 DMI_RXN2


TP_MCH_NC6 NC5 DMI_TXN_2
T129 BA3 AH41 DMI_RXN3 (19) VGA_BLU
VGA_BLU E23 D36 C_PEG_TXP0C237 .1U-10V_4 SDVOB_R+
TP_MCH_NC7 NC6 DMI_TXN_3 CRT_BLUE EXP_A_TXP_0 C_PEG_TXP1C497 .1U-10V_4 SDVOB_G+
T130 BA2 D23 F40
DMI

TP_MCH_NC8 NC7 VGA_GRN CRT_BLUE# EXP_A_TXP_1 C_PEG_TXP2C228 .1U-10V_4 SDVOB_B+


T131 BA1 (19) VGA_GRN C22 G36
NC8 CRT_GREEN EXP_A_TXP_2

VGA
T144 TP_MCH_NC9 B41 AC37 DMI_RXP0 B22 H40 C_PEG_TXP3C492 .1U-10V_4 SDVOB_CLK+
TP_MCH_NC10 NC9 DMI_TXP_0 DMI_RXP1 VGA_RED CRT_GREEN# EXP_A_TXP_3
T154 B2 AE41 (19) VGA_RED A21 J36
TP_MCH_NC11 AY41 NC10 DMI_TXP_1 DMI_RXP2 R438 150/F_4 CRT_RED EXP_A_TXP_4
T128 AF37 B21 L40
TP_MCH_NC12 AY1 NC11 DMI_TXP_2 DMI_RXP3 R437 150/F_4 CRT_RED# EXP_A_TXP_5
T135 AG41 M36
TP_MCH_NC13 AW41 NC12 DMI_TXP_3 R442 150/F_4 EXP_A_TXP_6
T127 N40
TP_MCH_NC14 AW1 NC13 EXP_A_TXP_7
T138 (19) CRTDCLK C26 P36
TP_MCH_NC15 A40 NC14 CRT_DDC_CLK EXP_A_TXP_8
T146 (19) CRTDDAT C25 R40
TP_MCH_NC16 NC15 CRT_DDC_DATA EXP_A_TXP_9
T153 A4 DMI_RXP[3:0] (15) G23 T36
TP_MCH_NC17 A39 NC16 CRT_HSYNC EXP_A_TXP_10
T148 J22 V40
+3V TP_MCH_NC18 NC17 HSYNC R256 39_4 CRT_IREF EXP_A_TXP_11
T152 A3 (19) HSYNC H23 W36
B NC18 CRT_VSYNC EXP_A_TXP_12 B
EXP_A_TXP_13 Y40
R214 CRTIREF AA36
Calistoga EXP_A_TXP_14
R241 10K/F_4 PM_EXTTS#0 < 0.1" . 15mils/15mils space 255/F_6 AB40
R236 10K/F_4 PM_EXTTS#1 VSYNC R255 39_4 EXP_A_TXP_15
use 1% R (19) VSYNC
Calistoga

6/30 Add 39 in HSYNC and VSYNC


GMCH Strap pin
MCH_CFG_5 R258 *2.2K_4

MCH_CFG_6 (18) TXLCLKOUT-


R251 *2.2K_4
(18) TXLCLKOUT+
1.MCH_CFG_5 Low = DMI X2, High=DMIX4
(18) TXLOUT0-
2.MCH_CFG_6 DDR : Low =Moby Dick, High= Calistoga (Default) MCH_CFG_7 R250 *2.2K_4 (18) TXLOUT0+
3.MCH_CFG_7 CPU Strap Low=RSVD, High=Mobile CPU (18) TXLOUT1-
MCH_CFG_9 R235 *2.2K_4 (18) TXLOUT1+
4.MCH_CFG_9 PCI Exp Graphics Lane: Low =Reserved,High=Mobility
(18) TXLOUT2-
5.MCH_CFG_10 Host PLL VCC Select: Low=Reserved, High=Mobility MCH_CFG_10 R244 *2.2K_4 (18) TXLOUT2+
6.MCH_CFG_11: PSB 4x CLK ENABLE Low=Reserved, High=Calistoga
MCH_CFG_11 R257 *2.2K_4
7.MCH_CFG_16 FSB Dynmic ODT: Low=Dynamic ODT Disabled,
High=Dynamic ODT Enabled.
MCH_CFG_12 R227 *2.2K_4
8.MCH_CFG_18 VCC Select: LOW=1.05V, High=1.5V
A A
9.MCH_CFG_19 DMI LANE Reversal: Low=Normal,High=LANES Reversed. MCH_CFG_13 R212 *2.2K_4 6/10 change to one channel
10.MCH_CFG_20 PCIE Backward interpoerability mode: Low= only
SDVO or PCIE x1 is operational (defaults) ,High=SDVO and MCH_CFG_16 R239 *2.2K_4 +3V
PCIE x1 are operation simultaneously via the PEG port. R218 PROJECT : ZH5
MCH_CFG_18 R220 1K_4 CFG_RSVD_0_R
*0_4
Quanta Computer Inc.
MCH_CFG_19 R225 *1K_4 +3V Size Document Number Rev
GMCH DMI & VEDIO (3 of 6) 1A
MCH_CFG_20 R226 *1K_4 +3V Date: Friday, September 08, 2006 Sheet 9 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

U35G U35F
+1.05V AA33 VCC_0 +1.05V AD27 VCC_NCTF0
W33 VCC_1 AC27 VCC_NCTF1 VSS_NCTF0 AE27

+
P33 25mils C483 AB27 AE26
VCC_2 VCC_NCTF2 VSS_NCTF1

+
C197 N33 C201 C206 C203 C219 C213 C208 AA27 AE25
VCC_3 C184 .47U-10V_6 10U/X5R-6.3V_8 1U-16V_6 .1U-10V_4 VCC_NCTF3 VSS_NCTF2
L33 VCC_4 VCC_SM_0 AU41 Y27 VCC_NCTF4 VSS_NCTF3 AE24
220U-2.5V_3528 J33 AT41 VCC_SM1 10U/X5R-6.3V_8 .1U-10V_4 .1U-10V_4 W27 AE23
VCC_5 VCC_SM_1 VCC_NCTF5 VSS_NCTF4
AA32 VCC_6 VCC_SM_2 AM41 VCC_SM2 C187 .47U-10V_6 220U-2.5V_3528 V27 VCC_NCTF6 VSS_NCTF5 AE22
Y32 VCC_7 VCC_SM_3 AU40 U27 VCC_NCTF7 VSS_NCTF6 AE21
W32 BA34 6/22 330U 7343 chagne to 220U 3528 T27 AE20
6/22 330U 7343 chagne to 220U 3528 VCC_8 VCC_SM_4 package VCC_NCTF8 VSS_NCTF7
V32 VCC_9 VCC_SM_5 AY34 R27 VCC_NCTF9 VSS_NCTF8 AE19
package P32 AW34 AD26 AE18
VCC_10 VCC_SM_6 +1.8VSUS VCC_NCTF10 VSS_NCTF9
N32 VCC_11 VCC_SM_7 AV34 AC26 VCC_NCTF11 VSS_NCTF10 AC17
D
M32 VCC_12 VCC_SM_8 AU34 120mils AB26 VCC_NCTF12 VSS_NCTF11 Y17 D
L32 AT34 AA26 U17
VCC_13 VCC_SM_9 VCC_NCTF13 VSS_NCTF12
J32 AR34 Y26
VCC_14 VCC_SM_10 + VCC_NCTF14
AA31 BA30 W26
VCC_15 VCC_SM_11 C167 C192 C189 C186 C181 C183 C182 VCC_NCTF15
W31 AY30 V26
VCC_16 VCC_SM_12 10U/X5R-6.3V_8 .47U-10V_6 .1U-10V_4 .1U-10V_4 .1U-10V_4 VCC_NCTF16 +1.5V_AUX
V31 AW30 U26
VCC_17 VCC_SM_13 150U-4V_3528 10U/X5R-6.3V_8 VCC_NCTF17
T31 AV30 T26
VCC_18 VCC_SM_14 VCC_NCTF18
R31 AU30 R26 AG27
VCC_19 VCC_SM_15 VCC_NCTF19 VCCAUX_NCTF0
P31
VCC_20 VCC_SM_16
AT30 AD25
VCC_NCTF20 VCCAUX_NCTF1
AF27 100mils
N31 AR30 AC25 AG26
VCC_21 VCC_SM_17 VCC_NCTF21 VCCAUX_NCTF2
M31 AP30 AB25 AF26
VCC_22 VCC_SM_18 6/22 330U 7343 chagne to 150U 3528 VCC_NCTF22 VCCAUX_NCTF3
AA30 AN30 AA25 AG25
VCC_23 VCC_SM_19 package VCC_NCTF23 VCCAUX_NCTF4
Y30 AM30 Y25 AF25
VCC_24 VCC_SM_20 VCC_NCTF24 VCCAUX_NCTF5
W30 AM29 W25 AG24
VCC_25 VCC_SM_21 VCC_NCTF25 VCCAUX_NCTF6
V30 AL29 V25 AF24
VCC_26 VCC_SM_22 VCC_NCTF26 VCCAUX_NCTF7
U30 AK29 U25 AG23
VCC_27 VCC_SM_23 VCC_NCTF27 VCCAUX_NCTF8
T30 AJ29 T25 AF23
VCC_28 VCC_SM_24 VCC_NCTF28 VCCAUX_NCTF9
R30 AH29 R25 AG22
VCC_29 VCC_SM_25 VCC_NCTF29 VCCAUX_NCTF10
P30 AJ28 AD24 AF22
VCC_30 VCC_SM_26 VCC_NCTF30 VCCAUX_NCTF11
N30 AH28 AC24 AG21
VCC_31 VCC_SM_27 VCC_NCTF31 VCCAUX_NCTF12
M30 AJ27 AB24 AF21
VCC_32 VCC_SM_28 VCC_NCTF32 VCCAUX_NCTF13
L30 AH27 AA24 AG20
VCC_33 VCC_SM_29 VCC_NCTF33 VCCAUX_NCTF14
AA29 BA26 Y24 AF20
VCC_34 VCC_SM_30 VCC_NCTF34 VCCAUX_NCTF15
Y29 AY26 W24 AG19
VCC_35 VCC_SM_31 VCC_NCTF35 VCCAUX_NCTF16
W29 AW26 V24 AF19
VCC_36 VCC_SM_32 VCC_NCTF36 VCCAUX_NCTF17
V29 AV26 U24 R19
VCC_37 VCC_SM_33 VCC_NCTF37 VCCAUX_NCTF18
U29 AU26 T24 AG18
VCC_38 VCC_SM_34 VCC_NCTF38 VCCAUX_NCTF19
R29 AT26 R24 AF18
VCC_39 VCC_SM_35 VCC_NCTF39 VCCAUX_NCTF20
P29 AR26 AD23 R18
VCC_40 VCC_SM_36 VCC_NCTF40 VCCAUX_NCTF21
M29 AJ26 V23 AG17
VCC_41 VCC_SM_37 VCC_NCTF41 VCCAUX_NCTF22
C L29 AH26 U23 AF17 C
VCC_42 VCC_SM_38 VCC_NCTF42 VCCAUX_NCTF23
AB28 AJ25 T23 AE17
VCC_43 VCC_SM_39 VCC_NCTF43 VCCAUX_NCTF24
AA28 AH25 R23 AD17
VCC_44 VCC_SM_40 VCC_NCTF44 VCCAUX_NCTF25
Y28 AJ24 AD22 AB17
VCC_45 VCC_SM_41 VCC_NCTF45 VCCAUX_NCTF26
V28 AH24 V22 AA17
VCC_46 VCC_SM_42 VCC_NCTF46 VCCAUX_NCTF27
U28 BA23 U22 W17
VCC_47 VCC_SM_43 VCC_NCTF47 VCCAUX_NCTF28
T28 AJ23 T22 V17
VCC_48 VCC_SM_44 C176 VCC_NCTF48 VCCAUX_NCTF29
R28 BA22 R22 T17
VCC_49 VCC_SM_45 C191 .47U-10V_6 VCC_NCTF49 VCCAUX_NCTF30
P28 AY22 AD21 R17
N28
M28
VCC_50
VCC_51
VCC_52
VCC_SM_46
VCC_SM_47
VCC_SM_48
AW22
AV22
.47U-10V_6 V21
U21
VCC_NCTF50
VCC_NCTF51
VCC_NCTF52
NCTF VCCAUX_NCTF31
VCCAUX_NCTF32
VCCAUX_NCTF33
AG16
AF16
L28 AU22 T21 AE16
VCC_53 VCC_SM_49 VCC_NCTF53 VCCAUX_NCTF34
P27 AT22 R21 AD16
VCC_54 VCC_SM_50 VCC_NCTF54 VCCAUX_NCTF35
N27 AR22 AD20 AC16
VCC_55 VCC_SM_51 VCC_NCTF55 VCCAUX_NCTF36
M27 AP22 V20 AB16
VCC_56 VCC_SM_52 VCC_NCTF56 VCCAUX_NCTF37
L27 AK22 U20 AA16
VCC_57 VCC_SM_53 VCC_NCTF57 VCCAUX_NCTF38
P26 AJ22 T20 Y16
VCC_58 VCC_SM_54 +1.5V_AUX VCC_NCTF58 VCCAUX_NCTF39
N26 AK21 (11) +1.5V_AUX R20 W16
VCC_59 VCC_SM_55 VCC_NCTF59 VCCAUX_NCTF40
L26 AK20 AD19 V16
VCC_60 VCC_SM_56 +1.05V VCC_NCTF60 VCCAUX_NCTF41
N25 BA19 (3,4,5,7,11,14,17,35,37) +1.05V V19 U16
VCC_61 VCC_SM_57 VCC_NCTF61 VCCAUX_NCTF42
M25 AY19 U19 T16
VCC_62 VCC_SM_58 +1.8VSUS VCC_NCTF62 VCCAUX_NCTF43
L25 AW19 (9,13,31,35,36) +1.8VSUS T19 R16
VCC_63 VCC_SM_59 VCC_NCTF63 VCCAUX_NCTF44
P24 AV19 AD18 AG15
VCC_64 VCC_SM_60 VCC_NCTF64 VCCAUX_NCTF45
N24 AU19 AC18 AF15
VCC_65 VCC_SM_61 VCC_NCTF65 VCCAUX_NCTF46
M24 AT19 AB18 AE15
VCC_66 VCC_SM_62 VCC_NCTF66 VCCAUX_NCTF47
AB23 AR19 AA18 AD15
VCC_67 VCC_SM_63 VCC_NCTF67 VCCAUX_NCTF48
AA23 AP19 Y18 AC15
Y23
P23
VCC_68
VCC_69
VCC_70
VCC VCC_SM_64
VCC_SM_65
VCC_SM_66
AK19
AJ19
W18
V18
VCC_NCTF68
VCC_NCTF69
VCC_NCTF70
VCCAUX_NCTF49
VCCAUX_NCTF50
VCCAUX_NCTF51
AB15
AA15
N23 AJ18 U18 Y15
B VCC_71 VCC_SM_67 VCC_NCTF71 VCCAUX_NCTF52 B
M23 VCC_72 VCC_SM_68 AJ17 T18 VCC_NCTF72 VCCAUX_NCTF53 W15
L23 VCC_73 VCC_SM_69 AH17 VCCAUX_NCTF54 V15
AC22 AJ16 U15
VCC_74 VCC_SM_70 VCCAUX_NCTF55
AB22 VCC_75 VCC_SM_71 AH16 VCCAUX_NCTF56 T15
Y22 BA15 R15
VCC_76 VCC_SM_72 VCCAUX_NCTF57
W22 VCC_77 VCC_SM_73 AY15
P22 AW15 C174 Calistoga
VCC_78 VCC_SM_74 .47U-10V_6
N22 AV15
VCC_79 VCC_SM_75
M22 VCC_80 VCC_SM_76 AU15
L22 AT15
VCC_81 VCC_SM_77
AC21 VCC_82 VCC_SM_78 AR15
AA21 AJ15
VCC_83 VCC_SM_79
W21 VCC_84 VCC_SM_80 AJ14
N21 VCC_85 VCC_SM_81 AJ13
M21 AH13
VCC_86 VCC_SM_82
L21 VCC_87 VCC_SM_83 AK12
AC20 AJ12
VCC_88 VCC_SM_84
AB20 VCC_89 VCC_SM_85 AH12
Y20 AG12
VCC_90 VCC_SM_86
W20 AK11
VCC_91 VCC_SM_87
P20 VCC_92 VCC_SM_88 BA8
N20 AY8
VCC_93 VCC_SM_89
M20 VCC_94 VCC_SM_90 AW8
L20 AV8
VCC_95 VCC_SM_91
AB19 AT8
VCC_96 VCC_SM_92
AA19 VCC_97 VCC_SM_93 AR8
Y19 AP8
VCC_98 VCC_SM_94
N19 VCC_99 VCC_SM_95 BA6
M19 AY6
VCC_100 VCC_SM_96
A L19 VCC_101 VCC_SM_97 AW6 A
N18 VCC_102 VCC_SM_98 AV6
M18 VCC_103 VCC_SM_99 AT6
L18 VCC_104 VCC_SM_100 AR6
P17 AP6
VCC_105 VCC_SM_101
N17 AN6
M17
VCC_106
VCC_107
VCC_SM_102
VCC_SM_103 AL6 25mils PROJECT : ZH5
N16 VCC_108 VCC_SM_104 AK6
M16 AJ6
L16
VCC_109
VCC_110
VCC_SM_105
VCC_SM_106
AV1 VCC_SM106 C185 .47U-10V_6 Quanta Computer Inc.
VCC_SM_107 AJ1 VCC_SM107
C188 .47U-10V_6 Size Document Number Rev
Calistoga GMCH POWER & STRAP(4 of 6) 1A
25mils
Date: Friday, September 08, 2006 Sheet 10 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+V3.3_TVDAC 80mils +2.5V +1.05V


+1.5V +V1.5_DPLLA +V3.3_ATVBG
L23 L52 C249 C261
10uH_8 10uH_8 +V3.3_ATVBG U35H
.1U-10V_410U/X5R-6.3V_8 H22 +1.05V
C528 C531 C267 VCCSYNC
VTT_0 AC14
+ C258 C264 C30 AB14
.1U-10V_4 22U-6.3V_8 .1U-10V_4.022U-16V_4 VCC_TXLVDS0 VTT_1
+2.5V B30 VCC_TXLVDS1 VTT_2 W14
+V1.5_PCIE

+
330U-2.5V_7343 A30 V14 C198 C202 C222 C214 C205
+V3.3_ATVBG VCC_TXLVDS2 VTT_3
VTT_4 T14
+V1.5_DPLLB +2.5V AJ41 R14 2.2U-6.3V_6
L50 +V3.3_ATVBG VCC3G0 VTT_5 220U-2.5V_3528 4.7U-10V_8 .1U-10V_410U/X5R-6.3V_8
AB41 VCC3G1 VTT_6 P14
D 10uH_8 Y41 N14 D
C529 C265 +V1.5_3GPLL C245 VCC3G2 VTT_7
V41 VCC3G3 VTT_8 M14
.022U-16V_4 .1U-10V_4 R41 L14 6/22 330U 7343 chagne to 220U 3528
+ C260 C517 .1U-10V_4 VCC3G4 VTT_9 package +1.05V
N41 VCC3G5 VTT_10 AD13
.1U-10V_4 C456 C177 L41 AC13
330U-2.5V_7343 +V3.3_ATVBG VCC3G6 VTT_11
AC33 VCCA_3GPLL VTT_12 AB13
.1U-10V_410U/X5R-6.3V_8 G41 AA13
+V1.5_HPLL +V3.3_ATVBG VCCA_3GBG VTT_13 C217 C218 C220 C200
H41 VSSA_3GBG VTT_14 Y13
L18 W13
BK1608LL121_6 C530 C266 +V2.5_CRTDAC VTT_15 .22U-6.3V_4.22U-6.3V_4.47U-10V_6
F21 VCCA_CRTDAC0 VTT_16 V13
.1U-10V_4.022U-16V_4 E21 U13 .47U-10V_6
C195 C194 VCCA_CRTDAC1 VTT_17
G21 VSSA_CRTDAC VTT_18 T13
.1U-10V_4 C246 C241 +V1.5_DPLLA R13
10U/X5R-6.3V_8 +V1.5_DPLLB VTT_19
B26 VCCA_DPLLA VTT_20 N13
.1U-10V_4.022U-16V_4 +V1.5_HPLL C39 M13
+V1.5_MPLL VCCA_DPLLB VTT_21
AF1 VCCA_HPLL VTT_22 L13
L19 +2.5V AB12
BK1608LL121_6 VTT_23 +2.5V
A38 VCCA_LVDS VTT_24 AA12
B39 VSSA_LVDS VTT_25 Y12
C196 C207 C257 C255 +V1.5_MPLL W12
VTT_26
AF2 VCCA_MPLL VTT_27 V12
10U/X5R-6.3V_8 .1U-10V_4 .01U-16V_4
.1U-10V_4 U12 C239 C262
VTT_28
H20 VCCA_TVBG VTT_29 T12
G20 R12 .1U-10V_4
+V3.3_ATVBG VSSA_TVBG VTT_30 4.7U-10V_8
VTT_31 P12
+2.5V R247 25mils D9 +1.05V N12
10_4 PDZ5.6B +V3.3_ATVBG VTT_32
VTT_33 M12
C 1 2 C242 C268 E19 L12 C
+V3.3_ATVBG VCCA_TVDACA0 VTT_34
F19 VCCA_TVDACA1 VTT_35 R11
+V2.5_CRTDAC .1U-10V_4.022U-16V_4 C20 P11
VCCA_TVDACB0 VTT_36
D20 VCCA_TVDACB1 VTT_37 N11
L21 BK1608LL121_6 +V3.3_ATVBG E20 M11
F20
VCCA_TVDACC0
VCCA_TVDACC1 POWER VTT_38
VTT_39
VTT_40
R10
P10
+1.5V AH1 N10
VCCD_HMPLL0 VTT_41
AH2 VCCD_HMPLL1 VTT_42 M10
+V1.5_PCIE 60mils +1.5V P9
L22 R249 VTT_43
A28 VCCD_LVDS0 VTT_44 N9
91nH 0_8 B28 M9
PCIE_L VCCD_LVDS1 VTT_45
C28 VCCD_LVDS2 VTT_46 R8
+3V +1.5V +V1.5_TVDAC P8
VTT_47
+

C504 D21 N8
C489 C480 VCCD_TVDAC VTT_48
VTT_49 M8
10U/X5R-6.3V_8 220U-2.5V_3528 +3V A23 P7
10U/X5R-6.3V_8 C263 C250 C193 C479 VCC_HV0 VTT_50
B23 VCC_HV1 VTT_51 N7
6/22 chagne to 3528 package .1U-10V_4 B25 M7
10U/X5R-6.3V_8 .1U-10V_4 40mils +V1.5_QTVDAC VCC_HV2 VTT_52
VTT_53 R6
10U/X5R-6.3V_8 H19 P6
+1.5V_AUX VCCD_QTVDAC VTT_54
VTT_55 M6
+V1.5_3GPLL 60mils 60mils +1.5V AK31 A6
R378 L46 R386 VCCAUX0 VTT_56
AF31 VCCAUX1 VTT_57 R5
0.5/F_6 1uH_6 0_8 AE31 P5
3GPLL_FB_R 3GPLL_FB_L VCCAUX2 VTT_58
AC31 VCCAUX3 VTT_59 N5
AL30 VCCAUX4 VTT_60 M5
B AK30 VCCAUX5 VTT_61 P4 B
60mils AJ30 VCCAUX6 VTT_62 N4
+V1.5_TVDAC +1.5V AH30 M4
+1.5V_AUX +1.5V VCCAUX7 VTT_63
L24 AG30 VCCAUX8 VTT_64 R3
R445 AF30 P3
R398 0_8 V15_TVDAC_R 0_8 VCCAUX9 VTT_65
AE30 VCCAUX10 VTT_66 N3
AD30 VCCAUX11 VTT_67 M3
C190 BK1608LL121_6 AC30 R2
.1U-10V_4 C248 C251 VCCAUX12 VTT_68
AG29 VCCAUX13 VTT_69 P2
AF29 VCCAUX14 VTT_70 M2
.022U-16V_4 .1U-10V_4 AE29 D2
VCCAUX15 VTT_71
AD29 VCCAUX16 VTT_72 AB1
AC29 VCCAUX17 VTT_73 R1
+3V +V1.5_QTVDAC AG28 P1
VCCAUX18 VTT_74
L25 AF28 VCCAUX19 VTT_75 N1
AE28 VCCAUX20 VTT_76 M1
+1.5V AH22 VCCAUX21
30mils AJ21 VCCAUX22
D28 PDZ5.6B BK1608LL121_6 AH21
C235 C238 VCCAUX23
AJ20 VCCAUX24
V1_5SFOLLOW 1 2 AH20
.022U-16V_4 .1U-10V_4 VCCAUX25
AH19 VCCAUX26
P19 VCCAUX27
R446 P16
+V3.3_TVDAC VCCAUX28
AH15 VCCAUX29
10_4 P15 VCCAUX30
AH14 VCCAUX31
A R262 0_8 AG14 A
VCCAUX32
AF14 VCCAUX33
AE14 VCCAUX34
Y14 VCCAUX35
AF13
AE13
VCCAUX36
VCCAUX37
PROJECT : ZH5
+1.05V +1.05V (3,4,5,7,10,14,17,35,37) AF12
+1.5V VCCAUX38
AE12
+V1.5_PCIE
+1.5V (4,15,17,21,35,37)
+V1.5_PCIE (9) AD12
VCCAUX39
VCCAUX40
Quanta Computer Inc.
+2.5V +2.5V (32,35,36)
+3V Size Document Number Rev
+3V (5,6,9,13,14,15,16,17,18,19,21,22,23,24,26,27,28,29,30,31,32,33,34,35,36,37,38)
Calistoga GMCH LVDS/DAC-PWR2 (5 of 6) 1A
Date: Friday, September 08, 2006 Sheet 11 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

U35I U35J
AC41 VSS_0 VSS_97 AK34 AT23 VSS_180 VSS_273 J11
AA41 VSS_1 VSS_98 AG34 AN23 VSS_181 VSS_274 D11
W41 VSS_2 VSS_99 AF34 AM23 VSS_182 VSS_275 B11
T41 VSS_3 VSS_100 AE34 AH23 VSS_183 VSS_276 AV10
P41 VSS_4 VSS_101 AC34 AC23 VSS_184 VSS_277 AP10
M41 VSS_5 VSS_102 C34 W23 VSS_185 VSS_278 AL10
J41 VSS_6 VSS_103 AW33 K23 VSS_186 VSS_279 AJ10
F41 VSS_7 VSS_104 AV33 J23 VSS_187 VSS_280 AG10
AV40 VSS_8 VSS_105 AR33 F23 VSS_188 VSS_281 AC10
D AP40 VSS_9 VSS_106 AE33 C23 VSS_189 VSS_282 W10 D
AN40 VSS_10 VSS_107 AB33 AA22 VSS_190 VSS_283 U10
AK40 VSS_11 VSS_108 Y33 K22 VSS_191 VSS_284 BA9
AJ40 VSS_12 VSS_109 V33 G22 VSS_192 VSS_285 AW9
AH40 VSS_13 VSS_110 T33 F22 VSS_193 VSS_286 AR9
AG40 VSS_14 VSS_111 R33 E22 VSS_194 VSS_287 AH9
AF40 VSS_15 VSS_112 M33 D22 VSS_195 VSS_288 AB9
AE40 VSS_16 VSS_113 H33 A22 VSS_196 VSS_289 Y9
B40 VSS_17 VSS_114 G33 BA21 VSS_197 VSS_290 R9
AY39 VSS_18 VSS_115 F33 AV21 VSS_198 VSS_291 G9
AW39 VSS_19 VSS_116 D33 AR21 VSS_199 VSS_292 E9
AV39 VSS_20 VSS_117 B33 AN21 VSS_200 VSS_293 A9
AR39 VSS_21 VSS_118 AH32 AL21 VSS_201 VSS_294 AG8
AN39 VSS_22 VSS_119 AG32 AB21 VSS_202 VSS_295 AD8
AJ39 VSS_23 VSS_120 AF32 Y21 VSS_203 VSS_296 AA8
AC39 VSS_24 VSS_121 AE32 P21 VSS_204 VSS_297 U8
AB39 VSS_25 VSS_122 AC32 K21 VSS_205 VSS_298 K8
AA39 VSS_26 VSS_123 AB32 J21 VSS_206 VSS_299 C8
Y39 VSS_27 VSS_124 G32 H21 VSS_207 VSS_300 BA7
W39 VSS_28 VSS_125 B32 C21 VSS_208 VSS_301 AV7
V39 VSS_29 VSS_126 AY31 AW20 VSS_209 VSS_302 AP7
T39 AV31 AR20 AL7
R39
P39
VSS_30
VSS_31
VSS_32
VSS_127
VSS_128
VSS_129
AN31
AJ31
AM20
AA20
VSS_210
VSS_211
VSS_212
VSS VSS_303
VSS_304
VSS_305
AJ7
AH7
N39 AG31 K20 AF7
M39
L39
VSS_33
VSS_34
VSS_35
VSS VSS_130
VSS_131
VSS_132
AB31
Y31
B20
A20
VSS_213
VSS_214
VSS_215
VSS_306
VSS_307
VSS_308
AC7
R7
C J39 VSS_36 VSS_133 AB30 AN19 VSS_216 VSS_309 G7 C
H39 VSS_37 VSS_134 E30 AC19 VSS_217 VSS_310 D7
G39 VSS_38 VSS_135 AT29 W19 VSS_218 VSS_311 AG6
F39 VSS_39 VSS_136 AN29 K19 VSS_219 VSS_312 AD6
D39 VSS_40 VSS_137 AB29 G19 VSS_220 VSS_313 AB6
AT38 VSS_41 VSS_138 T29 C19 VSS_221 VSS_314 Y6
AM38 VSS_42 VSS_139 N29 AH18 VSS_222 VSS_315 U6
AH38 VSS_43 VSS_140 K29 P18 VSS_223 VSS_316 N6
AG38 VSS_44 VSS_141 G29 H18 VSS_224 VSS_317 K6
AF38 VSS_45 VSS_142 E29 D18 VSS_225 VSS_318 H6
AE38 VSS_46 VSS_143 C29 A18 VSS_226 VSS_319 B6
C38 VSS_47 VSS_144 B29 AY17 VSS_227 VSS_320 AV5
AK37 VSS_48 VSS_145 A29 AR17 VSS_228 VSS_321 AF5
AH37 VSS_49 VSS_146 BA28 AP17 VSS_229 VSS_322 AD5
AB37 VSS_50 VSS_147 AW28 AM17 VSS_230 VSS_323 AY4
AA37 VSS_51 VSS_148 AU28 AK17 VSS_231 VSS_324 AR4
Y37 VSS_52 VSS_149 AP28 AV16 VSS_232 VSS_325 AP4
W37 VSS_53 VSS_150 AM28 AN16 VSS_233 VSS_326 AL4
V37 VSS_54 VSS_151 AD28 AL16 VSS_234 VSS_327 AJ4
T37 VSS_55 VSS_152 AC28 J16 VSS_235 VSS_328 Y4
R37 VSS_56 VSS_153 W28 F16 VSS_236 VSS_329 U4
P37 VSS_57 VSS_154 J28 C16 VSS_237 VSS_330 R4
N37 VSS_58 VSS_155 E28 AN15 VSS_238 VSS_331 J4
M37 VSS_59 VSS_156 AP27 AM15 VSS_239 VSS_332 F4
L37 VSS_60 VSS_157 AM27 AK15 VSS_240 VSS_333 C4
J37 VSS_61 VSS_158 AK27 N15 VSS_241 VSS_334 AY3
H37 VSS_62 VSS_159 J27 M15 VSS_242 VSS_335 AW3
B G37 VSS_63 VSS_160 G27 L15 VSS_243 VSS_336 AV3 B
F37 VSS_64 VSS_161 F27 B15 VSS_244 VSS_337 AL3
D37 VSS_65 VSS_162 C27 A15 VSS_245 VSS_338 AH3
AY36 VSS_66 VSS_163 B27 BA14 VSS_246 VSS_339 AG3
AW36 VSS_67 VSS_164 AN26 AT14 VSS_247 VSS_340 AF3
AN36 VSS_68 VSS_165 M26 AK14 VSS_248 VSS_341 AD3
AH36 VSS_69 VSS_166 K26 AD14 VSS_249 VSS_342 AC3
AG36 VSS_70 VSS_167 F26 AA14 VSS_250 VSS_343 AA3
AF36 VSS_71 VSS_168 D26 U14 VSS_251 VSS_344 G3
AE36 VSS_72 VSS_169 AK25 K14 VSS_252 VSS_345 AT2
AC36 VSS_73 VSS_170 P25 H14 VSS_253 VSS_346 AR2
C36 VSS_74 VSS_171 K25 E14 VSS_254 VSS_347 AP2
B36 VSS_75 VSS_172 H25 AV13 VSS_255 VSS_348 AK2
BA35 VSS_76 VSS_173 E25 AR13 VSS_256 VSS_349 AJ2
AV35 VSS_77 VSS_174 D25 AN13 VSS_257 VSS_350 AD2
AR35 VSS_78 VSS_175 A25 AM13 VSS_258 VSS_351 AB2
AH35 VSS_79 VSS_176 BA24 AL13 VSS_259 VSS_352 Y2
AB35 VSS_80 VSS_177 AU24 AG13 VSS_260 VSS_353 U2
AA35 VSS_81 VSS_178 AL24 P13 VSS_261 VSS_354 T2
Y35 VSS_82 VSS_179 AW23 F13 VSS_262 VSS_355 N2
W35 VSS_83 D13 VSS_263 VSS_356 J2
V35 VSS_84 B13 VSS_264 VSS_357 H2
T35 VSS_85 AY12 VSS_265 VSS_358 F2
R35 VSS_86 AC12 VSS_266 VSS_359 C2
P35 VSS_87 K12 VSS_267 VSS_360 AL1
N35 VSS_88 H12 VSS_268
M35 VSS_89 E12 VSS_269
A L35 VSS_90 AD11 VSS_270 A
J35 VSS_91 AA11 VSS_271
H35 VSS_92 Y11 VSS_272
G35 VSS_93
F35
D35
VSS_94
VSS_95
Calistoga PROJECT : ZH5
AN34 VSS_96
Quanta Computer Inc.
Calistoga
Size Document Number Rev
GMCH GND(6 OF 6) 1A

Date: Friday, September 08, 2006 Sheet 12 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


1 2 3 4 5 6 7 8

TERMINATOR DECOUPLING CAPACITOR DDR2 TERMINATOR


+0.9V +0.9V
+0.9V
M_A_A2 RN12 1 2 56_4P2R_S M_B_BS#0 RN16 1 2 56_4P2R_S
M_A_A0 3 4 M_B_A10 3 4
M_A_A8 RN7 1 2 56_4P2R_S M_B_A0 RN5 1 2 56_4P2R_S
C116 C117 C407 C409 C408 C110 C109 C93 C92 C435 + C77 M_A_A3 3 4 M_B_A2 3 4
+0.9V M_A_A13 R346 56_4 M_B_A1 RN15 1 2 56_4P2R_S
.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 150U-4V_3528 M_A_BS#1 R342 56_4 M_B_A3 3 4
M_CKE0 R128 56_4 M_A_A6 RN11 1 2 56_4P2R_S M_B_A4 RN4 1 2 56_4P2R_S
M_CKE1 R341 56_4 M_A_A4 3 4 M_B_A7 3 4
A A
6/22 chagne to 150U 3528 package M_CKE2 R363 56_4 M_A_A5 RN8 1 2 56_4P2R_S M_B_A5 RN14 1 2 56_4P2R_S
M_CKE3 R89 56_4 M_A_A1 3 4 M_B_A8 3 4
M_A_A10 RN9 1 2 56_4P2R_S M_B_A6 RN3 1 2 56_4P2R_S
+0.9V M_CS#0 R344 56_4 M_A_BS#0 3 4 M_B_A11 3 4
M_CS#1 R132 56_4 M_A_A12 RN6 1 2 56_4P2R_S M_B_A9 RN13 1 2 56_4P2R_S
M_CS#2 R92 56_4 M_A_A9 3 4 M_B_A12 3 4
M_CS#3 R367 56_4 M_A_A11 RN10 1 2 56_4P2R_S M_B_BS#1 R90 56_4
C434 C433 C432 C431 C430 C404 C406 C405 C86 C85 M_A_A7 3 4 M_B_A13 R94 56_4
M_ODT0 R345 56_4 M_A_BS#2 R129 56_4 M_B_BS#2 R364 56_4
.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 M_ODT1 R133 56_4 M_A_RAS# R343 56_4 M_B_RAS# R91 56_4
M_ODT2 R93 56_4 M_A_CAS# R131 56_4 M_B_CAS# R366 56_4
M_ODT3 R368 56_4 M_A_WE# R130 56_4 M_B_WE# R365 56_4

M_A_DM[0..7] (8) M_B_DM[0..7] (8)


M_A_DQ[0..63] (8) M_B_DQ[0..63] (8)
M_A_DQS[0..7] (8)
M_A_DQS#[0..7] (8)
M_A_A[0..13] (8)
M_B_DQS[0..7] (8)
M_B_DQS#[0..7] (8)
M_B_A[0..13] (8)
DDR2 SO-DIMM SOCKET

CN24A CN24B
M_A_DM0 A10 A5 M_A_DQ5 M_B_DM0 B10 B5 M_B_DQ4
M_A_DM1 DM0 DQ0 M_A_DQ1 M_B_DM1 DM0 DQ0 M_B_DQ0
A26 DM1 DQ1 A7 B26 DM1 DQ1 B7
B M_A_DM2 M_A_DQ2 M_B_DM2 M_B_DQ6 B
A52 DM2 DQ2 A17 B52 DM2 DQ2 B17
M_A_DM3 A67 A19 M_A_DQ3 M_B_DM3 B67 B19 M_B_DQ2
M_A_DM4 DM3 DQ3 M_A_DQ4 M_B_DM4 DM3 DQ3 M_B_DQ1
A130 DM4 DQ4 A4 B130 DM4 DQ4 B4
M_A_DM5 A147 A6 M_A_DQ0 M_B_DM5 B147 B6 M_B_DQ5
M_A_DM6 DM5 DQ5 M_A_DQ6 M_B_DM6 DM5 DQ5 M_B_DQ7
A170 DM6 DQ6 A14 B170 DM6 DQ6 B14
M_A_DM7 A185 A16 M_A_DQ7 M_B_DM7 B185 B16 M_B_DQ3
DM7 DQ7 M_A_DQ12 DM7 DQ7 M_B_DQ12
DQ8 A23 DQ8 B23
A25 M_A_DQ8 B25 M_B_DQ8
M_A_DQS0 DQ9 M_A_DQ9 M_B_DQS0 DQ9 M_B_DQ10
A13 DQS0 DQ10 A35 B13 DQS0 DQ10 B35
M_A_DQS1 A31 A37 M_A_DQ11 M_B_DQS1 B31 B37 M_B_DQ11
M_A_DQS2 DQS1 DQ11 M_A_DQ13 M_B_DQS2 DQS1 DQ11 M_B_DQ13 +1.8VSUS
A51 DQS2 DQ12 A20 B51 DQS2 DQ12 B20
DUAL DDR2 SO-DIMM MODULE A SIDE

DUAL DDR2 SO-DIMM MODULE B SIDE


M_A_DQS3 A70 A22 M_A_DQ14 M_B_DQS3 B70 B22 M_B_DQ9
M_A_DQS4 DQS3 DQ13 M_A_DQ10 M_B_DQS4 DQS3 DQ13 M_B_DQ14 CN24C
A131 DQS4 DQ14 A36 B131 DQS4 DQ14 B36
M_A_DQS5 A148 A38 M_A_DQ15 M_B_DQS5 B148 B38 M_B_DQ15 B81 A60
M_A_DQS6 DQS5 DQ15 M_A_DQ16 M_B_DQS6 DQS5 DQ15 M_B_DQ16 VDD1 VSS23
A169 DQS6 DQ16 A43 B169 DQS6 DQ16 B43 A82 VDD2 VSS24 B65
M_A_DQS7 A188 A45 M_A_DQ17 M_B_DQS7 B188 B45 M_B_DQ17 C114 C113 C112 C111 C115 B87 A66
DQS7 DQ17 M_A_DQ18 DQS7 DQ17 M_B_DQ18 + C120 VDD3 VSS25
DQ18 A55 DQ18 B55 A88 VDD4 VSS26 B71
A57 M_A_DQ19 B57 M_B_DQ23 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 B95 A72
M_A_DQS#0 DQ19 M_A_DQ20 M_B_DQS#0 B11 DQ19 M_B_DQ20 VDD5 VSS27
A11 DQS0 DQ20 A44 DQS0 DQ20 B44 A96 VDD6 VSS28 B77
M_A_DQS#1 A29 A46 M_A_DQ21 M_B_DQS#1 B29 B46 M_B_DQ21 150U-4V_3528 .1U-16V_4 B103 A78
M_A_DQS#2 DQS1 DQ21 M_A_DQ22 M_B_DQS#2 B49 DQS1 DQ21 M_B_DQ19 VDD7 VSS29
A49 DQS2 DQ22 A56 DQS2 DQ22 B56 A104 VDD8 VSS30 B121
M_A_DQS#3 A68 A58 M_A_DQ23 M_B_DQS#3 B68 B58 M_B_DQ22 6/22 chagne to 150U 3528 package B111 A122

COMMON PIN
M_A_DQS#4 DQS3 DQ23 M_A_DQ24 M_B_DQS#4B129 DQS3 DQ23 M_B_DQ24 VDD9 VSS31
A129 DQS4 DQ24 A61 DQS4 DQ24 B61 A112 VDD10 VSS32 B127
M_A_DQS#5 A146 A63 M_A_DQ29 M_B_DQS#5B146 B63 M_B_DQ25 B117 A128
M_A_DQS#6 DQS5 DQ25 M_A_DQ26 M_B_DQS#6B167 DQS5 DQ25 M_B_DQ26 C91 C90 C89 C87 C88 VDD11 VSS33
A167 DQS6 DQ26 A73 DQS6 DQ26 B73 A118 VDD12 VSS34 A132
M_A_DQS#7 A186 A75 M_A_DQ27 M_B_DQS#7B186 B75 M_B_DQ27 + C84 B133
DQS7 DQ27 M_A_DQ25 DQS7 DQ27 M_B_DQ28 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 VSS35
DQ28 A62 DQ28 B62 A2 VSS1 VSS36 A138
A64 M_A_DQ28 B64 M_B_DQ29 B3 B139
M_A_A0 DQ29 M_A_DQ30 M_B_A0 DQ29 M_B_DQ30 150U-4V_3528 .1U-16V_4 VSS2 VSS37
A102 A0 DQ30 A74 B102 A0 DQ30 B74 A8 VSS3 VSS38 A144
M_A_A1 A101 A76 M_A_DQ31 M_B_A1 B101 B76 M_B_DQ31 B9 B145
M_A_A2 A1 DQ31 M_A_DQ36 M_B_A2 A1 DQ31 M_B_DQ32 VSS4 VSS39
A100 A2 DQ32 A123 B100 A2 DQ32 B123 A12 VSS5 VSS40 B149
C M_A_A3 A99 A125 M_A_DQ32 M_B_A3 B99 B125 M_B_DQ33 6/22 chagne to 150U 3528 package B15 A150 C
M_A_A4 A3 DQ33 M_A_DQ34 M_B_A4 A3 DQ33 M_B_DQ35 VSS6 VSS41
A98 A4 DQ34 A135 B98 A4 DQ34 B135 A18 VSS7 VSS42 B155
M_A_A5 A97 A137 M_A_DQ35 M_B_A5 B97 B137 M_B_DQ34 B21 A156
M_A_A6 A5 DQ35 M_A_DQ33 M_B_A6 A5 DQ35 M_B_DQ36 VSS8 VSS43
A94 A6 DQ36 A124 B94 A6 DQ36 B124 A24 VSS9 VSS44 B161
M_A_A7 A92 A126 M_A_DQ37 M_B_A7 B92 B126 M_B_DQ37 B27 A162
M_A_A8 A7 DQ37 M_A_DQ38 M_B_A8 A7 DQ37 M_B_DQ38 VSS10 VSS45
A93 A8 DQ38 A134 B93 A8 DQ38 B134 A28 VSS11 VSS46 B165
M_A_A9 A91 A136 M_A_DQ39 M_B_A9 B91 B136 M_B_DQ39 B33 A168
M_A_A10 A9 DQ39 M_A_DQ45 M_B_A10 A9 DQ39 M_B_DQ40 VSS12 VSS47
A105 A10/AP DQ40 A141 B105 A10/AP DQ40 B141 A34 VSS13 VSS48 B171
M_A_A11 A90 A143 M_A_DQ41 M_B_A11 B90 B143 M_B_DQ41 B39 A172
M_A_A12 A11 DQ41 M_A_DQ42 M_B_A12 A11 DQ41 M_B_DQ42 VSS14 VSS49
A89 A12 DQ42 A151 B89 A12 DQ42 B151 A40 VSS15 VSS50 B177
M_A_A13 A116 A153 M_A_DQ43 M_B_A13 B116 B153 M_B_DQ43 B41 A178
NC/A13 DQ43 M_A_DQ40 NC/A13 DQ43 M_B_DQ44 VSS16 VSS51
A86 NC/A14 DQ44 A140 B86 NC/A14 DQ44 B140 A42 VSS17 VSS52 B183
A84 A142 M_A_DQ44 B84 B142 M_B_DQ45 B47 A184
NC/A15 DQ45 M_A_DQ46 NC/A15 DQ45 M_B_DQ46 VSS18 VSS53
DQ46 A152 DQ46 B152 A48 VSS19 VSS54 B187
M_A_BS#0 A107 A154 M_A_DQ47 M_B_BS#0 B107 B154 M_B_DQ47 B53 A190
(8) M_A_BS#0 BA0 DQ47 (8) M_B_BS#0 BA0 DQ47 VSS20 VSS55
M_A_BS#1 A106 A157 M_A_DQ48 M_B_BS#1 B106 B157 M_B_DQ48 A54 B193
(8) M_A_BS#1 BA1 DQ48 (8) M_B_BS#1 BA1 DQ48 VSS21 VSS56
M_A_BS#2 A85 A159 M_A_DQ49 M_B_BS#2 B85 B159 M_B_DQ49 B59 A196
(8) M_A_BS#2 NC/BA2 DQ49 (8) M_B_BS#2 NC/BA2 DQ49 VSS22 VSS57
A173 M_A_DQ50 B173 M_B_DQ50
M_CLK_DDR0 DQ50 M_A_DQ51 M_CLK_DDR3 DQ50 M_B_DQ51 QUASAR_CA0224_331N61
(9) M_CLK_DDR0 A30 CK0 DQ51 A175 (9) M_CLK_DDR3 B30 CK0 DQ51 B175
M_CLK_DDR#0 A32 A158 M_A_DQ52 M_CLK_DDR#3 B32 B158 M_B_DQ52
(9) M_CLK_DDR#0 CK0 DQ52 (9) M_CLK_DDR#3 CK0 DQ52
A160 M_A_DQ53 B160 M_B_DQ53
M_CLK_DDR1 A164 DQ53 M_A_DQ54 M_CLK_DDR2 B164 DQ53 M_B_DQ54
(9) M_CLK_DDR1 CK1 DQ54 A174 (9) M_CLK_DDR2 CK1 DQ54 B174
M_CLK_DDR#1 A166 A176 M_A_DQ55 M_CLK_DDR#2 B166 B176 M_B_DQ55
(9) M_CLK_DDR#1 CK1 DQ55 (9) M_CLK_DDR#2 CK1 DQ55
A179 M_A_DQ56 B179 M_B_DQ56
M_CKE0 DQ56 M_A_DQ57 M_CKE2 DQ56 M_B_DQ57
(9) M_CKE0 A79 CKE0 DQ57 A181 (9) M_CKE2 B79 CKE0 DQ57 B181
M_CKE1 A80 A191 M_A_DQ59 M_CKE3 B80 B189 M_B_DQ58
(9) M_CKE1 NC/CKE1 DQ59 (9) M_CKE3 NC/CKE1 DQ58
A189 M_A_DQ58 B191 M_B_DQ59
M_CS#0 DQ58 M_A_DQ60 M_CS#2 DQ59 M_B_DQ60
(9) M_CS#0 A110 CS0 DQ60 A180 (9) M_CS#2 B110 CS0 DQ60 B180
M_CS#1 A115 A182 M_A_DQ61 M_CS#3 B115 B182 M_B_DQ61
(9) M_CS#1 NC/CS1 DQ61 (9) M_CS#3 NC/CS1 DQ61
A192 M_A_DQ62 B192 M_B_DQ62
M_A_RAS# DQ62 M_A_DQ63 M_B_RAS# DQ62 M_B_DQ63
(8) M_A_RAS# A108 RAS DQ63 A194 (8) M_B_RAS# B108 RAS DQ63 B194
D M_A_CAS# A113 M_B_CAS# B113 D
(8) M_A_CAS# CAS (8) M_B_CAS# CAS
M_A_WE# A109 A1 M_B_WE# B109 B1
(8) M_A_WE# WE VREF +0.9VSUS (8) M_B_WE# WE VREF +0.9VSUS
M_ODT0 A114 M_ODT2 B114
(9) M_ODT0 ODT0 (9) M_ODT2 ODT0
M_ODT1 A119 A199 M_ODT3 B119 B199
(9) M_ODT1 NC/ODT1 VDD_SPD +3V (9) M_ODT3 NC/ODT1 VDD_SPD +3V
CGDAT_SMB A195 A50 CGDAT_SMB B195 B50
(5) CGDAT_SMB
(5) CGCLK_SMB
CGCLK_SMB A197 SDA
SCL
NC
NC A69
PM_EXTTS#0 (9)
CGCLK_SMB B197
SDA
SCL
NC
NC B69
PM_EXTTS#0 (9) PROJECT : ZH5
NC A83 NC B83
R347 10K_4 A198 A120 C106 C108 R95 10K_4 B198 B120 C119 C107
R348 10K_4 A200
SA0
SA1
NC
NC/TEST A163 +3V
R96 10K_4 B200
SA0
SA1
NC
NC/TEST B163 Quanta Computer Inc.
.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4
QUASAR_CA0224_331N61 QUASAR_CA0224_331N61 Size Document Number Rev
DDRII SO-DIMM & TERMINATOR 1A

Date: Friday, September 08, 2006 Sheet 13 of 39


1 2 3 4 5 6 7 8

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

CKL:C1/C2: 18pF -> CL:12.5pF


RTC VCCRTC
C1/C: 10pF -> CL Value =
D4 CH500H-40 C94 1U-16V_6 8.5pF
+3VPCU VCCRTC
7/4 change 10p to 18p

D3 C101 CLK_32KX1
VCCRTC_3 R139 20K/F +3V +3V
18P-50V_4

1
CH500H-40
R98 C125 Y1 R138
1K_4 R140 32.768KHZ 10M_6
D D
1M/F_6 1U-16V_6 R108 R109

2
U26A 10K_4 10K_4
AB1 RTXC1 LAD0 AA6 LAD0/FWH0 (29,30,31)
C102 CLK_32KX2 AB2 AB5 RCIN#
RTCX2 LAD1 LAD1/FWH1 (29,30,31)
CN23 AC4 GATEA20
LAD2 LAD2/FWH2 (29,30,31)

LPC
RTC
18P-50V_4 RTCRST# AA3 Y6
1 RTCRST# LAD3 LAD3/FWH3 (29,30,31) +1.05V
2 SM_INTRUDER# LDRQ#0
Y5 INTRUDER# LDRQ0# AC3 LDRQ#0 (29)
85204-0200L ICH_INTVRMEN W4 AA5 LDRQ#1
Internal PU INTVRMEN LDRQ1#/GPIO23 T48
W1 EE_CS LFRAME# AB3 LFRAME#/FWH4 (29,30,31)
VCCRTC_4 Y1 EE_SHCLK GATEA20 R105 R121 +1.05V
Y2 EE_DOUT A20GATE AE22 GATEA20 (30)
+5VPCU *56.2/F_4 *56.2/F_4
20MIL 20MIL Q9
W3 EE_DIN A20M# AH28 H_A20M# (3)
R86 1.2K_6 MMBT3904 V3 AG27 TP_H_CPUSLP# R117 *0_4
LAN_CLK CPUSLP# H_CPUSLP# (3,7)
VCCRTC_1 R87 1K_4 VCCRTC_2 3 1
U3 AF24 H_DPRSTP#_R R126 0_4 R118
LAN_RSTSYNC TP1/DPRSTP# ICH_DPRSTP# (3)

LAN
CPU
AH25 H_DPSLP#_R R106 0_4 56.2/F_4
TP2/DPSLP# H_DPSLP# (3)
R149 U5
2

4.7K_4 LAN_RXD0
V4 LAN_RXD1 FERR# AG26 H_FERR# (3)
T5 LAN_RXD2
AG24 R115 0_4 6/30 stuff R153,R351
GPIO49/CPUPWRGD H_PWRGD (3)
U7 LAN_TXD0
V6 LAN_TXD1
V7 LAN_TXD2 IGNNE# AG22 H_IGNNE# (3)
R143 AG21
INIT3_3V# T117
15K_6 ACZ_BCLK U1 AF22
ACZ_BIT_CLK INIT# H_INIT# (3)
ACZ_SYNC R6 AF25

AC-97/AZALIA
ACZ_SYNC INTR H_INTR (3) +1.05V
C C
ACZ_RST# R5 AG23 RCIN#
Internal PU ACZ_RST# RCIN# RCIN# (30)
ACZ_SDIN0 T2 AH24
(27) ACZ_SDIN0 ACZ_SDIN0 NMI H_NMI (3)
ACZ_SDIN1 T3 AF23 H_SMI#_R R107 0_4 R104
(27) ACZ_SDIN1 ACZ_SDIN1 SMI# H_SMI# (3)
ACZ_SDIN2 T1 56.2/F_4
6/30 change 0.01u to T120 ACZ_SDIN2
STPCLK# AH22 H_STPCLK# (3)
3900p ACZ_SDOUT T4 ACZ_SDOUT H_THERMTRIP_R R103 24.9/F_4
THERMTRIP# AF26 PM_THRMTRIP# (3,9)
SATA_LED# AF18 Should be 2" close ICH7
(31) SATA_LED# SATALED#
PDD[15:0] (26)
C96 SA@3900P_4 SATA_RXN0_C AF3 AB15 PDD0
(26) SATA_RXN0 SATA0RXN DD0
CKL:1n ~ 20nF C97 SA@3900P_4 SATA_RXP0_C AE3 AE14 PDD1
(26) SATA_RXP0 SATA0RXP DD1
SA@3900P_4 SATA_TXN0_C AG2 AG13 PDD2
(26) SATA_TXN0 SATA0TXN DD2
C419 SA@3900P_4 SATA_TXP0_C AH2 AF13 PDD3
(26) SATA_TXP0 SATA0TXP DD3
C420 AD14 PDD4
R120 0_4 DD4 PDD5 R1668 39_4
AF7 SATA2RXN DD5 AC13 ACZ_SDOUT_AUDIO_MDC (27)
AE7 AD12 PDD6
SATA2RXP DD6 PDD7
AG6 SATA2TXN DD7 AC12
6/30 no use PD to GND AH6 AE12 PDD8 ACZ_SDOUT R148 39_4
SATA2TXP DD8 ACZ_SDOUT_AUDIO (27)
AF12 PDD9
DD9 PDD10
(5) CLK_PCIE_SATA# AF1 SATA_CLKN DD10 AB13

SATA
AE1 AC14 PDD11 C139
(5) CLK_PCIE_SATA SATA_CLKP DD11
AF14 PDD12 *10P-50V_4
DD12 PDD13
AH10 SATARBIASN DD13 AH13
R110 24.9/F_4 SATA_BIAS AG10 AH14 PDD14
Place within 500 SATARBIASP DD14 PDD15
25mils/15mils DD15 AC15
mils of ICH7 PDA[2:0] (26)
PDA0 R1669 39_4
(26) PDIOR# AF15
AH15
DIOR# IDE DA0 AH17
AE17 PDA1
ACZ_SYNC_AUDIO_MDC (27)
B (26) PDIOW# DIOW# DA1 B
AF16 AF17 PDA2
(26) PDDACK# DDACK# DA2
IRQ14 AH16 ACZ_SYNC R150 39_4
(26) IRQ14 IDEIRQ ACZ_SYNC_AUDIO (27)
PIORDY AG16 AE16
(26) PIORDY IORDY DCS1# PDCS1# (26)
(26) PDDREQ AE15 DDREQ DCS3# AD16 PDCS3# (26)
C143
ICH7-M *10P-50V_4

R1670 39_4
BIT_CLK_AUDIO_MDC (27)

ACZ_BCLK R362 39_4


BIT_CLK_AUDIO (27)

C436
*10P-50V_4
VCCRTC
ICH7 internal VR A1B 8/15 change form 0603 to 0402
enable strap
R144 R1671 39_4
ACZ_RST#_AUDIO_MDC (27)
INTVRMEN 332K/F_4

Enable ICH_INTVRMEN ACZ_RST# R152 39_4


ACZ_RST#_AUDIO (27)
(default) 1

A Disable 0 R145 A
*0_4

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
ICH7-M RTC/SATA/IDE/AZALIA/CPU (1 OF 4) 1A
Date: Friday, September 08, 2006 Sheet 14 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

modify C
U26D
F26 V26 +3V
(21) PCIE_RXN2 PERn1 DMI0RXN DMI_RXN0 (9)
F25 V25

Direct Media Interface


(21) PCIE_RXP2 PERp1 DMI0RXP DMI_RXP0 (9) RP1
C442 PCIE_TXN2_C
MC@.1U-10V_4 8.2K_10P8R
MINI CARD PCI-E (21) PCIE_TXN2
C444 PCIE_TXP2_C
MC@.1U-10V_4
E28
E27
PETn1 DMI0TXN U28
U27
DMI_TXN0 (9)
REQ2# 6 5
(21) PCIE_TXP2 PETp1 DMI0TXP DMI_TXP0 (9)
REQ1# 7 4 TRDY#
H26 Y26 FRAME# 8 3 REQ4#
(20) PCIE_RXN1 PERn2 DMI1RXN DMI_RXN1 (9)
H25 Y25 STOP# 9 2 DEVSEL#
(20) PCIE_RXP1 PERp2 DMI1RXP DMI_RXP1 (9)
C446 .1U-10V_4PCIE_TXN1_C REQ3#
5789M (20) PCIE_TXN1
C449 .1U-10V_4 PCIE_TXP1_C
G28
G27
PETn2 DMI1TXN W28
W27
DMI_TXN1 (9) +3V 10 1
(20) PCIE_TXP1 PETp2 DMI1TXP DMI_TXP1 (9)

PCI-Express
(33) PCIE_RXN4 K26 PERn3 DMI2RXN AB26 DMI_RXN2 (9)
D (33) PCIE_RXP4 K25 PERp3 DMI2RXP AB25 DMI_RXP2 (9) D
C140 .1U-10V_4PCIE_TXN4_C +3V
EZ4 (33) PCIE_TXN4
C145 .1U-10V_4 PCIE_TXP4_C
J28
J27
PETn3 DMI2TXN AA28
AA27
DMI_TXN2 (9)
(33) PCIE_TXP4 PETp3 DMI2TXP DMI_TXP2 (9) RP2
8.2K_10P8R
M26 AD25 INTE# 6 5
(33) PCIE_RXN5 PERn4 DMI3RXN DMI_RXN3 (9)
M25 AD24 +1.5V LOCK# 7 4
(33) PCIE_RXP5 PERp4 DMI3RXP DMI_RXP3 (9)
C133 .1U-10V_4PCIE_TXN5_C SERR# INTB#
EZ4 (33) PCIE_TXN5
C137 .1U-10V_4 PCIE_TXP5_C
L28
L27
PETn4 DMI3TXN AC28
AC27
DMI_TXN3 (9)
PERR#
8
9
3
2
(33) PCIE_TXP5 PETp4 DMI3TXP DMI_TXP3 (9)
+3V 10 1 INTG#
P26 PERn5 DMI_CLKN AE28 CLK_PCIE_ICH# (5)
P25 AE27 R173
PERp5 DMI_CLKP CLK_PCIE_ICH (5)
N28 24.9/F_4
PETn5
N27 PETp5 DMI_ZCOMP C25 15/15mils
D25 DRI_IRCOMP_R Place within 500 +3V
DMI_IRCOMP
T25 mils of ICH7
PERn6 RP3 8.2K_10P8R
T24 PERp6 USBP0N F1 USBP0- (21) Sys
R28 F2 INTF# 6 5
PETn6 USBP0P USBP0+ (21)
R27 G4 Sys INTC# 7 4 REQ0#
PETp6 USBP1N USBP1- (21)
G3 INTD# 8 3 IRDY#
USBP1P USBP1+ (21)
SPI_SCLK R2 H1 INTH# 9 2 REQ5#
SPI_CLK USBP2N USBP2- (21)
A1A 8/1 chagne PCI-E signal for different SB use SPI_CE# P6 H2 Sys +3V 10 1 INTA#
SPI_CS# USBP2P USBP2+ (21)
SPI_ARB P1 J4

SPI
SPI_ARB USBP3N
USBP3P J3
+3V SPI_SI P5 K1
SPI_MOSI USBP4N USBP4- (21)
SPI_SO P2 K2 Bluetooth Module

USB
SPI_MISO USBP4P USBP4+ (21)
L4 +3V_S5
USBOC#0 USBP5N
D3 OC0# USBP5P L5
USBOC#1 C4 M1 RP4 8.2K_10P8R
OC1# USBP6N USBP6- (21)
USBOC#2 D5 M2 Mini Card USBOC#1 6 5
OC2# USBP6P USBP6+ (21)
R151 R153 R154 USBOC#3 D4 N4 USBOC#3 7 4 USBOC#4
OC3# USBP7N USBP7- (18)
C 10K_4 10K_4 10K_4 USBOC#4 E5 N3 CCD USBOC#5 8 3 USBOC#2 C
OC4# USBP7P USBP7+ (18)
USBOC#5 C3 9 2 USBOC#7
USBOC#6 OC5#/GPIO29 USBOC#0 USBOC#6
A2 OC6#/GPIO30 USBRBIAS# D2 +3V_S5 10 1
PAD T122 SPI_SCLK USBOC#7 B3 D1 USB_RBIAS_PN
PAD T50 SPI_CE# OC7#/GPIO31 USBRBIAS
25mils/15mils
PAD T121 SPI_ARB ICH7-M
CKL use 10Kohm
PAD T51 SPI_SI Place within 500 R376
PAD T52 SPI_SO mils of ICH7 22.6/F_6

ICH7 Boot BIOS select

U26B STRAP GNT5# GNT4#


(21,22) AD[0..31]
AD0 E18 D7 REQ0#
AD1 AD0 REQ0# GNT0#
REQ0# (22) R1 R2
AD2
C18
A16
AD1 PCI GNT0# E7
C16 REQ1#
GNT0# (22)
AD3 AD2 REQ1#
F18 AD3 GNT1# D16 LPC
AD4 E16 C17 REQ2# 11 UNSTUFF UNSTUFF
AD5 A18
AD4 REQ2#
D17 GNT2#
REQ2# (21) (default)
AD5 GNT2# GNT2# (21)
AD6 E17 E13 REQ3#
AD7 AD6 REQ3#
A17 AD7 GNT3# F13
AD8 A15 A13 REQ4# PCI 10 UNSTUFF STUFF
AD9 AD8 REQ4#/GPIO22
B
C14 AD9 GNT4#/GPIO48 A14 B
AD10 E14 C8 REQ5#
AD11 AD10 GPIO1/REQ5#
D14 AD11 GPIO17/GNT5# D8
AD12 B12 SPI 01 STUFF UNSTUFF
AD13 AD12
C13 AD13 C/BE0# B15 CBE0# (21,22)
AD14 G15 C12
AD14 C/BE1# CBE1# (21,22)
AD15 G13 D12
AD15 C/BE2# CBE2# (21,22)
AD16 E12 C15
AD16 C/BE3# CBE3# (21,22)
AD17 C11
AD18 AD17 IRDY#
D11 AD18 IRDY# A7 IRDY# (21,22)
AD19 A11 E10
AD19 PAR PAR (21,22)
AD20 A10 B18
AD20 PCIRST# PCIRST# (21,22,23)
AD21 F11 A12 DEVSEL# PCLK_ICH R1632 *22_4 C621 *10P-50V_4
AD21 DEVSEL# DEVSEL# (21,22)
AD22 F10 C9 PERR#
AD22 PERR# PERR# (21,22)
AD23 E9 E11 LOCK#
AD23 PLOCK# PLOCK# (22)
AD24 D9 B10 SERR#
AD24 SERR# SERR# (21,22)
AD25 B9 F15 STOP#
AD25 STOP# STOP# (21,22)
AD26 A8 F14 TRDY#
AD26 TRDY# TRDY# (21,22)
AD27 A6 F16 FRAME#
AD27 FRAME# FRAME# (21,22)
AD28 C7
AD29 AD28 PLT_RST-R#
B6 AD29 PLTRST# C26 PLT_RST-R# (9)
AD30 E6 A9 PCLK_ICH PCI DEVICE IDSEL# REQ# / GNT# Interrupts
AD30 PCICLK PCLK_ICH (5)
AD31 D6 B19 +3V
AD31 PME# PCI_PME# (21,22)
PCI7411 AD25 REQ0# / GNT0# INT E/F/G#
INTA# A3
Interrupt I/F G8 INTE#
PIRQA# GPIO2/PIRQE# INTE# (22)
INTB# B4 F7 INTF# 7/4 PD 100K
PIRQB# GPIO3/PIRQF# INTF# (22)
INTC# C5 F8 INTG# C178
(21) INTC# PIRQC# GPIO4/PIRQG# INTG# (22)
INTD# B5 G7 INTH# .1U-10V_4
(21) INTD# PIRQD# GPIO5/PIRQH# T57 PAD U4
5

A
PAD T43 TP_ICH_RSVD1 AE5
MISC AE9 TP_ICH_RSVD6 T114 PAD PLT_RST-R# 2
A

TP_ICH_RSVD2 RSVD[1] RSVD[6]


PAD T47 AD5 RSVD[2] RSVD[7] AG8 TP_ICH_RSVD7 T115 PAD 4 PLTRST# (16,20,21,26,29,30,31,32,33)
PAD T116 TP_ICH_RSVD3 AG4 AH8 TP_ICH_RSVD8 T112 PAD 1
PAD T113 TP_ICH_RSVD4 RSVD[3] RSVD[8] RSVD9
AH4 RSVD[4] RSVD[9] F21
PAD T42 TP_ICH_RSVD5 AD9 AH20 TC7SH08FU R170
PROJECT : ZH5
3

RSVD[5] MCH_SYNC# MCH_ICH_SYNC (9)


ICH7-M 100K_4

R158 Quanta Computer Inc.


*1K/F_4
Size Document Number Rev
ICH7-M MDI/PCI E/PCI/USB (2 OF 4) 1A
Don't connect to PCI device / Express card
Date: Friday, September 08, 2006 Sheet 15 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+3V_S5 +3V_S5
7/4 change 2.2 to 2.2K +3V_S5 +3V

PCLK_SMB R168 2.2K_4 RI# R397 10K_4 CLKRUN# R116 8.2K_4


PDAT_SMB R169 2.2K_4 SMB_LINK_ALERT# R390 10K_4 DNBSWON# R403 10K_4
PCIE_WAKE# R159 1K_4 SMLINK0 R401 10K_4 SYS_RST# R393 10K_4 SERIRQ R101 8.2K_4
SMLINK1 R391 10K_4
EXTSMI#_R R404 10K_4 PM_BATLOW#_R R162 10K_4

SMB_ALERT# R392 10K_4 RUNTIME_SCI#_R R125 10K_4


D D

+3V
to Clock Gen & DIMM
6/30 no use PU to 3V +3V RSMRST# R141 10K_4
U26C
R389 PCLK_SMB C22 AF19 R354 *8.2K_4
(5,21,33) PCLK_SMB SMBCLK GPIO21/SATA0GP
*1K/F_4 PDAT_SMB B22 AH18

SMB
(5,21,33) PDAT_SMB SMBDATA GPIO19/SATA1GP

SATA
SMB_LINK_ALERT# A26 R353 33_4

GPIO
AH19
T134 PAD SMLINK0 LINKALERT# GPIO36/SATA2GP
(27) ACZ_SPKR B25 AE19
T139 PAD SMLINK1 SMLINK0 GPIO37/SATA3GP
T136 PAD A25 SMLINK1
AC1 14M_ICH
CLK14 14M_ICH (5)

Clocks
RI# A28 B2 CLKUSB_48
(22) RI# RI# CLK48 CLKUSB_48 (5)
+3V
A19 SPKR SUSCLK C20 T59 PAD
LPC_PD# A27
(22,29,31) LPC_PD# SUS_STAT#
SYS_RST# A22 B24 R402 100/F_4
(3) SYS_RST# SYS_RST# SLP_S3# SUSB# (22,30)
+3V R395 *10K_4 D23 R174 100/F_4
SLP_S4# SUSC# (22,30)
R134 0_4 AB18 F22
(9) PM_BMBUSY# GPIO0/BM_BUSY# SLP_S5# T54 PAD
R114 R119
*10K/F_4 *10K/F_4 SMB_ALERT# B23 AA4 ICH_PWROK
No ASF support T132 PAD GPIO11/SMBALERT# PWROK

Power MGT
R122 0_4 PM_STPPCI_ICH# AC20 AC22 PM_DPRSLPVR CKL :100Kohm PD
C (5) PM_STPPCI# GPIO18/STPPCI# GPIO16/DPRSLPVR PM_DPRSLPVR (9,34) C
R113 0_4 PM_STPCPU_ICH# AF21
(5) PM_STPCPU#

GPIO
GPIO20/STPCPU# PM_BATLOW#_R
C21

SYS
T137 PAD TP0/BATLOW#
A21 GPIO26
C23 DNBSWON# 6/30 change RSMRST# to PLTRST#
PWRBTN# DNBSWON# (30)
Note: Connect to EC; Reserve PH/3V T133PAD B21 GPIO27
E23 R172 *100K_4
T56 PAD GPIO28
C19 R171 0_4
LAN_RST# PLTRST# (15,20,21,26,29,30,31,32,33)
+3V CLKRUN# AG18
(21,22,29,30,31) CLKRUN# GPIO32/CLKRUN#
Y4 PM_RSMRST#_R R142 100/F_4 RSMRST#
RSMRST# RSMRST# (30)
AC19 GPIO33/AZ_DOCK_EN#
R97 U2 E20 LOW_G_INT
T119PAD GPIO34/AZ_DOCK_RST# GPIO9 LOW_G_INT (26,30)
10K/F_4 A20 HIGHT_G_INT A1A add G-sense control pin
GPIO10 HIGHT_G_INT (26,30)
PCIE_WAKE# F20 F19 EMAIL_LED#
(20,21) PCIE_WAKE# WAKE# GPIO12 EMAIL_LED# (31)
SERIRQ AH21 E19
(21,22,29,30,31) SERIRQ SERIRQ GPIO13
(6) THERM_ALERT# AF20 THRM# GPIO14 R4 LID591# (18,30,38)
GPIO15 E22 DOCKIN# (20,27,33)
VR_PWRGD_CK410 AD22 R3 BOARD_ID1
R123 10K_4 VRMPWRGD GPIO24 BOARD_ID0
+3V GPIO25 D20
(19) CRT_SENSE# AC21 GPIO6 GPIO35 AD21 T45 PAD
R124 0_4 RUNTIME_SCI#_R AC18
(30) SCI#
R396 0_4 EXTSMI#_R E21
GPIO7 GPIO GPIO38 AD20
AE20
T44 PAD
(30) KBSMI# GPIO8 GPIO39 T118 PAD
ICH7-M GPIO25 /Suspend rail is a HW strap , don't pull down .
B +3V +3V B

+3V A1A:Change to inverter CLKUSB_48 14M_ICH


for VR_PWRGD_CK410
R178 R147
*10K_4 *10K_4 R387 R136
R127 10_4 *33_4
+3V
BOARD_ID0 BOARD_ID1 100K_4 U3
5 1 C128
+3VSUS 2 C467 *10P-50V_4
VR_PWRGD_CK410# (5,34)
C429 VR_PWRGD_CK410 4 3 10P-50V_4
R177 R146 .022U-16V_4
10K_4 10K_4 SN74LVC1G04DCKR

Note: External pull-up 3V


5

U25
(9,34) DELAY_VR_PWRGOOD 2
4 ICH_PWROK
(3,30) PWROK_EC 1

TC7SH08FU R361
3

A Board ID ID1 ID0 10K_4 A

00 PATA,PCMCIA PROJECT : ZH5


01 PATA,NEW

SATA,PCMCIA
Quanta Computer Inc.
10
Size Document Number Rev
11 PATA,NEW ICH7-M GPIO / SMBus (3 of 4) 1A
Date: Friday, September 08, 2006 Sheet 16 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

U26E +5V +3V +1.05V


A4 P28 U26F
VSS[1] VSS[98] V5REF(1)
A23 VSS[2] VSS[99] R1 G10 V5REF[1] Vcc1_05[1] L11

2
B1 VSS[3] VSS[100] R11 Vcc1_05[2] L12
B8 R12 R112 D5 AD17 L14
VSS[4] VSS[101] 100/F_4 +5V_S5 +3V_S5 V5REF[2] Vcc1_05[3] C129 C136 + C82
B11 VSS[5] VSS[102] R13 PDZ5.6B Vcc1_05[4] L16
B14 R14 15/15mils V5REF_SUS F6 L17
VSS[6] VSS[103] 15/15mils V5REF_Sus Vcc1_05[5] .1U-10V_4 .1U-10V_4 330U-2.5V_7343
B17 R15 L18

1
VSS[7] VSS[104] Vcc1_05[6]

2
B20 VSS[8] VSS[105] R16 AA22 Vcc1_5_B[1] Vcc1_05[7] M11
B26 R17 C99 C124 R1587 D6 AA23 M18 6/30 change +3V_S5 to +3V
VSS[9] VSS[106] 100/F_4 Vcc1_5_B[2] Vcc1_05[8]

CORE
B28 VSS[10] VSS[107] R18 PDZ5.6B AB22 Vcc1_5_B[3] Vcc1_05[9] P11
C2 T6 1U-16V_6 .1U-10V_4 AB23 P18
VSS[11] VSS[108] Vcc1_5_B[4] Vcc1_05[10] C134 C149 C152 C153
C6 T12 AC23 T11

1
VSS[12] VSS[109] Vcc1_5_B[5] Vcc1_05[11]
D
C27 VSS[13] VSS[110] T13 AC24 Vcc1_5_B[6] Vcc1_05[12] T18 D
D10 T14 C163 C165 AC25 U11 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4
VSS[14] VSS[111] Vcc1_5_B[7] Vcc1_05[13]
D13 VSS[15] VSS[112] T15 AC26 Vcc1_5_B[8] Vcc1_05[14] U18
D18 T16 1U-16V_6 .1U-10V_4 AD26 V11
VSS[16] VSS[113] Vcc1_5_B[9] Vcc1_05[15]
D21 VSS[17] VSS[114] T17 AD27 Vcc1_5_B[10] Vcc1_05[16] V12
D24 U4 AD28 V14 C135 C131 C151 C130 C144
VSS[18] VSS[115] Vcc1_5_B[11] Vcc1_05[17]
E1 VSS[19] VSS[116] U12 D26 Vcc1_5_B[12] Vcc1_05[18] V16
E2 U13 D27 V17 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4
VSS[20] VSS[117] +1.5V +1.5V_PCIE_ICH Vcc1_5_B[13] Vcc1_05[19]
E4 VSS[21] VSS[118] U14 D28 Vcc1_5_B[14] V18
E8 U15 E24 VCC PAUX Vcc1_05[20]
VSS[22] VSS[119] L17 Vcc1_5_B[15]
E15 VSS[23] VSS[120] U16 E25 Vcc1_5_B[16] VccSus3_3/VccLAN3_3[1] V5 +3V
F3 U17 E26 V1 +3V
VSS[24] VSS[121] Vcc1_5_B[17] VccSus3_3/VccLAN3_3[2] C161
F4 VSS[25] VSS[122] U24 F23 Vcc1_5_B[18] VccSus3_3/VccLAN3_3[3] W2
F5 U25 BLM18PG181SN1D F24 W7
VSS[26] VSS[123] + C141 C160 C122 C157 Vcc1_5_B[19] VccSus3_3/VccLAN3_3[4] .1U-10V_4
F12 VSS[27] VSS[124] U26 G22 Vcc1_5_B[20]
F27 V2 G23 U6 +3V_S5 C166
VSS[28] VSS[125] 220U-6.3V_7343 .1U-10V_4 .1U-10V_4 .1U-10V_4 Vcc1_5_B[21] Vcc3_3/VccHDA
F28 VSS[29] VSS[126] V13 H22 Vcc1_5_B[22]
G1 V15 H23 R7 C169 .1U-10V_4
VSS[30] VSS[127] Vcc1_5_B[23] VccSus3_3/VccSusHDA
G2 VSS[31] VSS[128] V24 J22 Vcc1_5_B[24]
G5 V27 J23 AE23 .1U-10V_4 +1.05V
VSS[32] VSS[129] Vcc1_5_B[25] V_CPU_IO[1]
G6 VSS[33] VSS[130] V28 K22 Vcc1_5_B[26] V_CPU_IO[2] AE26
G9 W6 K23 AH26

VCCA3GP
VSS[34] VSS[131] Vcc1_5_B[27] V_CPU_IO[3]
G14 VSS[35] VSS[132] W24 L22 Vcc1_5_B[28]
G18 W25 L23 AA7 +3V C142 C148 C83
VSS[36] VSS[133] Vcc1_5_B[29] Vcc3_3[3]
G21 VSS[37] VSS[134] W26 M22 Vcc1_5_B[30] Vcc3_3[4] AB12
G24 Y3 M23 AB20 .1U-10V_4 .1U-10V_4 4.7U-10V_1206
VSS[38] VSS[135] Vcc1_5_B[31] Vcc3_3[5]
G25 VSS[39] VSS[136] Y24 N22 Vcc1_5_B[32] Vcc3_3[6] AC16
G26 Y27 N23 AD13 C162
VSS[40] VSS[137] Vcc1_5_B[33] Vcc3_3[7]

IDE
H3 VSS[41] VSS[138] Y28 P22 Vcc1_5_B[34] Vcc3_3[8] AD18
H4 AA1 P23 AG12 .1U-10V_4
VSS[42] VSS[139] Vcc1_5_B[35] Vcc3_3[9]
C H5 VSS[43] VSS[140] AA24 R22 Vcc1_5_B[36] Vcc3_3[10] AG15 C
H24 AA25 R23 AG19 +3V
VSS[44] VSS[141] Vcc1_5_B[37] Vcc3_3[11]
H27 VSS[45] VSS[142] AA26 R24 Vcc1_5_B[38]
H28 VSS[46] VSS[143] AB4 R25 Vcc1_5_B[39] Vcc3_3[12] A5
J1 VSS[47] VSS[144] AB6 R26 Vcc1_5_B[40] Vcc3_3[13] B13
J2 AB11 T22 B16 C121 C126 C103 C118
VSS[48] VSS[145] +3V Vcc1_5_B[41] Vcc3_3[14]
J5 VSS[49] VSS[146] AB14 T23 Vcc1_5_B[42] Vcc3_3[15] B7
J24 AB16 T26 C10 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4
VSS[50] VSS[147] Vcc1_5_B[43] Vcc3_3[16]

PCI
J25 VSS[51] VSS[148] AB19 T27 Vcc1_5_B[44] Vcc3_3[17] D15
J26 VSS[52] VSS[149] AB21 T28 Vcc1_5_B[45] Vcc3_3[18] F9
K24 AB24 C159 U22 G11
VSS[53] VSS[150] Vcc1_5_B[46] Vcc3_3[19]
K27 VSS[54] VSS[151] AB27 U23 Vcc1_5_B[47] Vcc3_3[20] G12
K28 AB28 .1U-10V_4 V22 G16 VCCRTC
VSS[55] VSS[152] Vcc1_5_B[48] Vcc3_3[21]
L13 VSS[56] VSS[153] AC2 V23 Vcc1_5_B[49]
L15 VSS[57] VSS[154] AC5 W22 Vcc1_5_B[50] VccRTC W5
L24 VSS[58] VSS[155] AC9 W23 Vcc1_5_B[51]
L25 AC11 Y22 P7 +3V_S5 C127 C132
VSS[59] VSS[156] Vcc1_5_B[52] VccSus3_3[1]
L26 VSS[60] VSS[157] AD1 Y23 Vcc1_5_B[53]
M3 AD3 +1.5V +1.5V_GPLL_ICH 30mils A24 .1U-10V_4 .1U-10V_4
VSS[61] VSS[158] L44 VccSus3_3[2]
M4 VSS[62] VSS[159] AD4 B27 Vcc3_3[1] VccSus3_3[3] C24
M5 AD7 R356 0_4 R357 1_6 1uH_6 D19 C138 C146
VSS[63] VSS[160] GPLL_R GPLL_R_L VccSus3_3[4]
M12 VSS[64] VSS[161] AD8 AG28 VccDMIPLL VccSus3_3[5] D22
M13 AD11 G19 .1U-10V_4 .1U-10V_4
VSS[65] VSS[162] C428 C427 +1.5V VccSus3_3[6]
M14 VSS[66] VSS[163] AD15 AB7 Vcc1_5_A[1]
M15 VSS[67] VSS[164] AD19 AC6 Vcc1_5_A[2] VccSus3_3[7] K3
M16 AD23 .01U-16V_4 10U/X5R-6.3V_8 AC7 K4
VSS[68] VSS[165] Vcc1_5_A[3] VccSus3_3[8] +3V_S5
M17 VSS[69] VSS[166] AE2 AD6 Vcc1_5_A[4] VccSus3_3[9] K5

ARX
M24 VSS[70] VSS[167] AE4 AE6 Vcc1_5_A[5] VccSus3_3[10] K6 A1B 8/27 change to +3V_S5
M27 AE8 +1.5V C123 AF5 L1
VSS[71] VSS[168] 1U-16V_6 Vcc1_5_A[6] VccSus3_3[11]
M28 VSS[72] VSS[169] AE11 AF6 Vcc1_5_A[7] VccSus3_3[12] L2

USB
B B
N1 AE13 AG5 L3 C155 C154
VSS[73] VSS[170] Vcc1_5_A[8] VccSus3_3[13]
N2 VSS[74] VSS[171] AE18 AH5 Vcc1_5_A[9] VccSus3_3[14] L6
N5 AE21 C104 L7 .1U-10V_4 .1U-10V_4
VSS[75] VSS[172] VccSus3_3[15]
N6 VSS[76] VSS[173] AE24 AD2 VccSATAPLL VccSus3_3[16] M6
N11 AE25 .1U-10V_4 M7
VSS[77] VSS[174] +3V VccSus3_3[17] +1.5V
N12 VSS[78] VSS[175] AF2 AH11 Vcc3_3[2] VccSus3_3[18] N7
N13 VSS[79] VSS[176] AF4
N14 AF8 C173 +1.5V AB10 AB17
VSS[80] VSS[177] Vcc1_5_A[10] Vcc1_5_A[19] +1.5V
N15 VSS[81] VSS[178] AF11 30mils AB9 Vcc1_5_A[11] Vcc1_5_A[20] AC17
N16 AF27 .1U-10V_4 AC10
VSS[82] VSS[179] +3V Vcc1_5_A[12] C105
N17 VSS[83] VSS[180] AF28 AD10 Vcc1_5_A[13] Vcc1_5_A[21] T7
N18 AG1 A1B 8/27 change to +3V C95 AE10 F17 .1U-10V_4
VSS[84] VSS[181] Vcc1_5_A[14] Vcc1_5_A[22]

ATX
N24 AG3 R156 *0_4 AF10 G17 +1.5V C164 C158
VSS[85] VSS[182] +3V_S5 1U-16V_6 Vcc1_5_A[15] Vcc1_5_A[23]
N25 VSS[86] VSS[183] AG7 AF9 Vcc1_5_A[16]
N26 AG11 AG9 AB8 .1U-10V_4 .1U-10V_4
VSS[87] VSS[184] R155 0_4 Vcc1_5_A[17] Vcc1_5_A[24]
P3 VSS[88] VSS[185] AG14 AH9 Vcc1_5_A[18] Vcc1_5_A[25] AC8
P4 AG17 C98
VSS[89] VSS[186] C156 +1.5V 3VS5_ICH_SUS3 TP_ICHVCCSUS1
P12 VSS[90] VSS[187] AG20 E3 VccSus3_3[19] VccSus1_05[1] K7 T53 PAD
P13 AG25 .1U-10V_4
VSS[91] VSS[188] .1U-10V_4 TP_ICHVCCSUS2
P14 VSS[92] VSS[189] AH1 C1 VccUSBPLL VccSus1_05[2] C28 T124 PAD
P15 AH3 G20 TP_ICHVCCSUS3
VSS[93] VSS[190] VccSus1_05[3] T55 PAD
P16 AH7 C100 PAD T46 TPVCCSUSLAN1 AA2
VSS[94] VSS[191] T49 TPVCCSUSLAN2 VccSus1_05/VccLAN1_05[1]
P17 VSS[95] VSS[192] AH12 PAD Y7 VccSus1_05/VccLAN1_05[2]
Vcc1_5_A[26] A1
P24 AH23 .1U-10V_4 H6 +1.5V
VSS[96] VSS[193] Vcc1_5_A[27]

USB CORE
P27 VSS[97] VSS[194] AH27 Vcc1_5_A[28] H7
J6 C172
ICH7-M Vcc1_5_A[29]
Vcc1_5_A[30] J7
.1U-10V_4
ICH7-M
A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
ICH7-M POWER & GND (4 of 4) 1A
Date: Friday, September 08, 2006 Sheet 17 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

L38 0_8 V_BLIGHT


VIN
+3V C388 C393 C396 C391 C389

.1U_50V_6 10U-25V_1206 10U-25V_1206 10U-25V_1206 .1U_50V_6

R75 10K_4 EDIDCLK


D +3V D
R74
10K_4 R76 10K_4 EDIDDATA
D24 BAS316
6/30 PU +3V to EDIDCLK & EDIDDATA
BL_ON 2 1 LID591#
LID591# (16,30,38)
CN4
V_BLIGHT 1
V_BLIGHT 1
2 2
LCDVCC 3
LCDVCC 3
LID# (16,30,38) 4 4
+3V 5 5
D23 BAS316 6
+3V 6
CCD_POWER 7
CCD_POWER 7
2 1 INT_BLON (9) 8 8
9 9
R77 0_4 BUSBP7- 10
(15) USBP7- 10
R78 0_4 BUSBP7+ 11
(15) USBP7+ 11
R337 12
BL_ON 12
100K_4 13 13
CONTRAST 14
6/30 add PD 100K (30) CONTRAST 14
Q6 15
DTC144EU TXLOUT2- 15
(9) TXLOUT2- 16
3

TXLOUT2+ 16
C (9) TXLOUT2+ 17 17 C
18 18
2 (9) TXLOUT1- TXLOUT1- 19
EC_FPBACK# (30) 19
(9) TXLOUT1+ TXLOUT1+ 20 20
21 21
(9) TXLOUT0- TXLOUT0- 22
1

TXLOUT0+ 22
(9) TXLOUT0+ 23 23
24 24
TXLCLKOUT- 25
(9) TXLCLKOUT- 25
TXLCLKOUT+ 26
(9) TXLCLKOUT+ 26
27 27
EDIDCLK 28
(9) EDIDCLK 28
EDIDDATA 29 31
(9) EDIDDATA 29 31
30 30 32 32

20143-030E-20F

+3V +5V

B B
C68 C69

.1U-16V_4 .1U-16V_4

CAMERA MODULE CONNECTOR


+3V
TRACE
80MIL
Q7 C390 U23
AO3403 R336 0_8
.1U-10V_4 6 1 LCDVCC_1 LCDVCC
+5V R1672 *0_6 CCD_POWER IN OUT
1 3
4 2 C392 C70 C395
C394 10U-10V_8 IN GND
+3V R1673 0_6 DISP_ON 3 5 .1U-10V_4 .01U-16V_4 10U-10V_8
2

(9) DISP_ON ON/OFF GND

CCD_POWERON# (30)
R335 AAT4280_1
100K_4

A 6/30 add PD 100K A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
LVDS CONNECTOR & CCD 1A
Date: Friday, September 08, 2006 Sheet 18 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


1 2 3 4 5 6 7 8

+5V

U6
VGA_RED 16 +5V C311
(9) VGA_RED VCC
VGA_GRN VGA_RED 4 2 VGA_RED_SYS .1U-10V_4
(9) VGA_GRN C_A A0
VGA_BLU 3 VGA_RED_PR
(9) VGA_BLU A1 VGA_RED_PR (33)
VGA_GRN 7 5 VGA_GRN_SYS
C_B B0 VGA_GRN_PR
A
B1 6 VGA_GRN_PR (33) A
VGA_BLU 9 11 VGA_BLU_SYS
C_C C0 VGA_BLU_PR
C1 10 VGA_BLU_PR (33)
VSYNC 12 14 +5V
(9) VSYNC C_D D0
HSYNC 13
(9) HSYNC D1
PR_INSERT_5V 1
(33) PR_INSERT_5V SE
15 EN# GND 8
CRTDCLK C1
(9) CRTDCLK
+3V CRTDDAT SN74CBTLV3257PWR .1U-10V_4
(9) CRTDDAT

SEL FUNCTION
LOW IN_B0 +5V

6/30 PU +3V to CRTDCLK & CRTDDATA HIGH IN_B1


R5 R4
C658 2.2K_4 2.2K_4 C657 .1U-10V_4 C327
.1U-10V_4
.1U-10V_4 C307 .1U-10V_4 (16) CRT_SENSE#
CRTDDAT
Edison 0523 Delete F2.
CRTDCLK
CRTVDD2 CRTVDD3
25 MIL CN15

16
+5V 2 1
B D10 SSM14 CRT_070546FR015S209CL B

6 D11
VGA_RED_SYS L28 BLM18BA220SN1_6 CRT_R1 1 11 1 2
7
VGA_GRN_SYS L27 BLM18BA220SN1_6 CRT_G1 2 12 MTW355
8
VGA_BLU_SYS L26 BLM18BA220SN1_6 CRT_B1 3 13
9
4 14
R293 C310 R292 C309 R291 C308 C298 C299 C300 10
5 15
150/F_4 10P-50V_4 150/F_4 10P-50V_4 150/F_4 10P-50V_4 10P-50V_4 10P-50V_4
10P-50V_4

17
C modify Change to CX808600101
R411 *10K_4
(30) DETECT_CRT +3V

Edison 0530 Add 24 ohm resistor. VSYNC_EZ4 (33)


HSYNC_EZ4 (33)
U10
C C
CRTVDD3 1 16 VSYNC1 R59 24_4 L29 BLM18BA220SN1_6 CRTVSYNC
VCC_SYNC SYNC_OUT2 HSYNC1 R60 24_4 L30 BLM18BA220SN1_6 CRTHSYNC
SYNC_OUT1 14
+5V 8KVESD 7 VCC_DDC
8 C303 C304
BYP VSYNC
SYNC_IN2 15
1

C2 2 13 HSYNC 10P-50V_4
+3V VCC_VIDEO SYNC_IN1 CRTVDD3
0.22u_10V 10P-50V_4
2

VGA_RED_SYS 3 10 CRTDCLK R10 R9


VGA_GRN_SYS VIDEO_1 DDC_IN1 CRTDDAT 2.7K_4 2.7K_4
4 VIDEO_2 DDC_IN2 11
VGA_BLU_SYS 5 VIDEO_3 DDCCLK_1
DDC_OUT1 9 DDCCLK_1 (33)
Edison 0530 Add 0.22uF 6 12 DDCDAT_1
GND DDC_OUT2 DDCDAT_1 (33)
capacitor, increase CM2009
ESD to +-8KV. C302 C301

10P-50V_4 10P-50V_4

D D

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
CRT-PORT 1A

Date: Friday, September 08, 2006 Sheet 19 of 39


1 2 3 4 5 6 7 8

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

3V_LAN
Giga LAN BCN5785M C60 .1U-10V_4
C62 .1U-10V_4
C61 .1U-10V_4

U16
31 TX0P_PR
0B1 TX0P_PR (33)
30 TX0N_PR
1B1 TX0N_PR (33)
35 26 TX1P_PR
VDD 2B1 TX1P_PR (33)
25 TX1N_PR
3B1 TX1N_PR (33)
D13 BAS316
LINKLED# 1 2 LAN_LINKLED# TX0P 36 22 TX2P_PR
A0 4B1 TX2P_PR (33)
D15 BAS316 TX0N 1 21 TX2N_PR
A1 5B1 TX2N_PR (33)
D 100# 1 2 D
VAUX_12 D14 BAS316 TX1P 2 18 TX3P_PR
A2 6B1 TX3P_PR (33)
3V_LAN 1000# 1 2 TX1N 3 17 TX3N_PR
A3 7B1 TX3N_PR (33)
TX2P 7 5
A4 0LED1_O ACT# (33)
TX2N 8 13
A5 100MBPS# (33)
C44 C33 C32 C28 C30 LED Output (SEL = 0) 1LED1_O 33
C323 C27 C37 C49 TX3P 2LED1_O
9
.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 VAUX_25 TX3N A6 TX0P_SYS
10 29
4.7U-10V_8 .1U-16V_4 .1U-16V_4 .1U-16V_4 A7 0B2 TX0N_SYS
28
LAN_ACTLED# 4 1B2
LED0_I
VDDP+AVDD)
LAN_LINKLED#12 24 TX1P_SYS
VAUX_12 LED1_I LED Input 2B2 TX1N_SYS
34 23
C56 C20 LED2_I 3B2
U14 .1U-16V_4 .1U-16V_4 VAUX_25 TX2P_SYS
BCM5787MKMLG L11 BLM11A601S DOCKIN# 4B2
20
TX2N_SYS
(16,27,33) DOCKIN# 27 19
VAUX_12 BIASVDD SEL 5B2
C370 C43 C38 C39 C40 TX3P_SYS

15
19
56
61

17
68
16

6
6B2 TX3N_SYS
15
4.7U-10V_8 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 C63 7B2
5 11

VDDIO
VDDIO
VDDIO
VDDIO
VDDIO

VDDP
VDDP
VDDC .1U-16V_4 GND SYS_ACTLED#
13 36 6
VDDC BIASVDD LED Output(SEL = 1) 0LED2_O SYS_LINKLED#
20 14
VDDC L5 BLM11A601S 1LED2_O
34 32
VDDC XTALVDD 2LED2_O
55 23
VDDC XTALVDD MAX4892
60
L6 BLM11A601S VDDC C31
AVDDL 39 38 .1U-16V_4 A1B 8/27 FIX led issue
AVDDL AVDD L9 BLM11A601S
44
C360 C41 46
AVDDL
AVDDL
BCM5787M
10mm X 10mm AVDD
45 AVDD_F14
51
AVDDL SEL CONNECTION
4.7U-10V_8 .1U-16V_4 68-Pin QFN 52
L4 BLM11A601S AVDD C45 C64 0 Ax to xB1 ; LEDx to xLED1
VAUX_12 GPHY_PLLVDD 35 .1U-16V_4 .1U-16V_4
C355 C35 GPHY_PLLVDD
1 Ax to xB2 ; LEDx to xLED2
49 TX3N
4.7U-10V_8 .1U-16V_4 TRD3- TX3P
C 50 C
L1 BLM11A601S TRD3+
3V_LAN PCIE_PLLVDD 30 48 TX2N
C324 C18 PCIE_PLLVDD TRD2- TX2P
47
TRD2+ VAUX_25
4.7U-10V_8 .1U-16V_4 42 TX1N BLM11A601S U13
Q2 L2 BLM11A601S TRD1- TX1P L3 +3V_2.5V_LAN 1
27 43 24
2

DTC144EUA R61 PCIE_SDS_VDD PCIE_VDD TRD1+ TX0P_SYS TCT1 MCT1 X-TX0P


33 2 23
4.7K_4 C325 C19 PCIE_VDD TX0N C21 C22 TX0N_SYS TD1+ MX1+ X-TX0N
41 3 22
TRD0- TX0P TD1- MX1-
40
4.7U-10V_8 .1U-16V_4 TRD0+ .1U-16V_4.1U-16V_4 +3V_2.5V_LAN 4
24 21
PCIE_WAKE_R# PCIE_GND LINKLED# TX1P_SYS TCT2 MCT2 X-TX1P
(16,21) PCIE_WAKE# 3 1 2 5 20
LINKLED# 100# 3V_LAN TX1N_SYS TD2+ MX2+ X-TX1N
1 6 19
SPD100LED# 1000# A1A 7/8 change to .1u TD2- MX2-
67
C17 .1U-16V_4 TXDP_C SPD1000LED# LAN_ACTLED# +3V_2.5V_LAN 7
(15) PCIE_RXP1 26 66 18
C16 .1U-16V_4 TXDN_C PCIE_TXDP TRAFFICLED# TX2P_SYS TCT3 MCT3 X-TX2P
(15) PCIE_RXN1 25 8 17
PCIE_TXDN TX2N_SYS TD3+ MX3+ X-TX2N
31 8 T36 9 16
(15) PCIE_TXP1 PCIE_RXDP GPIO2 R34 R30 R31 C14 C24 C23 TD3- MX3-
32
(15) PCIE_TXN1 PCIE_WAKE_R# PCIE_RXDN 4.7K_4 *4.7K_4 4.7K_4 .1U-16V_4 +3V_2.5V_LAN10
12 15
R53 0_4 -LAN_RST WAKE# .1U-16V_4.1U-16V_4 TX3P_SYS TCT4 MCT4 X-TX3P
10 9 T29 11 14
(15,16,21,26,29,30,31,32,33) PLTRST# PERST# UART_MODE BCM_WP TX3N_SYS TD4+ MX4+ X-TX3N
(5) CLK_PCIE_LAN 29 7 12 13
REFCLK+ GPIO1_SERIALDI TD4- MX4-
28 4 T32
(5) CLK_PCIE_LAN# REFCLK- GPIO0_SERIALDO U12 GST-5009 LF R24 R23 R22 R21

3V_LAN R42 1K_4 AUX_PRES 54 8 1


R44 1K_4 VMA_PRES 53 VAUXPRSNT VCC A0 75/F_4 75/F_4 75/F_4 75/F_4
7 2
R48 4.7K_4 3 VMAINPRSNT BCM_SCL WP A1 C316
65 6 3
LOW_PWR SCLK SI SCL NC
63 5 4
R45 4.7K_4 LAN_SMBC SI BCM_SDA SDA GND 1500P-2KV_1808
58 64
R47 4.7K_4 LAN_SMBD SMB_CLK SO CS#
57 62
SMB_DATA CS# AT24C64
C350 27P-50V_4 XTALO_R R308 200_4 XTALO
XTALI
22
XTALO NC/(ENERGY_DET)
59 T40 Broadcom recommended cost down solution
21
XTALI
3V_LAN
Y2 RDAC 37
RDAC

3
B 25Mhz 18 LAN REGCTL25 C15 C322 B
REGCTL25 Q34
R58 1 MMJT9435 .1U-16V_4 4.7U-10V_8
C341 27P-50V_4 1.2K/F_4 CN14
14 LAN REGCTL12
REGCTL12 R20 220_4 12

2
4
3V_LAN LED1_YELP_Y
B2A: change from 1.24kohm to 1.2kohm VAUX_25
T39 11 SYS_ACTLED# 18
NC(CLK_REQ#) C25 C333 LED1_YELN_Y
16
REG_GND LAN_REG1_2V
.1U-16V_4 47U-6.3V_1210 X-TX3N 3
X-TX3P 4 RX2-
11
GND

3
C51 C321 X-TX1N 5 RX2+ NC
Package Body RX1-
Q17 X-TX2N 6 17
MMJT9435 .1U-16V_4 4.7U-10V_8 X-TX2P 7 TX2- GND
1
69

X-TX1P 8 TX2+
16
LAN_REG1_2V R55 *1.5_1206 X-TX0N 9 RX1+ GND
3V_LAN TX1-
X-TX0P 10

2
4
TX1+
VAUX_12
CS# SI BCM_SCL R54 1_1206 VAUX_25
C29 C358 2
LED2_AMBER_A1
.1U-16V_4 10U-6.3V_8 R25 220_4 15
3V_LAN LED2_P_A2
R43 R46 R49 B2A: change from 1.5ohm to 1ohm
4.7K_4 *4.7K_4 *4.7K_4 SYS_LINKLED# 1
LED2_GRNN_A3

RINGL 13
RING
TIPL 14
TIP
FOXCONN_JM34F23_P2053

CN1
C329 470p-3KV_1808 RINGL
C330 470p-3KV_1808 TIPL 1
A 2 A

88266-020L
REV:B MODIFY P/N

PROJECT : ZH5

Size Document Number


Quanta Computer Inc. Rev
GigaLAN BCM5787MKMLG & RJ45/RJ11 1A

Date: Friday, September 08, 2006 Sheet 20 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


1 2 3 4 5 6 7 8

+5V_S5
CN29
MINI-PCI 1
TIP RING
2
+3V 3 4 +3V
LAN1 LAN2 C9
5 6
LAN3 LAN4
7 8 .1U-10V_4
LAN5 LAN6 +5V_S5 U9
9 10
WIRELESS_LED LAN7 LAN8 USBPWR0
11 12 2 8
RF_END30 1 LED_GP LED_YP IN1 OUT3
(30) RF_EN 2 13 14 3 7
MP@BAS316 LED_GN LED_YN IN2 OUT2
15 16 6
NC1 NC2 R17 0_4 OUT1
(15) INTD# 17 18 +5V 4
-INTB +5V (30) USBON# EN#
19 20 1
+3V -INTA INTC# (15) R18 GND R7 *6.34K/F_6
21 22 9 5
R(IRQ3) R(IRQ4) +5V_S5 *0_4 GND-C OC#
23 24 +3V_S5
PCLK_MINI GND +3VAUX TPS2061DGNR
(5) PCLK_MINI 25 26 PCIRST# (15,22,23)
PCICLK -RST
27 28
GND +3V
(15) REQ2# 29 30 GNT2# (15)
A -REQ -GNT C8 A
31 32
+3V GND PCI_PME# .1U-10V_4
(15,22) AD31 33 34
AD31 -PME PCI_PME# (15,22) +5V_S5 U7
(15,22) AD29 35 36
AD29 (V) USBPWR1
37 38 AD30 (15,22) 2 8
GND AD30 IN1 OUT3
(15,22) AD27 39 40 3 7
AD27 +3V IN2 OUT2
(15,22) AD25 41 42 AD28 (15,22) 6
AD25 AD28 R16 0_4 OUT1
43 44 AD26 (15,22) 4
(V) AD26 (30) USBON# EN#
(15,22) CBE3# 45 46 AD24 (15,22) 1
-CBE3 AD24 R1589 MP@150_4 AD19 R15 GND R6 *6.34K/F_6
(15,22) AD23 47 48 9 5
AD23 IDSEL *0_4 GND-C OC#
49 50
GND GND TPS2061DGNR
(15,22) AD21 51 52 AD22 (15,22)
AD21 AD22 AD20
(15,22) AD19 53
AD19 AD20
54 AD20 (15,22) 2ed SOURCE:AL000547001
55 56 PAR (15,22)
GND PAR
(15,22) AD17 57 58 AD18 (15,22)
AD17 AD18
(15,22) CBE2# 59 60 AD16 (15,22)
-CBE2 AD16
(15,22) IRDY# 61 62
-IRDY GND
63 64 FRAME# (15,22)
+3V -FRAME L31 USBPWR0
(16,22,29,30,31) CLKRUN# 65 66 TRDY# (15,22)
-CLKRUN -TRDY *DLW21HN900SQ2L
(15,22) SERR# 67 68 STOP# (15,22)
-SERR -STOP
69 70
GND +3V C314 +
(15,22) PERR# 71 72 DEVSEL# (15,22) 4 3
-PERR -DEVSEL 4 3
(15,22) CBE1# 73 74 1 2
-CBE1 GND 1 2 100U-6.3V_3528
(15,22) AD14 75 76 AD15 (15,22)
AD14 AD15
77 78 AD13 (15,22)
GND AD13 CN17
(15,22) AD12 79 80 AD11 (15,22)
AD12 AD11
(15,22) AD10 81 82
AD10 GND R11 0_4 BUSBP0- 1 5
83 84 AD9 (15,22) (15) USBP0-
GND AD9 R12 0_4 BUSBP0+ 2 6
(15,22) AD8 85 86 CBE0# (15,22) (15) USBP0+
AD8 -CBE0 3 7
(15,22) AD7 87 88
AD7 +3V 4 8
89 90 AD6 (15,22)
+3V AD6 SYUIN_USB
(15,22) AD5 91 92 AD4 (15,22)
AD5 AD4
93 94 AD2 (15,22)
(V) AD2 U8
(15,22) AD3 95 96 AD0 (15,22)
AD3 AD0 *CM1293-04SO
97 98
+5V +5V (V) L32
(15,22) AD1 99 100 1 6
AD1 SERIRQ SERIRQ (16,22,29,30,31) CH1 CH4 *DLW21HN900SQ2L USBPWR1
101 102
GND GND
103 104 2 5 +5V_S5
SYNC M66EN VN VP
105 106 4 3
+5V SDIN0 SDOUT 4 3 C313 +
107 108 3 4 1 2
BITCLK SDIN1 +3V CH2 CH3 1 2
109 110
B -AC_PRIMARY -RESET 100U-6.3V_3528 B
111 112
BEEP -MPCICACK CN16
113 114
C587 C588 AGND AGND
115 116
+MIC +SPK C583 C584 C585 C586 R13 0_4 BUSBP1- 1 5
117 118 (15) USBP1-
MP@.1U-10V_4 MP@.1U-10V_4 -MIC -SPK 0_4 BUSBP1+ 2 6
119 120 (15) USBP1+
AGND AGND MP@.1U-10V_4 MP@.1U-10V_4 MP@.1U-10V_4 MP@.1U-10V_4 R14 3 7
121 122
-RI NC4 4 8
123 124 +3V_S5
+5V +5VA +3VAUX SYUIN_USB
GND

GND

+5V_S5
125

126

*MP@QTC_MINIPCI_H7.95
C573
.1U-10V_4
PCLK_MINI R1590 *MP@22_4 C582 *MP@10P-50V_4 +5V_S5 U45
2 8 USBPWR2
IN1 OUT3
3 7
IN2 OUT2
AD[15:0] (15,22) 6
CN22 R465 0_4 OUT1
4
AD0 (30) USBON# EN#
1
1 AD1 R466 GND R464 *6.34K/F_6
9 5
2 AD2 *0_4 GND-C OC#
3 AD3 TPS2061DGNR
4 AD4
5
2ed SOURCE:AL000547001
AD5
6 AD6
7 AD7
8 AD8
9 AD9 U44
10 AD11 *CM1293-04SO
11 AD13 L55 USBPWR2
1 6
12 AD15 CH1 CH4 *DLW21HN900SQ2L
13 CBE0#
CBE0# (15,22) 2 5 +5V_S5
14 CBE1# VN VP C571 +
CBE1# (15,22) 4 3
15 CBE2# 4 3
CBE2# (15,22) 3 4 1 2
16 CBE3# CH2 CH3 1 2 100U-6.3V_3528
17 CBE3# (15,22)
PCIK_MINI
18 PCLK_MINI (5)
IRDY# CN28
19 IRDY# (15,22)
TRDY#
20 TRDY# (15,22) 1 5
PCIRST# R290 0_4 BUSBP2-
C 21 GNT2# PCIRST# (15,22,23) (15) USBP2- BUSBP2+ 2 6 C
R289 0_4
22 GNT2# (15) (15) USBP2+ 3 7
DEVSEL#
23 DEVSEL# (15,22) 4 8
FRAME#
24 FRAME# (15,22)
+3V +3V SYUIN_USB
25 +3V
26 +5V +5V
27 +5V
28 GND
29 GND
30
*MC@PTWO_DEBUG(AFN300-N2G1Z)

BLUETOOTH MODULE CONNECTOR


+3V +3VSUS Q19
D3B:Added L59,L60 AO3403
L59 BK1608LM252-T L39
3V_MINI1 +3V_S5 +3VSUS +3V +3V 1 3 BT_POWER

L60 BK1608LM252-T BK2125HS330_8 C401 10U-10V_8


3V_MINI2

2
R1626 R1624 CN7
BT_POWERON# (30) BT_POWER
+1.5V MC@10K_4 MC@330_4
R57 R56 1
*MC@0_6 MC@0_6 R350 0_4 BUSBP4+ 2
modify C (15) USBP4+ 3
CN20 R349 0_4 BUSBP4-
WIRELESS_LED (31) (15) USBP4- 4
51 52 3V_MINI1 BT_LED
(31) BT_LED
3

Reserved +3.3V 5
49 50
Reserved GND 6
47 48
Reserved +1.5V T380 Q28 7
45 46
A1A 7/25 swap pin TXP,TXN ,RXP,RXN Reserved LED_WPAN# WIRELESS_LED_R# MC@2N7002 8
43 44 2
Reserved LED_WLAN# T21 PTWO_MINIUSB
41 42
Reserved LED_WWAN# C411
39 40
Reserved GND
37 38 USBP6+ (15)
Reserved USB_D+ .01U-16V_4
35 36
1

GND USB_D- USBP6- (15)


33 34
(15) PCIE_TXP2 PETp0 GND PDAT_SMB
31 32 PDAT_SMB (5,16,33)
(15) PCIE_TXN2 PETn0 SMB_DATA PCLK_SMB
29 30 PCLK_SMB (5,16,33)
GND SMB_CLK
27 28
D GND +1.5V D
(15) PCIE_RXP2 25 26
PERp0 GND
(15) PCIE_RXN2 23 24
PERn0 +3.3Vaux
21 22 PLTRST# (15,16,20,26,29,30,31,32,33)
GND PERST#
19 20
Reserved Reserved RF_EN
A1A del RX cap 17
Reserved GND
18 2 1 RF_EN (30)
+3VSUS 15 16 D31 MC@BAS316
GND Reserved
(5) CLK_PCIE_MINI1 13 14
REFCLK+ Reserved
(5) CLK_PCIE_MINI1# 11 12 Edison -0606 Add MINICARD bypass Capacitors.
2

REFCLK- Reserved +3V


9 10
T33 GND Reserved +1.5V
7 8
T34 5
CLKREQ#
Reserved
Reserved
+1.5V
6 D3B: Added C660 PROJECT : ZH5
T35 3 4
Reserved GND 3V_MINI2 C654 C656 C660
3 1 1 2
(16,20) PCIE_WAKE# WAKE# +3.3V + Quanta Computer Inc.
88914-5204 1U/10V 1U/10V
Q4 100U-6.3V_3528 Size Document Number Rev
MC@DTC144EU PCMCIA /Mini Card /USB / Blue Tooth 1A

Date: Tuesday, September 12, 2006 Sheet 21 of 39


1 2 3 4 5 6 7 8

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


A B C D E

PCI_CLK_7412 R1633 *22_4 C622 *10P-50V_4 C516 12P-50V_4 TPBIAS0


1394_XIN

2
C232
Y5
U36A 24.576MHZ R217 R211 1U-16V_6
(15) GNT0# GNT0# L2 R19

1
(15) REQ0# REQ0# GNT# XI 1394_XOUT C515 12P-50V_4 56.2/F_4 56.2/F_4
L3 REQ# XO R18
AD25 R195 150_4 N5
(15,21) FRAME# IDSEL R0 R232 6.34K/F_4 R287 0_4
R6 FRAME# R0 T18
4 (15,21) IRDY# V5 T19 R1 4
(15,21) DEVSEL# IRDY# R1 CPSR205 390K_4
U6 DEVSEL# CPS R12
(15,21) TRDY# W5 P12 R209 330_4
(15,21) SERR# TRDY# TEST0 TPA0P L1394_TPA0+
W6 R17
SERR# VSSPLL

1394 Interface
(15,21) STOP# V6 TPA0N L1394_TPA0-
(15,21) PERR# STOP# TPA0P
R7 V14
(15,21) PAR PERR# TPA0P TPA0N
U7 W14
PAR TPA0N TPBIAS0 R288 0_4
R13
(15,21) CBE3# TPBIAS0 TPB0P
P2
CBE3 TPB0P
V13 Swap L53 and L54--Edison 0628.
(15,21) CBE2# U5 W13 TPB0N R285 0_4
(15,21) CBE1# CBE2 TPB0N
V7
CBE1 Delete L53 and L54--Edison 0911.
(15,21) CBE0# W10 V16
CBE0 TPA1P
W16
TPA1N TPB0P L1394_TPB0+
(5) PCI_CLK_7412 L1 W17
PCLK TPBIAS1 TPB0N L1394_TPB0-
V15
TPB1P
(15,21,23) PCIRST# K3 W15
GRST#_7412 PRST# TPB1N
K5
GRST#

PCI Interface
(15,21) AD[0..31] P15 R204 R208
AD0 VDDPLL15 1394_AVDD R286 0_4
R11 P17
AD1 AD00 PHY_TEST_MA R228 4.7K_4 56.2/F_4 56.2/F_4
P11
AD2 AD01 C236
U11 U12
AD3 AD02 PC0_RSVD 1U-16V_6
V11 V12
AD4 AD03 PC1_RSVD
W11 W12
AD5 AD04 PC2_RSVD +3V R206 C215
R10
AD6 AD05
U10 U13
AD7 AD06 AGND_00 5.1K/F_6 270P-25V_4 L20 BK1608HS800_6
V10 U14 +3V
AD8 AD07 AGND_01 R189
R9 R14
AD9 AD08 AGND_02 10K_4 D8 *BAS316
U9
AD10 AD09 1394_AVDD
V9 J5 2 1 LPC_PD# (16,29,31) 1394_AVDD
3 AD11 AD10 SUSPEND# R188 0_4 PCI_PME_7412# 3
W9 L5
AD12 AD11 RI_OUT# PCMSPK C233 C227
V8 H3 PCMSPK (27)
AD13 AD12 SPKROUT R193 *0_4
U8 RI# (16)
AD14 AD13 .1U-10V_4 1U-16V_6
R8 K2
AD15 AD14 VR_EN#
W7 E10
AD15 USB_EN

Miscellaneous
AD16 W4
AD17 AD16 SCL_CARD +3V
T2 G2
AD18 AD17 SCL SDA_CARD R180 43K_6
T1 G3
AD19 AD18 SDA
Delay 2ms R3
+3V AD20 AD19
P5 G1 INTE# (15)
AD21 AD20 MFUNC0 PS_MODE R181 *43K_6
R2 H5 INTF# (15)
AD22 AD21 MFUNC1
R1 H2 INTG# (15)
AD23 AD22 MFUNC2
P3 H1
R192 AD24 AD23 MFUNC3 SERIRQ (16,21,29,30,31)
N3 J1 PLOCK# (15)
22K_4 AD25 AD24 MFUNC4
N2 J2 T69
AD26 AD25 MFUNC5 A1A 7/22 add PS_MODE to define switch power
N1 J3 CLKRUN# (16,21,29,30,31)
AD27 AD26 MFUNC6 Hi : serial
M5
GRST#_7412 AD28 AD27 TPS_LATCH Lo: parallel
M6 C9 TPS_LATCH (23)
AD29 AD28 LATCH/VD3/VPPD0 TPS_CLOCK
M3 A9 TPS_CLOCK (23)
C209 AD30 AD29 CLOCK/VD1/VCCD0# TPS_DATA
M2 B9 TPS_DATA (23)
AD31 AD30 DATA/VD2/VPPD1 PS_MODE
M1 C4
.1U-10V_4 AD31 RSVD_03/VD0/VCCD1#/PS_MODE

PCI7412
Q25 AO4411
D29 SSM43 F1
L1394_TPB0- VBUS1394 1 2 VIN_1394_C 8 1 VIN_1394
VIN
7 2
L1394_TPA0- 6 3 R283 POLY_FUSE_2A
+3V A1A 7/11 Add avoid ckt 5 4 100K_6
2 2
C294 C295 C572

VBUS 1

8
7
CN27
.1U_50V_6 *10u/25V_1206

TPA-

TPB-
1000P-50V_4

SHIELD
R186 R185 +3V +3V +3V R284
U34 47K_6
2.2K_4 2.2K_4 8 1

TPA+

TPB+
VCC A0

GND
2

7 2 R187
SCL_CARD NC A1 C519 10K_4
6 SCL A3 3

3
SDA_CARD 5 4 .1U-10V_4

10
9
SDA GND
R190 R194 3 1 PCI_PME_7412# L1394_TPA0+ R278 *0_4 Q14
(15,21) PCI_PME#
24LC02BT 2 2N7002
(16,30) SUSB#
*220_4 *220_4 Q10 L1394_TPB0+
DTC144EU
1394_6P C6591U-16V_6

1
R280 0_4
R1688 1M
(16,30) SUSC#
B2A: Update Footprint
Change connector(CN27) and Pin define--Edison 0628
Change 1394 power to
SUSC# unmount
R278,Mount R280

1 1

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
PCI7412-IEEE1394 1A
Date: Monday, September 11, 2006 Sheet 22 of 39
A B C D E

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

CN12 +5V +5V


D D
U36B U38
C10 A_CAD31 1 17 A_VCC 1 24
CAD31 A_CAD30 A_CAD0 GND1 SKTA/VCC1 5V_0 5V_2
CAD30 A10 2 SKTAAD0/D3 SKTA/VCC2 51 2 5V_1 NC_3 23
F11 A_CAD29 A_CAD1 3 TPS_DATA 3 22
CAD29 SKTAAD1/D4 (22) TPS_DATA DATA NC_2
E11 A_CAD28 A_CAD3 4 18 AVPP TPS_CLOCK 4 21
CAD28 SKTAD3/D5 SKTA/VPP1 (22) TPS_CLOCK CLOCK SHDN#
C11 A_CAD27 A_CAD5 5 52 TPS_LATCH 5 20 C204
CAD27 SKTAD5/D6 SKTA/VPP2 (22) TPS_LATCH LATCH 12V_1
B13 A_CAD26 A_CAD7 6 6 19
CAD26 A_CAD25 A_CC/BE0# SKTAAD7/D7 T142 NC_0 BVPP/BVCORE .1U-10V_4
CAD25 C13 7 -SKTACBE0/CE1# 7 12V_0 BVCC1 18 U36D
A14 A_CAD24 A_CAD9 8 AVPP 8 17
CAD24 A_CAD23 A_CAD11 SKTAAD9/A10 AVPP/AVCORE BVCC0 +3V
CAD23 B14 9 SKTABAD11/OE# A_VCC 9 AVCC0 NC_1 16 VCC33_00 J6
B15 A_CAD22 A_CAD12 10 69 10 15 K1 L6
CAD22 A_CAD21 A_CAD14 SKTAAD12/A11 GND5 AVCC1 OC# 1.5V_00 VCC33_01
CAD21 E14 11 SKTAAD14/A9 GND6 70 11 GND 3.3VIN0 14 +3V K19 1.5V_01 VCC33_02 P6
A_CAD20 A_CC/BE1#

NC
CAD20 A16 12 -SKTACBE1/A8 GND7 71 (15,21,22) PCIRST# 12 RESET# 3.3VIN1 13 1394_AVDD U19 VDDPLL33 VCC33_03 P8
D19 A_CAD19 A_CPAR 13 72 P10
CAD19 A_CAD18 A_CPERR# SKTAPAR/A13 GND8 TPS2220APWP VCC33_04
E17 14 L14

25

Power/GND
CAD18 A_CAD17 A_CGNT# -SKTAPERR/A14 VCC33_05
CAD17 F15 15 -SKTAGNT/WE# H6 GND_00 VCC33_06 J14
H19 A_CAD16 A_CINT# 16 TPS_CLOCK K6 F14
CAD16 A_CAD15 -SKTAINT/RDY GND_01 VCC33_07
CAD15 J17 N6 GND_02 VCC33_08 F12
J15 A_CAD14 UPPER PIN P7 F9
CAD14 A_CAD13 A_CCLK1 GND_03 VCC33_09
CAD13 J18 19 SKTAPCLK/A16 P9 GND_04 VCC33_10 F6
K15 A_CAD12 A_CIRDY# 20 R240 M14
CAD12 A_CAD11 A_CC/BE2# -SKTAIRDY/A15 *47K_4 GND_05
CAD11 K17 21 -SKTACBE2/A12 K14 GND_06 AVDD33_00 P13
K18 A_CAD10 A_CAD18 22 G14 P14 1394_AVDD
CAD10 A_CAD9 A_CAD20 SKTAAD18/A7 GND_07 AVDD33_01
CAD09 L15 23 SKTAAD20/A6 F13 GND_08 AVDD33_02 U15
L18 A_CAD8 A_CAD21 24 F10
CAD08 A_CAD7 A_CAD22 SKTAAD21/A5 GND_09 +3V
CAD07 L19 25 SKTAAD22/A4 F7 GND_10 VCCP_00 P1
M17 A_CAD6 A_CAD23 26 W8
CardBus Interface

CAD06 A_CAD5 A_CAD24 SKTAAD23/A3 VCCP_01


CAD05 M18 27 SKTAAD24/A2
N19 A_CAD4 A_CAD25 28 PCI7412
CAD04 A_CAD3 A_CAD26 SKTAAD25/A1
CAD03 M15 29 SKTAAD26/A0
C
N17 A_CAD2 A_CAD27 30 C
CAD02 A_CAD1 A_CAD29 SKTAAD27/D0 +5V
CAD01 N18 31 SKTAAD29/D1
P19 A_CAD0 A_CRSVD/D2 32 AVPP
CAD00 A_CCLKRUN# SKTARSVD/D2 +3V
33 -SKTACLKRUN/WP
E13 A_CC/BE3# 34
CCBE3 A_CC/BE2# GND2
CCBE2 E18
H18 A_CC/BE1# 35
CCBE1 A_CC/BE0# A_CCD1# GND3 C252 C254 C247 C510 C224 C221 C523 C212 C518
CCBE0 L17 36 -SKTACD1/CD1#
A_CAD2 37
A_CRSVD/D2 A_CAD4 SKTAAD2/D11 .1U-10V_4 .01U-16V_4 .1U-10V_4 10U-10V_8 .1U-10V_4 .1U-10V_4 10U-10V_8 .01U-16V_4 .01U-16V_4
RSVD_04/D2 B10 38 SKTAD4/D12
N15 A_CCD1# A_CAD6 39
CCD1#/CD1# A_CCD2# A_RSVD/D14 SKTAAD6/D13
CCD2#/CD2# B11 40 SKTARSVD/D14
A_CAD8 41
A_CVS1# A_CAD10 SKTAAD8/D15
CVS1/VS1# A13 42 SKTAAD10/CE2#
C15 A_CRST# A_CVS1# 43
CRST# A_CBLOCK# A_CAD13 -SKTAVS1/VS1#
CBLOCK# H15 44 SKTAAD13/IORD#
C14 A_CREQ# A_CAD15 45
CREQ#/INPACK# A_CSERR# A_CAD16 SKTAAD15/IOWR#
CSERR#/WAIT# C12 46 SKTAAD16/A17
F19 A_CDEVSEL# A_CRSVD/A18 47
CDEVSEL# A_CFRAME# A_CBLOCK# -SKTRSVD/A18
CFRAME# E19 48 -SKTALOCK/A19
G17 A_CGNT# A_CSTOP# 49
CGRANT# A_CINT# A_CDEVSEL# -SKTASTOP/A20 A_VCC A_VCC +3V
CINT# E12 50 -SKTADEVSEL/A21
B16 A_CVS2#
CVS2/VS2# A_CPERR#
CPERR# G19 LOWER PIN
A_CCLK1

G18 A_CSTOP# A_CTRDY# 53


CSTOP# A_CIRDY# A_CFRAME# -SKTATRDY/A22
CIRDY F17 54 -SKTAFRAME/A23
G15 A_CTRDY# A_CAD17 55
CTRDY# A_CAD19 SKTAAD17/A24 C226 C509 C511 C243 C253 C256 C244 C223 C210 C211
56 SKTAAD19/A25
H17 A_CRSVD/A18 A_CVS2# 57
RSVD_02/A18 A_RSVD/D14 R233 A_CRST# -SKTAVS2VS2# .01U-16V_4 .01U-16V_4 .1U-10V_4 .1U-10V_4 10U-10V_8 .01U-16V_4 10U-10V_8 .1U-10V_4 1U-16V_6 1U-16V_6
RSVD_01/D14 M19 58 -SKTARST/RESET
33/F_4 A_VCC A_CSERR# 59
A_CCLKRUN# A_CREQ# 0SKTASERR/WAIT#
B
CCLKRUN# A11 60 -SKTAREQ/INPACK#
B

H14 A_CPAR A_CC/BE3# 61


CPAR A_CAUDIO -SKTACBE3/REG#
VCCCA_01 A15 62 SKTAAUDIO/BVD2
J19 A_CSTSCHG 63
VCCCA_00 A_CSTSCHG A_CAD28 -SKTASTSCHG/BVD1
CSTSCHG A12 64 SKTAAD28/D8
B12 A_CAUDIO A_CAD30 65
CAUDIO A_CCLK A_CAD31 SKTAAD30/D9
CCLK F18 66 SKTAAD31/D10
A_CCD2# 67 -SKTACD2/CD2#
68 GND4
PCI7412

CARDBUS SLOT
SANTA_130601-5

A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
PCI7412-PCMCIA 1A
Date: Friday, September 08, 2006 Sheet 23 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


A B C D E

4 4

U36C +3V
F2
SC_OC#
G5
SC_PWR_CTRL R1677 10K_4 VCC_XD
G6
SC_VCC5 SD_CMD/M_ALE/SC_GPIO2
C5
SD_CMD/M_ALE/SC_GPIO2 SD_CLE/SM_RE#/SC_GPIO1 R1678 10K_4
A4
SD_CLK/SM_RE#/SC_GPIO1 SM_CLE/SC_GPIO0
B4
SM_CLE/SC_GPIO0 SM_R/B#/SC_RFU T67
D1
SM_R/B#/SC_RFU SM_PHYS_WP#/SC_FCB T68
E3
SM_PHYS_WP#/SC_FCB
E2
SC_CLK
FlashMedia Interface

F5 R1684 *0_4 +3V


SC_RST CARDCLK (5)
E1
SC_DATA Y4
F1 CLK48M 3 4
CLK_48 OUT VDD
F3 2 1 C474
SC_CD# SD_CD# GND OE
E9
SD_CD# MS_CD# TXC-48MHz-30PPM-15Pf .01U-16V_4
A8
MS_CD# -SMCD T70
SM_CD#
B8 B2A: Change CN26, modify pin define and update
XD_CD#/SM_PHYS_WP#
A3 XS_CD#/SM_PHYS_WP# 48MHz Clock part for MS pro issue fixed
VCC_XD
C8 MC_PWR_CTRL_0# 4 IN 1 CARD READER(SD/MMC/MS/MS Pro)
MC_PWR_CTRL_0 MS_PWR_CTRL_1/SM_R/B# R198 10K_4
F8
MC_PWR_CTRL_1/SM_R/B# MS_BS/SD_CMD/SM_WE# R202 10K_4
E8
MS_BS/SD_CMD/SM_WE# MS_CLK/SD_CLK/SM_EL_WP# VCC_XD VCC_XD
A7
MS_CLK/SD_CLK/SM_EL_WP#
3 B7 MS_SDIO(DAT0)/SD_DAT0/SM_D0 3
MS_SDIO(DATA0)/SD_DATA0/SM_D0 MS_DAT1/SD_DAT1/SM_D1
C7
MS_DATA1/SD_DATA1_SM_D1 MS_DAT2/SD_DAT2/SM_D2 CN26
A6
MS_DATA2/SD_DATA2_SM_D2 MS_DAT3/SD_DAT3/SM_D3
B6 1 36
MS_DATA3/SD_DATA3_SM_D3 R1685 SD_CD# GND GND R460 0_4 SD_WP/SM_CE#
2 35
SD_WP/SM_CE# R196 10K_4 *0_4 SD_CD_SW SD_WP_SW
E7 3 34
SD_WP/SM_CE# MS_CLK/SD_CLK/SM_EL_WP# MS_VCC XD_VCC SD_DAT3/SM_D7/SC_GPIO3
4 33
SD_DAT0/SM_D4/SC_GPIO6 MS_DAT3/SD_DAT3/SM_D3 MS_SCLK XD_D7 SD_DAT2/SM_D6/SC_GPIO4
C6 5 32
SD_DAT0/SM_D4/SC_GPIO6 SD_DAT1/SM_D5/SC_GPIO5 MS_CD# MS_DATA3 XD_D6 SD_DAT1/SM_D5/SC_GPIO5
A5 6 31
SD_DAT1/SM_D5/SC_GPIO5 SD_DAT2/SM_D6/SC_GPIO4 Q37 2N7002 MS_DAT2/SD_DAT2/SM_D2 MS_INS XD_D5 SD_DAT0/SM_D4/SC_GPIO6
B5 7 30
SD_DAT2/SM_D6/SC_GPIO4 SD_DAT3/SM_D7/SC_GPIO3 MS_SDIO(DAT0)/SD_DAT0/SM_D0 MS_DATA2 XD_D4 MS_DAT3/SD_DAT3/SM_D3
E6 8 29
SD_DAT3/SM_D7/SC_GPIO3 MS_DAT2/SD_DAT2/SM_D2 MS_DAT1/SD_DAT1/SM_D1 MS_DATA0 XD_D3 MS_DAT2/SD_DAT2/SM_D2
3 1 9
MS_DATA1 XD_D2
28
MS_BS/SD_CMD/SM_WE# 10 27 MS_DAT1/SD_DAT1/SM_D1
PCI7412 MS_BS XD_D1
11 26 MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT3/SD_DAT3/SM_D3 SD_DAT2 XD_D0 MS_CLK/SD_CLK/SM_EL_WP#
12 25

2
MS_BS/SD_CMD/SM_WE# SD_DAT3 XD_WP MS_BS/SD_CMD/SM_WE#
13 24
SD_CMD XD_WE SD_CMD/M_ALE/SC_GPIO2
14 23
SD_VCC XD_ALE

2
MS_CLK/SD_CLK/SM_EL_WP# 15 22 SM_CLE/SC_GPIO0
MS_SDIO(DAT0)/SD_DAT0/SM_D0 SD_CLK XD_CLE SD_WP/SM_CE#
16 21
MS_DAT1/SD_DAT1/SM_D1 SD_DAT0 XD_CE SD_CLE/SM_RE#/SC_GPIO1
3 1 17 20
XS_CD#/SM_PHYS_WP# SD_DAT1 XD_RE MS_PWR_CTRL_1/SM_R/B#
18 19
XD-CD XD-R/B
37 38
GND GND
Q38
+5V
R1687 10K_4 R012-300-NR
2N7002
VCC_XD R1686 *0_4
3

2 2
Q39
C566 C568 C564 C567 SD_CD# 2N7002
2
.1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4 R1682 VCC_XD
*10_4
1

C653 R459
*10P-50V_4 C563 *150K_6
*2.2U-6.3V_6
+3V
VCC_XD
0605- EMI suggest
U43
2 8
IN1 OUT3
3 IN2 OUT2 7
R461 6 CLOSE CONN
10K_4 OUT1
4 EN#
MC_PWR_CTRL_0#
1
9
GND
5
D3B: unmount R459
GND-C OC#
TPS2061DGNR C565

+3V 10U-10V_8

1 C569 1

.1U-10V_4

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
PCI7412-CARD READER 1A
Date: Friday, September 08, 2006 Sheet 24 of 39
A B C D E

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

TOP
BOT

HOLE38 HOLE1 HOLE32 HOLE27 HOLE29 HOLE26 AUDIO HOLE8


D *H-C276D98P2 *H-C276D98P2 *H-C276D98P2 *H-C276D98P2 H-C236D122P2 H-C236D122P2 H-TC236BC276D122I162P2 TPM D
1

1
HOLE3 HOLE2 HOLE10
*H-C276D98P2 *H-C276D98P2 *H-C276D98P2 HOLE28 HOLE22 MODEN HOLE25 NB
H-C236D122P2 H-C236D122P2 H-C236D122P2
1

1
HOLE18 HOLE15 HOLE4 HOLE7
*H-C276D98P2 *H-C276D98P2 *H-C59D59N *H-C59D59N HOLE21 HOLE30 BT HOLE31 FAN
H-C236D110P2 H-C236D110P2 H-C276D122P2

C C
1

1
HOLE20 HOLE19 HOLE16 HOLE11 HOLE17
*H-C276D98P2 *H-C276D98P2 *H-C276D98P2 *H-C276D98I138P2 *H-C276D98I138P2 HOLE35 HOLE34 HOLE33
HOLE5 HOLE12 H-C236D122P2 H-C236D122P2 H-C236D122P2
MP@H-TC197BC270D122P2 MP@H-TC197BC270D122P2
CPU
MINI CARD
1

1
1

1
HOLE39
*H-C236D94P2
HOLE36 *H-C276D98P2-4-ZH5
B B

4 3
1

5 2
1

HOLE37 *H-TC197BC276D98P2-4

4 3

5 2
1

A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
HOLE C

Date: Tuesday, September 12, 2006 Sheet 25 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+3V +5V
CN5
PDD[15..0]
PDD[15..0] (14) +3V

2
IDERST# R83
PDD7 1 2 PDD8 Q8 DTC144EU 10K_4
PDD6 3 4 PDD9
PDD5 5 6 PDD10
PDD4 7 8 PDD11 PLTRST# R84 33_4 IDERST#
9 10 (15,16,20,21,29,30,31,32,33) PLTRST# 1 3
D PDD3 PDD12 R99 R102 D
PATA HDD 11 12
PDD2 PDD13 PA@8.2K_4 PA@4.7K_4
PDD1 13 14 PDD14
PDD0 15 16 PDD15 R82 *PA@10K_4 PDD7
17 18
PDDREQ 19 20 PIORDY
(14) PDDREQ 21 22
PDIOW# IRQ14
(14) PDIOW# 23 24
PDIOR# R79 *PA@10K_4 -PDIAG R81 *5.6K_4 PDDREQ
(14) PDIOR# 25 26 +5V
PIORDY PSEL
(14) PIORDY 27 28
PDDACK#
(14) PDDACK# 29 30
IRQ14
(14) IRQ14 31 32
PDA1 -PDIAG R80 PA@470_4 PSEL
(14) PDA1 33 34
PDA0 PDA2
(14) PDA0 35 36 PDA2 (14)
PDCS1# PDCS3#
(14) PDCS1# 37 38 PDCS3# (14)
HDDLED#
(31) HDDLED# 39 40
HDD5V HDD5V REV:C MODIFY
41 42
43 44 R88 0_8 HDD5V +3.3VSATA +3.3VSATA +3.3VSATA
+5V

+
A1 C81 C76 C74 C73 C78 C72 C80 C79 C75
A1
A2 A2 SATA_TXP0 (14)
A3 150U-6.3V_7343 4.7U-6.3V_8 .1U-16V_4 .1U-16V_4 .01U-16V_4 .01U-16V_4 *SA@4.7U-6.3V_8 *SA@4.7U-6.3V_8 *SA@.1U-10V_4
A3 SATA_TXN0 (14)
A4 A4
C A5 A5 SATA_RXN0 (14) C
A6 A6 SATA_RXP0 (14)
A7 A7

R85 *SA@0_8 Uninstall the power of


A8 +3.3VSATA +3V
SATA HDD
A8
A9
+3.3VSATA(R85,C75,C79,C80)the SATA power is
A9 using 5V-- Edison_0628.
A10 A10
A11 A11
A12 A12
A13 A13
A14 HDD5V
A14
A15 A15
A16 A16
A17 A17
A18 A18
A19 A19
A20 A1A:Add for HDD protect(G-sensor)
A20 +3VSUS +3VSUS
A21 A21
A22 +3VSUS
A22
REV:B MODIFY FOOTPRINT to
C171
HDD-127043FR022G204ZL-RVS-66P-R

4
2
C180
B GS@.1U-10V_4 RP36 B
GS@4.7U-10V_8 C179 GS@4.7K_4P2R_S
U29 GS@.1U-10V_4 Q29
T60 GS@2N7002

2
1 14

3
1
GND DNC
2 VDD IO Vdd 13
3 12 KXP84_SCL 3 1 KXP84_SDA
(16,30) HIGHT_G_INT MOT SCL/SCLK (30) G_MBDATA
4 11 KXP84_SDA
(16,30) LOW_G_INT FF SDA/SDO
5 10 ADDR0
X Output ADDR0/SDI KXP84_CS#
6 Z Output CS# 9
7 8 RST_KXP84_R R161 *GS@0_4
Y Output RESET RST_KXP84 (30)
+3VSUS
C175 C170 C168
GS@KXP84-2050
GS@.022U-16V_4

GS@.022U-16V_4

GS@.022U-16V_4

Q30
+3VSUS GS@2N7002

2
+3VSUS +3VSUS

R167 R164 C648 3 1 KXP84_SCL


(30) G_MBCLK
GS@10K_4 GS@10K_4 GS@1U-16V_6
RST_KXP84_R
ADDR0 KXP84_CS# 1
R163
A R166 Bandwidth control D33 R1650 A
*GS@10K_4
*GS@10K_4 GS@10K_4
GS@BAS316 PROJECT : ZH5
2

I2C/SPI mode selection (1


Slave Address = 001100X = 0x19 = I2C mode, 0 = SPI mode) Quanta Computer Inc.
Size Document Number Rev
SATA/PATA HDD /G SENSOR C
Date: Friday, September 08, 2006 Sheet 26 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


1 2 3 4 5 6 7 8

CODEC(ALC268) LINE IN
R382 2.2K_4
+5V_AVDD MIC1-VREFO-R MIC1-VREFO-R
Option of External Volume
Control or Standby R383 2.2K_4
Mode/De-Pop R351 MIC1-VREFO-L
C633 C450 C412 ALC268-GPIO ALC883@0_4 Docking MIC
MIC3-VREFO (28)
A SYSTEM MIC A
.1U-10V_4 .1U-10V_4 10U-10V_8 MIC2-VREFO INT MIC
MIC2-VREFO (28)
+5V_AVDD SYS_MIC1-R C471 1U-16V_6
LINEINR_SYS (28)
R374 10K_4 MIC1-VREFO-L SYS_MIC1-L C470 1U-16V_6
LINEINL_SYS (28)
ADOGND
SENSEB +5V_AVDD Docking Microphone
C641 C640
C452 10U-10V_8 Input for
(28) HP_OUT-L
*470P-50V_4 *470P-50V_4 SENSEB ALC883--Edison_0628
(28) HP_OUT-R Docking
ADOGND Microphone R405
Input for

36

35

34

33

32

31

30

29

28

27

26

25
U27 ADOGND
ALC883@39.2K/F_4
ALC268
ALC883

LINE2-VREFO

MIC2-VREFO
FRONT-L

DCVOL

LINE1-VREFO-L

MIC1-VREFO-L

AVSS1

AVDD1
VREF
Sense B
FRONT-R

MIC1-VREFO-R
---Edison_0628

3
Docking LINE_IN Q36
ALC883@2N7002
37 24 LINE1-R C466 1U-16V_6 LINE1IN-R L48 BK1608LL121_6 LINEINR_PR Docking MIC
LINE1-VREFO-R LINE1-R LINEINR_PR (33)
2
38 23 LINE1-L C465 1U-16V_6 LINE1IN-L L47 BK1608LL121_6 LINEINL_PR PR_MIC_IN (33)
+5V_AVDD AVDD2 LINE1-L LINEINL_PR (33)

(28) FRONT-L FRONT-L 39 22 SYS_MIC1-R C642 C643 C473 C477 R568


SURR-L MIC1-R 100K_4

1
R370 20K/F_4 40 21 SYS_MIC1-L *.1U-10V_4 *.1U-10V_4 .1U-10V_4 .1U-10V_4
JDREF/NC ALC883(ALC268) MIC1-L
(28) FRONT-R FRONT-R 41 20
SURR-R CD-R MIC3-DOCKR-2 (28)
B ADOGND ADOGND B
42 19 C463 .1U-10V_4 ADOGND Docking MIC R352
AVSS2 CD-GND *ALC268@0_4 ADOGND
@For ALC268
43 18 ALC268-GPIO PR_MIC_IN
SURR-VREFO-L CD-L MIC3-DOCKL-2 (28)
ADOGND
44 17 MIC2-INTR
SURR-VREFO-R MIC2-R MIC2-INTR (28)
INT MIC
45 16 MIC2-INTL SENSEA
MIC2-VREFO-R MIC2-L MIC2-INTL (28)
+5V_ADO
46 15 MIC3-DOCKR
LINE2-VREFO-R LINE2-R MIC3-DOCKR (28)
Docking MIC Docking Microphone
47 14 MIC3-DOCKL @For ALC883
SPDIFI/EAPD LINE2-L MIC3-DOCKL (28) Input for R569
ALC883--Edison_0628
SDATA-OUT
(28,33) SPDIF_OUT SPDIF_OUT 48 13 SENSEA R381 20K/F_4 5.1K_6/F
SPDIFO Sense A SYS_MIC1_JD (28)

SDATA-IN

PCBEEP
RESET#
BIT-CLK
DVDD1

DVDD2
DVSS1

DVSS2
GPIO0

GPIO1

5
SYNC
+3V Q35 U39 SYSTEM HEADPHONE
2N7002
2 HPPLG
HPPLG (28)
2 HPS 4
1

10

11

12
C441 C447 C443 +3V R373 10K_4 1 HPSENCE_PR
U28 HPSENCE_PR (33)
.1U-10V_4 .1U-10V_4 10U-10V_8 +3V TC7SH86FU Docking HEADPHONE

5
1 PCMSPK SN74AHC1G32DCKR R435 R449
PCMSPK (22)

3
C458 1U-16V_6 R379 10K_6 BEEP 4 100K_4 100K_4
2 ACZ_SPKR
ACZ_SPKR (16)
883_AMP_MUTE#
(28) 883_AMP_MUTE#

3
C459 R380 ADOGND
C
Tied at one point only under the 100P-50V_6 5.1K_6/F C
CODEC-RESET#

ADOGND
ACZ_SDOUT_AUDIO

CODEC-BITCLK

ACZ_SDIN0

ACZ_SYNC_AUDIO

codec or near the codec PR_INSERT_3V

CODEC-RESET#

ACZ_SYNC_AUDIO
For MDC Module +3VSUS
A1A 7/20 change
R377 0_4 +3V_S5 to
ACZ_RST#_AUDIO (14)
CODEC-BITCLK +3VSUS
+3V
ACZ_SYNC_AUDIO (14)
MDC
C455 C453 C448 CN10 C493
ACZ_SDIN0 (14) 1 2
*22P-50V_4 *22P-50V_4 *22P-50V_4 GND RSV .1U-10V_4
(14) ACZ_SDOUT_AUDIO_MDC 3 4
R371 22_4 AC_SDO RSV
BIT_CLK_AUDIO (14) 5 6
GND 3.3V
(14) ACZ_SYNC_AUDIO_MDC 7 8
R418 33_4 AC_SYNC GND
ACZ_SDOUT_AUDIO (14) (14) ACZ_SDIN1 9 10
R1643 AC_SDI GND
(14) ACZ_RST#_AUDIO_MDC 11 12 BIT_CLK_AUDIO_MDC (14)
AC_RST# AC_BCLK
10K_4 MDC R419
PR_INSERT_3V R372 0_6 R450 0_4 C485
3

R1646 0_6 R369 0_4 *10P-50V_4 *22_4

R385 0_4 C486


(16,20,33) DOCKIN# 2
ADOGND *10P-50V_4
Q33 ADOGND
2N7002
D D
1

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
CODEC ALC883 & MDC 1A

Date: Friday, September 08, 2006 Sheet 27 of 39


1 2 3 4 5 6 7 8

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+5V R400 0_8 +5V_ADO


L58
+5V_AVDD
DOCK HEADPHONE
R384 0_8
*BK2125HS330_8
C532 C589 C536 C535 HPL R1674 47_4 L56 BK1608LL121_6 SPKL_SYS
SPKL_SYS (33)
.1U-10V_4 10U-10V_8 .1U-10V_4 10U-10V_8
Package 0805 for THD+N Speaker & Hear-Phone Amplifier C638
performance for Vista Logo
D D
ADOGND U30 MAX9789A For Speaker 470P-50V_4
requirements.
C460 1 2 1U/16V_8 SPK_IN-L 3 6 INSPKL+
(27) FRONT-L SPKR_INL OUTL+
C461 1 2 1U/16V_8 SPK_IN-R 2 7 INSPKL- ADOGND
(27) FRONT-R SPKR_INR MAX9789A OUTL-
C478 1 2 1U/16V_8 R570 0_4 HP_IN-L 27 20 INSPKR+ HPR R1675 47_4 L57 BK1608LL121_6 SPKR_SYS
(27)
(27)
HP_OUT-L
HP_OUT-R
C454 1 2 1U/16V_8 R571 0_4 HP_IN-R 26
HP_INL
HP_INR
TQFN OUTR+
OUTR-
19 INSPKR-
SPKR_SYS (33)

32PIN

1
C591 C592 C593 C594 C520 1U/10V 24 16 HPL
SPKR_EN# BIAS HPL HPR C639
ADOGND 23 15
HP_EN SPKR_EN# HPR
22

2
*47P/50V *47P/50V *47P/50V *47P/50V ADO_MUTE# HP_EN 470P-50V_4
25 8 +5V_ADO
+5V_ADO GAIN1 MUTE# PVDD_8
31 18
GAIN2 GAIN1 PVDD_18
32
GAIN2 Layout Note:
ADOGND ADOGND C525 C524
17
The C525 close pin18, ADOGND
+5V_ADO HPVDD
9 1U/10V 1U/10V The C524 close pin8.
C595 R434 CPVDD
5
10K_4 C522 1U-16V_6 PGND_5
10 21
.1U-10V_4 C451 C521 C1P PGND_21 ADOGND
12
10U-10V_8 1U/10V C1N
ADOGND 11 28
CPGND GND_28

LDO_SET
LDO_EN
HP_EN 14 ADOGND
HP_EN PVSS
ADOGND ADOGND 13 29 A-LDO R358 0_6

VDD
CPVSS LDO_OUT +5V_AVDD
C SPKR_EN# C
SPKR_EN#
Layout Note: C472 C413
The C521 close pin9, 1U-16V_6 MIC

30

1
1U/10V Add A-LDO
R406 C596 The C451 close pin17. R566 0_4 R564 *10K_4
0_4 .1U-10V_4 ADOGND option
+5V
resistor
R567 ADOGND ---Edison_0628 R388 2.2K_4
(27) MIC2-VREFO
C533 C526 C527 0_4 CN8
C468 1U-16V_6 INT_MIC2
(27) MIC2-INTL 1
1U/10V 1U/10V .1U-10V_4
C469 1U-16V_6 2
+3V (27) MIC2-INTR
+5V_ADO C475 INT_MIC
+5V_ADO ADOGND
C650 .022U-16V_4 ADOGND 22P-50V_4
R572
*10K_4 ADOGND
5

1
U48 B2A: Unmount R563, Mount R565
2 ADOGND
(30) AMP_MUTE#
4 ADO_MUTE# R469 R563
1 10K_4 *10K_4
(27) 883_AMP_MUTE#
The Placement close to U27(ALC883/ALC268)
2

2
TC7SH08FU GAIN1
3

GAIN2
R375 Docking Microphone Input for ALC883 ---Edison_0628
2

*10K_4
B ADOGND R468 R565 B
*10K_4 10K_4 GAIN1 GAIN2 GAIN R399 ALC883@2.2K_4
(27) MIC3-VREFO
R1681 *0_4 0 0 6dB
ADOGND C476 ALC883@1U-16V_6
(27) MIC3-DOCKL PR_MIC (33)
1

0 1 10dB C590 ALC883@1U-16V_6


C3A: mount U48,C650 unmount R1681 (27) MIC3-DOCKR
ADOGND
1 0 15.6dB
1 1 21.6dB

Docking Microphone Input for ALC268 ---Edison_0628


AUDIO BOARD SPEAKER CONNECTOR +5V_AVDD R359 *ALC268@10K_4

C462 *ALC268@1U-16V_6
(27) MIC3-DOCKL-2 PR_MIC (33)
C464 *ALC268@1U-16V_6
+5V_ADO (27) MIC3-DOCKR-2

CN11
HPR 2 1
HPL 2 1 CN9
4 3
4 3
6 5 SPDIF_OUT SPDIF_OUT (27,33)
INSPKR+ L43 BK1608LL121_6 INSPKR+N
LINEINR_SYS 6 5 L42 BK1608LL121_6 4 R338 0_6
(27) LINEINR_SYS 8 7 SYS_MIC1_JD INSPKR- INSPKR-N
A
LINEINL_SYS 8 7 SYS_MIC1_JD (27) L41 BK1608LL121_6 36 R339 0_6 A
(27) LINEINL_SYS 10 9 HPPLG INSPKL+ INSPKL+N
10 9 HPPLG (27) INSPKL- L40 BK1608LL121_6 INSPKL-N 25 C416 .1U-10V_4
12 11
12 11 1 C402 1000P-50V_4
AUDIO_BOARD_MB C403 C410 C415 C417 R_L_SPEAKERS
PROJECT : ZH5
47P-50V_4
ADOGND ADOGND
47P-50V_4 47P-50V_4 47P-50V_4 Quanta Computer Inc.
Size Document Number Rev
ADOGND ADOGND
AUDIO AMP & SPEAK/LIN OUT 1A

Date: Friday, September 08, 2006 Sheet 28 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

SIO +3V

C13 C10 C339

.1U-16V_4 .1U-16V_4 10U-6.3V_8

18

17

11

32

45
U11

1
D D

NC

NC

NC

NC

VDD

VDD

VDD
C7 *10P R8 *22 PCI_CLK_SIO 42 15
(14,30,31) LAD0/FWH0 LAD0 GPIO00
STRAP PINS
(14,30,31) LAD1/FWH1 46 LAD1 GPIO01 16
NC==>164E
(14,30,31) LAD2/FWH2 51 LAD2 GPIO02 19 PD==>2E2F
+3VSUS +3V 53 23
(14,30,31) LAD3/FWH3 LAD3 GPIO20
PCI_CLK_SIO 33 20 MDTR1# R37 *10K_4
(5) PCI_CLK_SIO LCLK NS PC87383 GPIO03
R32 R19 22 21
(14) LDRQ#0 LDRQ/XOR_OUT GPIO04
*10K_6 10K_6
(14,30,31) LFRAME#/FWH4 38 LFRAME GPIO05 40
MRTS1# R301 *10K_4
Q1 35 7
(15,16,20,21,26,30,31,32,33) PLTRST# LRESET GPIO06
*MMBT3904 MTXD1 R302 *10K_4
2

(16,21,22,30,31) SERIRQ 36 SERIRQ GPIO07 41

(16,22,31) LPC_PD# 1 3 29 LPCPD/GPIO21


R28 0_4 CLKRUN_1# 27
(16,21,22,30,31) CLKRUN# CLKRUN/GPO22
58 SIO_14M
+5V CLKIN SIO_14M (5)
R294 4.7K_4P2R_S
C 3 4 PD0 INIT# 56 C
(33) INIT# INIT
1 2 PD1 8 IRRX R300
ERROR# IRRX1
(33) ERROR# 54 ERR 22
9 IRTX
RN1 4.7K_4P2R_S BUSY IRTX
(33) BUSY 26 BUSY_WAIT
3 4 PD2 10 IRSEL
PD4 AFD# IRRX2_IRSL0/GPIO17 C326
1 2 (33) AFD# 57 AFD_DSTRB/TRIS
10P
ACK# 28
(33) ACK# ACK/GPO24
RN2 4.7K_4P2R_S
3 4 PD5 STRB# 14
(33) STRB# STB_WRITE/TEST
1 2 PD6
SLIN# 55 3
(33) SLIN# SLIN_ASTRB CTS1/GPIO11 MCTS1# (33)
SLCT 24 59
(33) SLCT SLCT DCD1/GPIO16 MDCD1# (33)
R38 4.7K_4 PD3
PE 25 60 IRRX R39 10K_4
(33) PE PE DSR1/GPIO15 MDSR1# (33) +3V
R26 4.7K_4 PD7
PD7 30 62 MRTS1#
PD7/PGIO23 RTS1/GPIO13 MRTS1# (33)
R35 4.7K_4 SLCT
PD6 34 61
PD6 SIN1/GPIO14 MRXD1 (33)
R295 4.7K_4 ERROR#
PD5 37 63 MTXD1
PD5 SOUT1/GPIO12 MTXD1 (33)
R296 4.7K_4 SLIN#
PD4 39 5
PD4 RI1/GPIO10 MRI1 (33)
R33 4.7K_4 PE
PD3 6 4 MDTR1#
PD3 DTR1_BOUT1/BADDR MDTR1# (33)
R298 4.7K_4 INIT#
PD2 43
B R299 4.7K_4 AFD# PD2 B
PD1 50
R307 4.7K_4 STRB# PD1
PD0 52

VCORF
R27 4.7K_4 ACK# PD0
(33) PD[0..7]

VSS

VSS

VSS

NC

NC

NC

NC
R29 4.7K_4 BUSY
PC87383

12

31

44

13

47

48

49

64
C344

.1U-16V_4

8/29 change trace to 30 mil

U5
IRTX 3 1 IR_LEDA R447 2_1206
TXD LEDA +3V
IRRX 4
IRSEL RXD R1625 *5.6_1206
A 5 SD A
LEDC 2
R451 47_8 IR_VCC 6
+3V VCC C537
C539 C538 7 8
VLOGIC GND 10U-6.3V_8
10U-6.3V_8 .1U-16V_4 TFBS6614-TR3
PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
Custom 1A
SIO (87383)
Date: Friday, September 08, 2006 Sheet 29 of 39
5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

LDRQ#(pin 8) internal is no use

VCCRTC +3VPCU

C378 C384 C380 C376 C67

R317 10U-10V_8 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4


0_4
+3VPCU

R326 Should have a 0.1uF capacitor close to every


+3VPCU +3VPCU GND-VCC pair + one larger cap on the supply.
U20

591_AVCC
MBCLK 6 1 C374 0_4 C385 C377 +3VPCU
D
MBDATA SCL A0 .1U-10V_4 .1U-10V_4 *.1U-10V_4 I/O Address D
5 SDA A1 2
3 C373 BADDR1-0 Index Data ENV1 R333 10K_4
A2 0 0 2E 2F
.1U-10V_4 +3V
7 8 0 1 4E 4F
WP VCC C66 1 0 (HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1 BADDR0 R332 *10K_4
4
GND

123
136
157
166

161
.1U-10V_4 1 1 Reserved

16

34
45

95
24LC08 U2
BADDR1 R331 *10K_4

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VDD

AVCC

VBAT
+3V
SHBM R330 10K_4

+3VPCU SERIRQ 7 81 TEMP_MBAT SHBM=1: Enable shared memory with host BIOS
(16,21,22,29,31) SERIRQ SERIRQ AD0 TEMP_MBAT (38)
8 82 TEMP_ABAT T38 R327 A1B 8/29 pop R327 +3VPCU
LFRAME#/FWH4 LDRQ AD1 10K_4
(14,29,31) LFRAME#/FWH4 9 83
R323 LAD0/FWH0 LFRAME AD2 T37 MBCLK R320 4.7K_4
(14,29,31) LAD0/FWH0 15 84
LAD1/FWH1 LAD0 Host interface AD3 WIRELESS_SW#
(14,29,31) LAD1/FWH1 14 87 WIRELESS_SW# (31)
470K_4 LAD2/FWH2 LAD1 IOPE0AD4 BLUETOOTH_SW# MBDATA R319 4.7K_4
(14,29,31) LAD2/FWH2 13 88 BLUETOOTH_SW# (31)
LAD3/FWH3 LAD2 IOPE1/AD5 SUSC#
(14,29,31) LAD3/FWH3 10 89 SUSC# (16,22)
PCLK_591 PCLK_591 LAD3 AD Input IOPE2/AD6 HWPG +3V
(5) PCLK_591 18 90
LCLK IOPE3/AD7
19 93
KBSMI# LREST DP/AD8
(16) KBSMI# 2 1 22 SMI DN/AD9
94
D1 BAS316 23 WIRELESS_SW# R328 4.7K_4
R324 PWUREQ CC-SET
99 CC-SET (38)
DA0 CV-SET BLUETOOTH_SW# R329 4.7K_4
*22_4 100 CV-SET (38)
SCI# DA output DA1 CONTRAST
(16) SCI# 2 1 31 101 CONTRAST (18)
D2 BAS316 IOPD3/ECSCI DA2 VFAN
102 VFAN (6)
DA3
GATEA20 2 1 5 32 HIGHT_G_INT
(14) GATEA20 GA20/IOPB5 IOPA0/PWM0 HIGHT_G_INT (16,26)
D17 BAS316 6 33 LOW_G_INT +3VPCU
RCIN# KBRST/IOPB6 IOPA1/PWM1 CCD_POWERON# LOW_G_INT (16,26)
C381 2 1 36
(14) RCIN# IOPA2/PWM2 CCD_POWERON# (18)
*10P-50V_4 D18 BAS316 PWM 37 G_MBCLK R1679 *4.7K_4
or PORTA IOPA3/PWM3 AMP_MUTE# (28)
MX0 71 38 BT1#
(31) MX0 KBSIN0 IOPA4/PWM4 EMAIL_BTN# (31)
MX1 72 39 BT2# G_MBDATA R1680 *4.7K_4
(31) MX1 KBSIN1 IOPA5/PWM5 WWW_BTN# (31)
MX2 73 40 BT3#
(31) MX2 MX3 KBSIN2 IOPA6/PWM6 BT4# P1_BTN# (31)
(31) MX3 74 43 P2_BTN# (31)
KBSIN3 IOPA7/PWM7
(31) MX4
MX4 77
KBSIN4
modify F:
MX5 78 153 DETECT_CRT
(31) MX5 KBSIN5 IOPB0/URXD DETECT_CRT (19)
C MX6 79 154 TX_551 C
(31) MX6 KBSIN6 IOPB1/UTXD T384
MX7 80 Key matrix scan 162 RST_KXP84
(31) MX7 KBSIN7 IOPB2/USCLK MBCLK RST_KXP84 (26)
163 MBCLK (6,38)
MY0 PORTB IOPB3/SCL1 MBDATA
(31) MY0 49 164 MBDATA (6,38)
MY1 KBSOUT0 IOPB4/SDA1 PLTRST#
(31) MY1 50 165 PLTRST# (15,16,20,21,26,29,31,32,33)
MY2 KBSOUT1 IOPB7/RING/PFAIL
(31) MY2 51
MY3 KBSOUT2 EC_FPBACK#
(31) MY3 52 168 EC_FPBACK# (18)
MY4 KBSOUT3 IOPC0 G_MBCLK
(31) MY4 53 169 G_MBCLK (26)
MY5 KBSOUT4 IOPC1/SCL2 G_MBDATA D16 BAS316
(31) MY5 56 170 G_MBDATA (26)
MY6 KBSOUT5 IOPC2/SDA2
(31) MY6 57 171 1 2 DNBSWON# (16)
MY7 KBSOUT6 PORTC IOPC3/TA1 FANSIG
(31) MY7 58 172 FANSIG (6)
MY8 KBSOUT7 IOPC4/TB1/EXWINT22 BUZZER
(31) MY8 59 175
MY9 KBSOUT8 IOPC5/TA2 LID591#
(31) MY9 60 176 LID591# (16,18,38)
MY10 KBSOUT9 IOPC6/TB2/EXWINT23 PWROK_1 R321 0_4
(31) MY10 61 1 PWROK_EC (3,16)
MY11 KBSOUT10 IOPC7/CLKOUT
(31) MY11 64
MY12 KBSOUT11 HOLD# T108
(31) MY12 65 26
MY13 KBSOUT12 PORTD-1 IOPD0/RI1/EXWINT20 ACIN
(31) MY13 66 29 ACIN (38)
+5V MY14 KBSOUT13 IOPD1/RI2/EXWINT21 591_PME# T107
(31) MY14 67 30
MY15 KBSOUT14 IOPD2/EXWINT24
(31) MY15 68
KBSOUT15 NBSWON#
2 NBSWON# (31,38)
IOPE4/SWIN SUSB#
105 44 SUSB# (16,22)
TINT IOPE5/EXWINT40
8
6
4
2

106 PORTE 24 LPCPD# T106 If Pin 24 is not pull-high,


RP8 TCK IOPE6/LPCPD/EXWIN45 CLKRUN#
107 25 CLKRUN# (16,21,22,29,31) System will not able to
TDO JTAG debug port IOPE7/CLKRUN/EXWINT46
108
4.7K_8P4R TDI ENV0 boot.
109 124
TMS IOPH0/A0/ENV0 ENV1
125
7
5
3
1

IOPH1/A1/ENV1 BADDR0
(33) MSCLK 110 126
PSCLK1/IOPF0 IOPH2/A2/BADDR0 BADDR1
(33) MSDATA 111 127
PSDAT1/IOPF1 IOPH3/A3/BADDR1 TRIS
(33) KPCLK 114 128
PSCLK2/IOPF2 PORTH IOPH4/A4/TRIS SHBM
(33) KPDATA 115 131
TBCLK PSDAT2/IOPF3 PS2 interface IOPH5/A5/SHBM A6
(31) TBCLK 116 132
TBDATA PSCLK3/IOPF4 IOPH6/A6 A7
(31) TBDATA 117 133 U22
CAPSLED# PSDAT3/IOPF5 IOPH7/A7 ENV0 D0
(31) CAPSLED# 118 21 25
NUMLED# PSCLK4/IOPF6 D0 ENV1 A0 D0 D1
(31) NUMLED# 119 138 20 26
PSDAT4/IOPF7 IOPI0/D0 D1 BADDR0 A1 D1 D2
139 19 27
IOPI1/D1 D2 BADDR1 A2 D2 D3
140 18 28
IOPI2/D2 D3 TRIS A3 D3 D4
141 17 32
591_32KX1 PORTI IOPI3/D3 D4 SHBM A4 D4 D5
B
158 32KX1/32KCLKOUT IOPI4/D4 144 16 A5 D5 33 B
145 D5 A6 15 34 D6
R322 20M_6 591_32KX2 IOPI5/D5 D6 A7 A6 D6 D7
160 146 14 35
32KX2 IOPI6/D6 D7 A8 A7 D7
147 8
IOPI7/D7 A9 A8 VCC1_PWROK
7 A9 RESET#/NC 10
R318 62K/F_6 A1A 7/8 change 121K to 62K 150 RD# A10 36 12
IOPJ0/RD A10 RY/BY#/NC T109
PORTJ-1 151 WR# A11 6 29
IOPJ1/WR0 A11 NC1
1
2

A12 5 38 +3VPCU
Y3 A13 A12 NC2
152 4 11
32.768KHZ SELIO A14 A13 NC3
3
A15 A14 +3VPCU
62 41 2 31
CHANGED FROM PR_INSERT# (31) PWRLED#
PR_STS 63
IOPJ2/BST0 IOPD4
42 CELL-SET T111 A16 1
A15 VCC
30 R325
4
3

(33) PR_STS IOPJ3/BST1 IOPD5 A16 VCC


69 PORTD-2 54 D/C# A17 40 *10K_4
(21) USBON# IOPJ4/BST2 IOPD6 D/C# (38) A17
70 PORTJ-2 55 BL/C# A18 13
(31) SUSLED# IOPJ5/PFS IOPD7 BL/C# (38) A18
C379 C375 75 A19 37
(31) BATLED0# IOPJ6/PLI A8 A19 VCC1_PWROK
(31) BATLED1# 76 143 23
10P-50V_4 10P-50V_4 IOPJ7/BRKL_RSTO IOPK0/A8 A9 CS# GND
142 22 39
IOPK1/A9 CE# GND

1
148 135 A10 RD# 24 C383
(21) RF_EN IOPM0/D8 IOPK2/A10 OE#
BT_POWERON# 149 PORTK 134 A11 WR# 9 .1U-10V_4
(21) BT_POWERON# IOPM1/D9 IOPK3/A11 WE#
155 130 A12

2
(16) RSMRST# LAN_ON IOPM2/D10 PORTM IOPK4/A12 A13
(35) LAN_ON 156 129
VRON IOPM3/D11 IOPK5/A13/BE0 A14 ST Micro M29W008AB/AMD-29LV081B/SST39VF080
(34,37) VRON 3 121
MAINON IOPM4/D12 IOPK6/A14/BE1 A15
(33,35,36,37) MAINON 4 IOPM5/D13 IOPK7/A15/CBRD 120
SUSON 27
(33,35,36) SUSON IOPM6/D14
S5_ON 28 113 A16
(35) S5_ON IOPM7/D15 IOPL0/A16 A17
112
CS# PORTL IOPL1/A17 A18
173 SEL0 IOPL2/A18 104
174 103 A19
SEL1 IOPL3/A19
47 48
CLK IOPL4/WR1
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

+5V_S5
NC10
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9

A1A add HWPG ckt BIU configuration should match flash speed used
PC97551 B1B:Reversed for G sensor buzzer
17
35
46
122
159
167
137

96

11
12
20
21
85
86
91
92
97
98

HWPG_CPUIO 1 2 HWPG
(37) HWPG_CPUIO
A D19 MTW355 A
C382 BZ1
HWPG_SYS 1 2 FOR 97551 ONLY
(35) HWPG_SYS
D22 MTW355 1U-16V_6 1
1
HWPG_DDR 1 2
(36) HWPG_DDR
D20 MTW355 R1644 GS@0_6
2 2
1V5_PGD 1 2
(37) 1V5_PGD
D21 MTW355 GS@KCVG084B16_BUZZER
PROJECT : ZH5
3

BUZZER R1642 GS@330_4


2 Q32 C637

GS@MMBT3904 GS@.022U-16V_4 Quanta Computer Inc.


1

Size Document Number Rev


EC Controller PC97551&FLASH 1A

Date: Friday, September 08, 2006 Sheet 30 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

+3VPCU
INT K/B REVB P/N&FT CHANGE
CN3 +5V
RP9 CA1 220P-50V_8P4C CA2 220P-50V_8P4C T/P
MY15 10 1 MY0 MY2 1 2 1 2 MY7 B2A: Update Footprint
(30) MY15 1
MY14 MY0 MY4 9 2 MY1 MY3 3 4 3 4 MY6
(30) MY14 2
MY13 MY1 MY5 8 3 MY3 MY1 5 6 5 6 MY5 R73 R72
(30) MY13 3
MY12 MY2 MY6 7 4 MY2 MY0 7 8 7 8 MY4 10K_4 10K_4 L14 BLM21P300S +TPVDD
(30) MY12 4 +5V
MY11 MY3 MY7 6 5
(30) MY11 5
MY10 MY4 C71 .1U-16V_4 CN6
(30) MY10 6
MY9 MY5 10K_10P8R
(30) MY9 7 1
MY8 MY6 CA4 220P-50V_8P4C CA5 220P-50V_8P4C
(30) MY8 8 2
MY7 MY7 RP10 MY12 1 2 1 2 MX3 L16 LZA10-2ACB104MT TPDATA_R
(30) MY7 9 (30) TBDATA 3
MY6 MY8 10 1 MY8 MY15 3 4 3 4 MX2 L15 LZA10-2ACB104MT TPCLK_R
(30) MY6 10 (30) TBCLK 4
MY5 MY9 MY12 9 2 MY9 MY14 5 6 5 6 MX1
(30) MY5 11 5
D MY4 MY10 MY15 8 3 MY11 MY13 7 8 7 8 MX0 D
(30) MY4 12 6
MY3 MY11 MY14 7 4 MY10 A1B 8/8 solve short
(30) MY3 13
MX7 MY12 MY13 6 5 ACES_88058-0601
(30) MX7 14
MX6 MY13
(30) MX6 15
MY2 MY14 10K_10P8R CA3 220P-50V_8P4C CA6 220P-50V_8P4C
(30) MY2 16
MX5 MY15 MY10 1 2 1 2 MX4
(30) MX5 17
MX4 MX0 RP11 MY11 3 4 3 4 MX5
(30) MX4 18
MX3 MX1 10 1 MX3 MY9 5 6 5 6 MX6
(30) MX3 19
MX2 MX2 MX7 9 2 MX2 MY8 7 8 7 8 MX7
(30) MX2 20
MY1 MX3 MX6 8 3 MX1
(30) MY1 21
MY0 MX4 MX5 7 4 MX0
(30) MY0 22
MX1 MX5 MX4 6 5
(30) MX1 23
MX0 MX6
(30) MX0 24 MX7 10K_10P8R
25
ACS_88264-2501

A1A define keyboard matrix as same as ZH1


SW BOARD WL/BT
TPM
SW1
B2A: Update Footprint WIRELESS_SW# 2 1
(30) WIRELESS_SW#
SW_NTC003_DB1G_C180T
3 WLAN_BUTTON
4

CN19
LPC_PD# LAD0/FWH0 LAD0/FWH0 (14,29,30)
(16,22,29) LPC_PD# 1 2
SW2 SERIRQ
CN2 (16,21,22,29,30) SERIRQ 3 4
BLUETOOTH_SW# 2 1 +3V +3V
(30) BLUETOOTH_SW# 5 6
C +3V 12 3 BT_BUTTON LAD1/FWH1 LAD1/FWH1 (14,29,30) C
12 SW_NTC003_DB1G_C180T 7 8 LFRAME#/FWH4
11 11 4 +3VSUS 9 10 LFRAME#/FWH4 (14,29,30)
10 10 11 12
9 PCLK_TPM PCLK_TPM (5)
9 13 14
(16) EMAIL_LED# 8 8 15 16
WWW_BTN# 7 PLTRST# LAD2/FWH2 LAD2/FWH2 (14,29,30)
(30) WWW_BTN# 7 (15,16,20,21,26,29,30,32,33) PLTRST# 17 18
EMAIL_BTN# 6 CLKRUN# LAD3/FWH3
(30) EMAIL_BTN# 6 (16,21,22,29,30) CLKRUN# 19 20 LAD3/FWH3 (14,29,30)
P1_BTN# 5 LED4 LED_Y_LTST-C190KFKT
(30) P1_BTN# 5
P2_BTN# 4 R137 330_4 1 2 *ACES 88018-204L
(30) P2_BTN# 4 WIRELESS_LED (21)
3 3
2 LED5 LED_B_LTST-C190TBKT
2 R100 330_4
1 1 1 2 BT_LED (21)

SW_BOARD_MB
PCLK_TPM R1634 *22_4 C623 *10P-50V_4

POWER LED/BATT LED +3V SW3


NBSWON# 3 2
(30,38) NBSWON#
LED2 LED_G_LTST-C190KGKT 1 4
2 1 R1648 330_4 5
NUMLED# (30)
*MISAKI_SWITCH 6
LED1
B R1649 330_4 B
2 1 CAPSLED# (30)
LED_G_LTST-C190KGKT
modify D
EMI P9 P10 P11 P12
1 1 1 1
+3VPCU

EMIPAD142X91 EMIPAD142X91 EMIPAD142X91 EMIPAD142X91


LED6 LED_DUAL_LIGHT
R111 330_4
SUSLED# (30)
VIN
R157 150_4 VA
PWRLED# (30)
+3V +5V +3V P1 P2 P3
EMIPAD158X87 EMIPAD158X87 EMIPAD158X87

C632 C574 C575 C576 C577 C578 C579 C580 C581


R2 R1 R3
10K_4 10K_4 330_4 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6 .1U_50V_6

1
2

+3V LED3 P4 P5 P6 P7 P8
LED7 LED_DUAL_LIGHT +1.8VSUS EMIPAD158X87 EMIPAD158X87 EMIPAD158X87 EMIPAD158X87 EMIPAD158X87
R175 330_4 LED_G_LTST-C190KGKT
BATLED1# (30)
5

(26) HDDLED# 1
R165 150_4 4 IDE_LED
BATLED0# (30)
1

2 C624 C625 C626 C627


(14) SATA_LED#

1
U1
3

TC7SH08FU .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4


A A
ADOGND

+0.9VSUS +0.9V

PROJECT : ZH5
C628 C629 C630 C631

.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 Quanta Computer Inc.


Size Document Number Rev
SWITCH,LED,KB,TP,TPM1.2,EMI PAD 1A

Date: Friday, September 08, 2006 Sheet 31 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

SDVOB_R+
(9) SDVOB_R+
SDVOB_R-
(9) SDVOB_R-
SDVOB_G+
(9) SDVOB_G+
SDVOB_G-
(9) SDVOB_G-
DVI_AVDD
SDVOB_B+ C54 .1U-10V_4
(9) SDVOB_B+
SDVOB_B- INT- 1 2
(9) SDVOB_B-
D D
+2.5V R304 4.7K_4 SDVO_CTRLCLK SDVOB_CLK+
(9) SDVOB_CLK+ PEG_RXN1 (9)
SDVOB_CLK-
(9) SDVOB_CLK- PEG_RXP1 (9)
C53 .1U-10V_4
+2.5V R303 4.7K_4 SDVO_CTRLDATA INT+ 1 2

DVI_AVDD

PULL LOW FOR DVO NOT PRESENT(INTERNAL PULLLOW IN 945GM)

+2.5V 250mA

48
47
46
45
44
43
42
41
40
39
38
37
R306 R305 U15
+3V 190mA 10K_4 *100K_4

AVDD3

AGND3

AVDD2

AGND2
SDVOB_CLK-

SDVOB_B-

SDVOB_G-

SDVOB_R-
SDVOB_CLK+

SDVOB_B+

SDVOB_G+

SDVOB_R+
+2.5V 1 2 1 2

L8 L10
BLM11A601S_6 BLM11A601S_6
+3V 1 2 DVI_AVDD_PLL 1 36 DVI_AVDD 1 2 +2.5V
AVDD_PLL AVDD1
(15,16,20,21,26,29,30,31,33) PLTRST# 2 RESET* RSV 35
1

1
3 34 C36 C55 C46 C349
C337 C338 AS BSCAN INT-
(9) SDVO_CTRLCLK 4 SPC SDVOB_INT- 33
.1U-10V_4 10U-10V_8 5 32 INT+ .1U-10V_4 .1U-10V_4 .1U-10V_4 10U-10V_8
(9) SDVO_CTRLDATA
2

2
SPD SDVOB_INT+
6 AGND_PLL AGND1 31
C 7 DGND1 DGND2 30 C
T103 DVODATA 8 29
SD_PROM HPDET TMDS_HPD (33)
T102 DVOCLK 9 28 DVI_DVDD
L13 SC_PROM DVDD2 PROM2
(33) TMDS_DDCDATA 10 SD_DDC PROM2 27
BLM11A601S_6 11 26 PROM1
(33) TMDS_DDCCLK SC_DDC PROM1
+2.5V 1 2 DVI_DVDD 12 25
DVDD1 VSWING

2
TGND1

TGND2
TVDD1

TVDD2
TDC0*

TDC1*

TDC2*
1

TDC0

TDC1

TDC2
C336 C52 R52

TLC*
TLC
C367 1.2K_4
.1U-10V_4 .1U-10V_4 10U-10V_8
2

CH7307C-DEF

13
14
15
16
17
18
19
20
21
22
23
24

1
L7
BLM11A601S_6
DVI_TVDD 1 2 +3V

1
C34 C48
C347
.1U-10V_4 .1U-10V_4 10U-10V_8

2
DVI_CLK-
(33) DVI_CLK- DVI_CLK+
(33) DVI_CLK+
DVI_TX0-
(33) DVI_TX0- DVI_TX0+
(33) DVI_TX0+
DVI_TX1-
(33) DVI_TX1- DVI_TX1+
B B
(33) DVI_TX1+
DVI_TX2-
(33) DVI_TX2- DVI_TX2+
(33) DVI_TX2+

DVI_CLK-
1

FOR CH7312 HDCP USE C644

*.1U-10V_4
2

7312 DVI_CLK+

+2.5V PN +2.5V DVI_TX0-


1

C645

*.1U-10V_4
2

U18 DVI_TX0+
4 R63 *0_4
GND DVI_TX1-
8 VCC E# 7 1 2
1

3 C646
A2 PROM1 C26
2 A1 D 5
A 1 6 PROM2 .1U-10V_4 *.1U-10V_4 A
2

A0 C DVI_TX1+

*CH9901 DVI_TX2-
PROJECT : ZH5
1

C647
R51 *10K_4
+2.5V 2 1 PROM1 *.1U-10V_4 Quanta Computer Inc.
2

DVI_TX2+
R62 *10K_4 Size Document Number Rev
2 1 PROM2 DOCK DVI CH7307C 1A

Date: Monday, September 11, 2006 Sheet 32 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

L34
CN18-4 CN18-3 BLM18BA220SN1_6 CN18-2 CN18-1
CRTHSYNC PR_CRTHSYNC 78
(19) HSYNC_EZ4 CRT_HS
100MBPS# 8 100 CRTVSYNC L33 PR_CRTVSYNC 79 64
(20) 100MBPS# LANLED_LINK GND100 (19) VSYNC_EZ4 CRT_VS DVI_HPD TMDS_HPD (32)
ACT# 31 101 TV_COMP DDCCLK_1 BLM18BA220SN1_6 81 98 DVI_CLK-
(20) ACT# LANLED_ACT TV_COMPS TV_Y/G TV_COMP (9) (19) DDCCLK_1 DDCDAT_1 CRT_DDCK DVI_CLK- DVI_CLK+ DVI_CLK- (32)
33 GND33 TV_LUMA 102 TV_Y/G (9) (19) DDCDAT_1 80 CRT_DDCDT DVI_CLK+ 97 DVI_CLK+ (32)
103 TV_C/R 105 99 R312
SUSON_PR TV_CRMA TV_C/R (9) PR_RED GND105 GND99 DVI_TX0-
55 104 L37 BLM18BA220SN1_6 106 94 100K_4
SUSON GND104 (19) VGA_RED_PR VGA_R DVI_D0- DVI_TX0- (32)
MAINON_PR 56 L36 BLM18BA220SN1_6 PR_GRN 107 95 DVI_TX0+
MAINON (19) VGA_GRN_PR VGA_G DVI_D0+ DVI_TX0+ (32)
D DOCKPRG 85 9 STRB# L35 BLM18BA220SN1_6 PR_BLU 108 96 D
BRG_PWROK STRB# PD0 STRB# (29) (19) VGA_BLU_PR VGA_B GND96 DVI_TX1-
PD0 11 109 GND109 DVI_D1- 91 DVI_TX1- (32)
KPCLK 52 13 PD1 117 92 DVI_TX1+
(30) KPCLK PS2KBCK PD1 GND117 DVI_D1+ DVI_TX1+ (32)
KPDATA 51 15 PD2 119 93
(30) KPDATA PS2KBDT PD2 (5) CLK_PCIE_EZ1 PCIE1_CLK+ GND93
MSCLK 54 17 PD3 120 61 DVI_TX2-
(30) MSCLK PS2MSCK PD3 (5) CLK_PCIE_EZ1# PCIE1_CLK- DVI_D2- DVI_TX2- (32)
MSDATA 53 18 PD4 118 62 DVI_TX2+
(30) MSDATA PS2MSDT PD4 PD5 PD[0..7] (29) GND118 DVI_D2+ DVI_TX2+ (32)
PD5 19 (15) PCIE_TXP4 115 PCIE1_TP GND63 63
MDSR1# 48 20 PD6 116
(29) MDSR1# MRTS1# DSR# PD6 PD7 (15) PCIE_TXN4 PCIE1_TN DVI_DDCCLK
(29) MRTS1# 46 RTS# PD7 21 114 GND114 DVI_DDCCK 67
MCTS1# 44 24 PE 111 65 DVI_DDCDATA
(29) MCTS1# CTS# PE PE (29) (15) PCIE_RXP4 PCIE1_RP DVI_DDCDT
MRI1 42 10 AFD# 112 66
(29) MRI1 MDCD1# RI AFD# ERROR# AFD# (29)
A1B 9/2 follow ZB1 define (15) PCIE_RXN4 PCIE1_RN GND66
(29) MDCD1# 49 DCD# ERROR# 12 ERROR# (29) 113 GND113
MRXD1 47 14 INIT# 29 37 TX3P_PR
(29) MRXD1 RXD# INIT# INIT# (29) (5) CLK_PCIE_EZ2 PCIE2_CLK+ TX3P TX3P_PR (20)
MTXD1 45 16 SLIN# 30 38 TX3N_PR
(29) MTXD1 TXD# SLIN# SLIN# (29) (5) CLK_PCIE_EZ2# PCIE2_CLK- TX3N TX3N_PR (20)
MDTR1# 43 22 ACK# 27 39
(29) MDTR1# DTR# ACK# ACK# (29) GND27 GND39
50 23 BUSY 59 34 TX2P_PR
GND50 BUSY BUSY (29) (15) PCIE_TXP5 PCIE2_TP TX2P TX2P_PR (20)
25 SLCT 60 35 TX2N_PR
SLCT SLCT (29) (15) PCIE_TXN5 PCIE2_TN TX2N TX2N_PR (20)
(27,28) SPDIF_OUT
41 SPDIF_OUT 28 GND28 GND36 36
72 58 89 4 TX1P_PR
AUDGND1 AGND72 GND58 (15) PCIE_RXP5 PCIE2_RP TX1P TX1P_PR (20)
SPKR_SYS 74 77 90 5 TX1N_PR
(28) SPKR_SYS LINEOUT_R GND77 (15) PCIE_RXN5 PCIE2_RN TX1N TX1N_PR (20)
SPKL_SYS 75 110 88 6
(28) SPKL_SYS LINEOUT_L GND110 GND88 GND6
LINEINR_PR 70 D12 1 2 BAS316 57 1 TX0P_PR
(27) LINEINR_PR LINEIN_R (15,16,20,21,26,29,30,31,32) PLTRST# PCIERST TX0P TX0P_PR (20)
LINEINL_PR 71 32 26 2 TX0N_PR
(27) LINEINL_PR LINEIN_L RESERVE32 PCIEWAKE TX0N TX0N_PR (20)
PR_MIC 73 82 86 3
(28) PR_MIC MICIN RESERVE82 (5,16,21) PDAT_SMB PCIESMBDT GND3
AUDGND1 76 AGND76 +5V (5,16,21) PCLK_SMB 83 PCIESMBCK GND7 7
69 87 68 DOCKIN#
(27) PR_MIC_IN PRMIC_DET (5) EZ_CLKREQ# PCIEREQ# DOCK_IN#
40 C315 84 R297 1K_4
(27) HPSENCE_PR HPSENSE_PR DOCKED#
124 123 .1U-10V_4
C G2 G1 C
GND126 126 VA 122 P2
125 GND125 P1 121 VA
EZ4_Acer_define EZ4_Acer_define
EZ4_Acer_define EZ4_Acer_define
R309 0_4

+3V +5V VA
AUDGND1
+3V_S5
+3V_S5
C305 C306

C365 R40 R311 .1U_50V_6 .1U_50V_6


2.2K_4 10K_4
R314 .1U-10V_4
10K_4 Q16

2
FDV301N
5

DOCKPRG 1 DOCKIN#
4 1 3 DVI_DDCDATA
PR_STS (30) (32) TMDS_DDCDATA
DOCKIN 2
U17
3

TC7SH08FU
3

+3V

7
R313 U19B
Q18 1M/F_6 +5V B: CHANGE TO FDV301N 7W125FU
DOCKIN# 2 2N7002
SUSON_PR 3 5 SUSON (30,35,36)
B B
R310
1

R41 10K_4
2.2K_4 Q15 DOCKIN#
FDV301N

2
1 3 DVI_DDCCLK +3V_S5
(32) TMDS_DDCCLK
PR_CRTHSYNC C331 *10P-50V_4
PR_CRTVSYNC C328 *10P-50V_4 C372
PR_BLU C332 10P-50V_4 +5V

8
PR_GRN C334 10P-50V_4 U19A .1U-10V_4
PR_RED C335 10P-50V_4 7W125FU
CRTHSYNC C320 *10P-50V_4
SPKL_SYS C343 220P-50V_4 CRTVSYNC C317 *10P-50V_4 MAINON_PR 6 2
(16,20,27) DOCKIN# MAINON (30,35,36,37)
SPKR_SYS C346 220P-50V_4
LINEINL_PR C352 220P-50V_4 DDCCLK_1 C318 10P-50V_4 +3V_S5
LINEINR_PR C354 220P-50V_4 DDCDAT_1 C319 10P-50V_4 R315

4
PR_MIC_IN C351 47P-50V_4 TX3P_PR C356 *10P-50V_4 10K_4
PR_MIC C340 47P-50V_4 TX3N_PR C353 *10P-50V_4 A1B 8/18 Change footprint to
100MBPS# C357 1000P-50V_4 TX2P_PR C361 *10P-50V_4 SSOP8-4-65
PR_INSERT_5V (19)
ACT# C362 1000P-50V_4 TX2N_PR C359 *10P-50V_4 R316

3
TV_COMP C348 10P-50V_4 TX1P_PR C366 *10P-50V_4 100K_4
TV_Y/G C345 10P-50V_4 TX1N_PR C363 *10P-50V_4
TV_C/R C342 10P-50V_4 TX0P_PR C369 *10P-50V_4 Q3
TX0N_PR C368 *10P-50V_4 DOCKIN# 2 2N7002

C364
A A

.1U-10V_4 1

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
DOCK EZ4 CONNECTOR 1A

Date: Friday, September 08, 2006 Sheet 33 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

8736VCC +5VPCU

VIN_8736_1 VIN
PL19
HI0805R800R-00_8
PR128

1
PC142 10_6 PC139
PD19 PC132 PC130 PC137 + PC156
2.2U_8 2.2U_8 MTW355 *100U-25V

21

30
D D
.1U_6

2
VCC

VDD
PR133 0_6 8/31 modify
PR145 178K_4 14 25 2 1
OSC BST1
PC141 PC135 PC131

5
PR143 178K/F_6 15 .1U_8 .1U_6 10U/X6S-25V_1210 10U/X6S-25V_1210
TIME .22U_6
9/7 change PC134 PC133
PC146 1000P_4 17 27 4
CCV DH1 2200P_4 2200P_4

1
2
3
PR141 390K_4 16 PQ43 VCC_CORE
ILIM NTMS4707

PC145 .22U_6 19
REF
26 VCC_CORE (4)
LX1
PR137 1.5K/F_4 18 PL18
TRC

1
<Voltage> 0.36uH

1
PC127 PC128 + PC125 + PC126
34 PD17 PR162
(4) H_VID0 D0 *2.7 PL17 470U-2V_7343 470U-2V_7343

2
35 32 4 *0.6UH 0.1U_4 .01U_6
(4) H_VID1

2
D1 DL1 SSM24PT-LF

2
36 PC154
(4) H_VID2

1
2
3
D2 PC143 PQ41
37 NTMS4119 *220p
(4) H_VID3 D3 *100P_4
(4) H_VID4 38
D4
31
PGND
(4) H_VID5 39
D5

(4) H_VID6 40
D6 PR117 1.62K/F_6 +5VPCU VIN_8736_2 VIN
6
CSP1 PR120 NTC 10K_6-B4.25K
C PR157 100K_4 8736EN 4 PC150 .22U_4 PL15 C
(30,37) VRON SHDN
5 PR119 PR116 0_4
CSN1 PC149 3.01K/F_6
PC153 4700P_4 PR130 HI0805R800R-00_8

1
100P_4
*0_4 8736VCC PC138 PC111 PC112 PC118 PC116 PC157
DRSKP# PR138 0_4 33
9/7 change PD18
+
DRSKP

1
28 PU9 2.2U_8 MTW355 .1U_6 PC114

2
PR134 PWM2 .1U_8 PC120 .1U_6 PC115 10U/X6S-25V_1210 *100U-25V

VCC

5
*100K_4
8 PR115 1.62K/F_6 4 10 PR118 PQ38 2200P_4 2200P_4 8/31 modify
CSP2 PR108 NTC 10K_6-B4.25K GND BST 0_4 NTMS4707
PR156 499_4 3 PC152 .22U_4 PC129 4
(9,16) PM_DPRSLPVR DPRSLPVR
7 PR107 PR114 0_4
PR161 CSN2 3.01K/F_6 .22U_6

1
2
3
*100K_4 PR124 5.1K/F_4 5 9 10U/X6S-25V_1210
PC151 DLY DH
4700P_4 VCC_CORE
+3V PR155 0_4 2
(3) PSI# PSI
29
PR160 PWM3 8736EN PR121 *0_4 7 8 VCC_CORE (4)
*100K_4 EN LX PL16

5
PR131 19.1K_4 10 PR159 0_4 PQ39 0.36uH
CSP3 8736VCC

1
DRSKP# PR122 0_4 NTMS4119 PC122 PC121 PC124 PC123

1
PR135 0_4 24 PD16 PR163 + +
(9,16) DELAY_VR_PWRGOOD IMVPOK
9 2 4 *2.7
CSN3 DL 470U-2V_7343

2
PR158 100K_4 PR123 0_4 6 0.1U_4 .01U_6

1
2
3

2
PWM PC136

2
100P_4 PC155
PR154 0_4 1 3 SSM24PT-LF 470U-2V_7343
(5,16) VR_PWRGD_CK410# CLKEN PGND
11 PR152 10_4 *220p
GNDS
PR132 100K_4 PC148
PR153
20 1000P_6 *27.4_4 MAX8552EUB+
PR136 0_4 GND
(3) H_PROCHOT# 22
VR_HOT
B B

PC140 13 PR147 10.5K/F_4


*100P_4 VPS
9/7 change
PR127 1K_4 23 12 PR150 10_4
THRM FBS
MAX8736ETL+ PC147
PU10 PR149
PR129 PC144 1000P_6 *27.4_4
*10K_6 100P_4

VCC_CORE PR126
100_4

(4) VCCSENSE
(4) VSSSENSE

PR125 +3V
100_4

PR139 *100K_4
2 1 H_VID0

PR140 *100K_4
2 1 H_VID1

PR142 *100K_4
2 1 H_VID2

PR144 *100K_4
2 1 H_VID3

PR146 *100K_4
2 1 H_VID4
A A
PR148 *100K_4
2 1 H_VID5

PR151 *100K_4
2 1 H_VID6

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
CPU CORE (MAX8736ETL+) 3A

Date: Friday, September 08, 2006 Sheet 34 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


A B C D E

PC76 PR65 VIN1999 VIN1999-3


*100P_6 *6.81K/F PD1 PR8
2 1 1 2 PL2 N20122PS800
VIN

1
4.7

1
ZD5.6V PC15 + +
PC7 .1U_50V_6 PC13 PC14 PC12 +3VPCU

1
PR64 .1U_50V_6 4.7u/25V_T 4.7u/25V_T .1U_50V_6

2
0 PR14

D1

D1
S2

G2
12K/F

5
4 4
(3) 1999_SHT#

S1/D2
PQ21
Edison 0529 - to SI4914DY

G1
change the PC17

8
value, from 2 1
CH42202K919 to PC17 0.22u_10V 1999LX3 PL8 3R8uH PQ19
+3VPCU
AO4812
CH42202K994.

1
VL 1999DH3

4
PR13
1999DL3 PR60 PC61 +
*2.7 330u/6.3V_7343 PC63 PC64 MAIND SUSD

1
100K/F 10u/10V_8 .1U_50V_6

2
PC9
+3VSUS

1
1u/10V PC72

2
PR2 PC10
47 .1U_50V_6 *.01u/50V PC62

2
.1U_50V_6
1999VCC 17 22
VCC OUT3
REF2V 1 2 REF2V 8 26 VIN1999-5
REF DH3 +3V
PC16 1u/10V
ILIM3 5 27 PL1 N20122PS800
ILIM3 LX3 VIN
PC68

1
ILIM5 11 28 1999BST3 .1U_50V_6
PR73 PR72 ILIM5 BST3
+ +
30.1K/F 18K/F 7 24 PC3 PC2 PC1
FB3 DL3

1
VL 2 .1U_50V_6 4.7u/25V_T 4.7u/25V_T

2
ILIM3 ILIM5 +3VPCU VL 9 6 +5VPCU
FB5 SHDN

D1

D1
S2

G2
3 PD10
PR62 0 3VON 3 20 VIN1999 DAP202U
ON3 V+
1

1
PR74 PR71 PR61 0 5VON 4 18
ON5 LDO5

1
47K/F 47K/F

5
PR66 PC5 PC75

S1/D2
23 10

2
100K GND PRO 4.7u/6.3V_8 .1U_50V_6 PQ18

G1
2
3 1999VCC 12 19 SI4914DY 3
PR12 *0_6 SKIP DL5

8
PR67 0 2 14 1999BST5
(30) HWPG_SYS PGOOD BST5
1 15 1999LX5 PL7 7uH
N.C. LX5 +5VPCU
PQ17
PC8 1u/10V 25 16 1999DH5 AO4812
LDO3 DH5

4
PR11
1999VCC 13 21 PC66 +
TON OUT5 PR57
0
SKIP_SEL PU1 1999DL5 *2.7 330u/6.3V_7343 PC60 PC65 MAIND S5_OND
MAX8734A 10u/10V_8.1U_50V_6

2
3

+5V_S5

PR80 PC67
2 *.01u/50V
+5VPCU
PR63
*100K
0 PC58
3

PQ8 .1U_50V_6
*2N7002
1

MAIND 2
+5V
PQ10
*2N7002 PC59
1

.1U_50V_6
PD9
CHN217

PR68 0 10V-1
PD8 PC6 2
CHN217 1999DL3 PR70 0 VIN +1.8VSUS +3VSUS 10V
3 10V 15V
PR58 0 .1U_50V_6 PR69 0
2 +5VPCU 1 15V
PC4
1999DL3
3

1
PR20 PR16 PR21 PR15
2 2
.1U_50V_6 10V-1 PR59 *0_6 PC77 1M 22_8 22_8 1M
1 10V
1u/10V

2
1

+3VPCU SUSD
PC69 PQ11

3
1u/10V PDTC143TT
2

3
(30,33,36) SUSON 2 2 2 2
PC73 PR17 PC18
A1A 7/8 del 1.5V_S5 1M PQ9 PQ12 PQ7 2200p
8

.1U_50V_6 2N7002 2N7002 2N7002

1
VIN +5V_S5 +3V_S5 10V

PQ20
PR9 PR6 PR1 AO4812-LF
1M PR22 22_8 1M VIN +1.05V +1.5V +2.5V +3V +5V 10V
22_8
1

S5_OND
3V_LAN
3

PR82 PR78 PR76 PR84 PR83 PR77 PR75


PQ6 1M 22_8 22_8 22_8 22_8 22_8 1M
PDTC143TT PC71
3

2 2 2 .1U_50V_6
PR10
MAIND
2 1M PQ13 PQ4 PQ2 PC11 PQ26
+3V_S5
3

3
2N7002 2N7002 2N7002 2200p PDTC143TT
3
1

10V PC74
1

S5_ON (30) VIN .1U_50V_6 2 PR79 2 2 2 2 2 2 PC78


(30,33,36,37) MAINON
1M 2200p

1 PR3 3V_LAN PQ25 PQ23 PQ28 PQ27 PQ24 PQ22 1


1

1M PR5 2N7002 2N7002 2N7002 2N7002 2N7002 2N7002


1

1
1M
PR7
22_8

A1A 7/20 del +1.8V


3

3
3

PR4
1M PROJECT : ZH5
2 2 2
A1A 7/8 Add 3V_LAN Quanta Computer Inc.
PQ1 PQ5 PQ3 PC70
PDTC143TT 2N7002 2N7002 2200p
1

Size Document Number Rev


1

LAN_ON (30) 3B
SYSTEM +5V/+3V(MAX8734A)
Date: Friday, September 08, 2006 Sheet 35 of 39
A B C D E

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


5 4 3 2 1

PL3
VIN
N20122PS800
+1.8VSUS
D PC19 PC21 PC22 D

5
6
7
8
PC81 PQ29 2200p 4.7u/25V_T 4.7u/25V_T
SI4392DY
10u/10V 4
PU4
TPS51116
1 19
VLDOIN DRVH
2 20 PC83 .1u/50V_8 PL9
+0.9V VTT VBST 1R5uH

3
2
1
PC80 PC79 4 18 +1.8VSUS
VTTSNS LL

5
6
7
8
10u/10V 10u/10V 5 17
GND DRVL

1
PQ30 + PC24
3 16 SI4810BDY + PC23 PC86
VTTGND PGND PR88 *470u/2.5V_7343
4
DIS_MODE 6 11 PR85 0 *2.7 470u/2.5V_7343 10u/10V_8
MODE S3 MAINON (30,33,35,37)

2
7 12 PR86 0
+0.9VSUS VTTREF S5 SUSON (30,33,35)
PC85
5VIN 8 14 5VIN
PC82 COMP V5IN PR87 *.01u/50V

3
2
1
0.033uF 9 13 5VIN
PR81 VDDSNS PGOOD

GND
GND
GND
GND
GND
GND
GND
5VIN 10 15 100K
VDDQSET CS
0

21
22
23
24
25
26
27
FOR DDR II PC20 PR23
C C
*1000p_6 12K/F
PR19 0 DIS_MODE
PR24
5VIN
+5VPCU HWPG_DDR (30)

1
0 PC84
+1.8VSUS PR18 *0_6
4.7u/6.3V_8

2
A1A 7/20 del +1.8V

B B

PR101 PU7
(30,33,35,37) MAINON 1 2 1 EN VO 3 +2.5V
GND 7

1
0 6
GND

1
8 PC53 + PC52
GND

ADJ
+3V 2 5
VIN GND .1U_50V_6 10u/10V_8

2
G965_18

4
1

1
PC57 PC56
PR99
2 4.7u/6.3V_8 .1U_50V_6 2 1

2
13K/F

PR100
12K/F

A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
DDRII POWER 1.8VSUS / 0.9VTERM 3B

Date: Friday, September 08, 2006 Sheet 36 of 39


5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


1 2 3 4 5

VIN-1V5
PL13
VIN
DL-1V5 N20122PS800

1
+ +

1
+5VPCU PC106 PC105
4.7u/25V_T 4.7u/25V_T

2
D1

D1
S2

G2
A A1A 7/8 +3VPCU change to +3V A

2
1
PC104 PC109 PR103 PD15
+3V 4.7u/6.3V_8 .1U_50V_6 22 MTW355 PQ37

S1/D2
2
PU8 SI4914DY +1.5V

G1
1
14 VDD V+ 17

8
PR111 VCC-1V5 PR104 PC108
2 1 15 VCC BST 19 2 1
PC107 4.7u/6.3V_8 0
100K 18 1 DH-1V5 .1u/50V_8 DH-1V5
SKIP DH PL14
10 20 LX-1V5
(30) 1V5_PGD PGOOD LX
PR164 0 3 13 DL-1V5 3R8uH
(30,33,35,36) MAINON SHDN DL

1
6 12 PR106
ILIM PGND + PC110 + PC103
PC159 2 9 *2.7
PR109 34K/F N/C N/C_1 470u/2.5V_7343 10u/10V

2
*1u/10V 1714REF-1V5 7 11
REF N/C_2 PC113
VCC-1V5 PR105 *0_6 16 5
PR112 TON OUT *.01u/50V
PC119 8 4 PC117
100K/F AGND FB
1u/10V MAX1714A .1U_50V_6
PR113

8.25K/F

B B

PR110

15K/F

VIN-VCCP
PL6
VIN
DL-VCCP N20122PS800

1
+5VPCU + PC54 + PC55

1
4.7u/25V_T 4.7u/25V_T

2
D1

D1
S2

G2
2
1

PC51 PC50 PR53 PD7

+3V 4.7u/6.3V_8 .1U_50V_6 22 MTW355


2

PU3 PQ36

S1/D2
1
C SI4914DY C
14 17

G1
VDD V+
PR47 0
PR56 2 1 VCC-VCCP 15 19 1 2 PC46 .1u/50V_8

8
PC48 4.7u/6.3V_8 VCC BST DH-VCCP
100K 18 1 DH-VCCP
SKIP DH PL12
PR55 0 10 20 LX-VCCP
(30) HWPG_CPUIO PGOOD LX +1.05V
PR45 0 3 13 DL-VCCP 3R8uH
(30,33,35,36) MAINON SHDN DL

1
6 ILIM PGND 12
PR44 *0_6 PR102 + PC99 + PC98
(30,34) VRON
2 9 *2.7
PR54 20K/F N/C N/C_1 470u/2.5V_7343 10u/10V

2
1714REF-VCCP 7 11
REF N/C_2
VCC-VCCP PR50 *0_6 16 5 PC102
PR51 TON OUT *.01u/50V
PC49 8 4
51.1K/F AGND FB PR48 PC47
1u/10V MAX1714A
2.49K/F .1U_50V_6

PR46

47K/F

D D

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
CHIPSET POWER +1.5V / +VCCP(+1.05V) 3B

Date: Friday, September 08, 2006 Sheet 37 of 39


1 2 3 4 5

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com


8 7 6 5 4 3 2 1

A1B 9/2 change to 88107-2000-20p-ruv


VA

PJ2
D 1 2 D
3 4
5 6

1
PC101 PC100 PC97 PC96 PC160 PC161
7 8

1
9 10 .1U_50V_6 .1U_50V_6 2200p .1U_50V_6 .1U_50V_6 2200p PC32 *.1u/50V
1P

2
11 12 PR98
13 14 0.01_3720_1W PC31 *.1u/50V VA1
2P
15 16 NBSWON#
17 18 NBSWON# (30,31) VH
LID#

2
19 20 LID# (16,18,30)
DC_BORAD(88107-2000) PD14 SBM1040
1

5
6
7
8
R260 150/F_4 VA2 3 PR49
+3V
PD3 2 47K PQ35
VAD MTW355 AO4414
PL11 VAON PR52 4.7K 4
CSSP
CSSN 7/28 MODIFY FOR 3S N20122PS800
PR37

2
PC34
PR90 PC92
+3VPCU
10K 2 1u/25V_X6S 2 1

3
2
1
PR36

+
PC27 .01u/50V PD2 *10K_6 *10K_6 10u/25V_T
3
DA204U
VIN
1 VH
6

PC91 .1U_50V_6 +

4
3
2
1
PC29 PC158
PR32 33 PQ33 470U/25V
.1U_50V_6 PC88 AO4411
PQ31 PU2

27
26

2
IMZ2 MAX8724 .01u/50V

CSSP
CSSN
1

+3VPCU 1 17 PC33 PC37

1
DCIN CELLS

1
1u/10V 1u/10V

5
6
7
8
PC45 28724LDO
PD11 USE DEFAULT 4.2V/CELL LDO PR89
C .1U_50V_6 22 2.7 PR29 C
PD12 RB500 8724LDO PR39 0 DLOV PD4 G1 0.015_3720 PL5 N20122PS800
10 8 D1 1

2
VAD ACIN PJ1
RB500 24 8724BST PL10
BST BAT-V PL4 N20122PS800 MBAT
VIN 7 S1/D2 D1 2 1 2
PR41 *0_6 MTW355 TEMP_MBAT 5
(30) CV-SET 15
PC89 VCTL PC36 G2 10uH-SIL104 CLK 4 6
6 3
3 7

1
PR94 13 25 .1U_50V_6 8724DH PC87 PC26 PC28 PC25 DATA

1P

2P
(30) CC-SET ICTL DHI S2 2
.1U_50V_6 5 4 + + +
47K PC42 PC44 8724LX 1
12 23
REFIN LX PQ32 PR31 PR34 SUYIN_C14448-105A1

2
1000p 1000p 11 21 SI4914DY 10u/25V_T 10u/25V_T 10u/25V_T .01u/50V 330 330
PR91 47K ACOK DLO 8724DL
9 20 PC35 PC38
7/28 MODIFY BY EC REQUEST ICHG PGND 47p 47p
PU5A 28 19 CSIP
IINP CSIP
8

PR43 18 CSIN
LM393 CSIN
3 8724LDO 8
+ SHDN +3VPCU PR30 10K/F
1
2 0 16 BAT-V
- BATT MBDATA (6,30)
PR93 7
CCV 8724REF
4 MBCLK (6,30)
4

REF
3

PR92 10K
(30) TEMP_MBAT

1
6
22K CCI PR35 15.8K/F D3B: the PR35- CS31243F910 change to CS31583F901 , current PD5 PD6
3
D/C# PQ16 CLS limited . PC30 ZD5.6V ZD5.6V
(30) D/C# 2
*2N7002 5

GND
GND
CCS PR33 .01u/50V

2
2
PC90 OSC PR42 PR40 PR38 10K/F PC39
1

14
29
200KHz
220p 7/28 MODIFY BY EC REQUEST 1K 0 0 1u/10V

1
PC43 PC41 PC40

.1U_50V_6 .01u/50V .01u/50V


CURRNT LIMIT POINT = 3.41A
B B

BATTERY LOW = 7.6V

VIN

VIN VL

PR28
PR27
130K/F
+3VPCU 22K
PU5B PQ14 PR96 10K/F
(30) ACIN
+3VPCU 5 DTC144EU
+ VAON
7 1 3
6
- PD13 ZD12V

LM393 PR26 1 2
VAD
PR95
2

220K D/C# 6.8K/F


D/C# (30)
3

PR25 PQ15 PR97


100K/F 2N7002
10K/F
2 BL/C#
BL/C# (30)
1

A A

PROJECT : ZH5
Quanta Computer Inc.
Size Document Number Rev
3A
BATTERY CHARGER & SELECTOR
Date: Friday, September 08, 2006 Sheet 38 of 39
8 7 6 5 4 3 2 1

PDF created with FinePrint pdfFactory Pro trial version http://www.fineprint.com

You might also like