Download as pdf or txt
Download as pdf or txt
You are on page 1of 15

IEEE Access

Improved Numerical-Analytical Thermal Modeling Method of


the PCB with the Calculation of Thermal Radiation and
Components’ Temperature

Journal: IEEE Access

Manuscript ID Access-2021-02956

Manuscript Type: Regular Manuscript

Date Submitted by the


22-Jan-2021
Author:

Complete List of Authors: Zhang, Yabin; Xihua University, School of Electrical Engineering and
Electronic Information

Keywords: <b>Please choose


keywords carefully as they Thermal analysis, Components, packaging, and manufacturing
help us find the most suitable technology, Analytical models
Editor to review</b>:

Subject Category<br>Please
select at least two subject Components, packaging, and manufacturing technology, Electronic
categories that best reflect design automation and methodology
the scope of your manuscript:

Additional Manuscript
Keywords:

For Review Only


Page 1 of 14 IEEE Access


1
2 Date of publication xxxx 00, 0000, date of current version xxxx 00, 0000.
3 Digital Object Identifier 10.1109/ACCESS.2017.Doi Number
4
5
6
7
8
Improved Numerical-Analytical Thermal
9
10
Modeling Method of the PCB with the
11
12
Calculation of Thermal Radiation and
13
14
Components’ Temperature
15
16 Yabin Zhang1
17
1
School of Electrical Engineering and Electronic Information, Xihua University, Chengdu, Sichuan, 610039 P.R.China

18 Corresponding author: Yabin Zhang (e-mail: 1220170062@ mail.xhu.edu.cn).


19 This research was funded by Sichuan Science and Technology Program under Grant 2020YFG0152.
20
21
22 ABSTRACT Based on the numerical-analytical coupling method for steady-state thermal analysis of the
23 laminated PCB structure, the methods for correlating components’ temperature with the temperature of the
24 layer surface in the coupling matrix equation and for calculating the thermal radiation from the PCB surface
25 are introduced. The coupling method is briefly reviewed, including the Fourier-series analytical solution
26 and the finite volume method for calculating the heat-spreading in metal layers. The multigrid strategy is
27 adopted for generating discrete cells of three levels in the metal layer and PCB surface region. Thermal-
28 resistance parameters of the components are used for mathematically connecting the components with the
29 model of the PCB structure. Moreover, an iterative method for linearizing the calculation of thermal
30 radiation is explained, and the temperature-dependent heat transfer coefficient for each surface cell and
31 each case top can be updated during the iteration. A one-layer structure was modeled and the results were
32 compared with COMSOL Multiphysics to testify the iterative method. The PCB of a phantom DC-DC 9V-
33 48V power supply under the boundary condition of the thermal radiation from the top surface at different
34 ambient temperatures was modeled, and the accuracy of the modeling results was approximately derived
35 based on Richardson extrapolation.
36
37
38 INDEX TERMS Thermal Modeling of the PCB, Thermal Radiation, Fourier-series Analytical Solution,
39 Iterative Method, Thermal Resistance of Components
40
41
42 I. INTRODUCTION on the Finite Element Method (FEM). COMSOL, which is
43 Thermal modeling of the PCB has been considered as an also based on the FEM, can perform thermal analysis of the
44 effective way to evaluate the capability of thermal diffusion PCB when the 3D-model is readily built.
45 of the PCB and to estimate components’ temperature [1-11]. A white paper [6] disclosed by Mentor reveals that
46 Historically, some modeling methods of analyzing the behind FloTHERM the algorithm is based on the coverage
47 effective thermal conductivity of the PCB structure were rate of copper and the imperial method according to the
48 investigated, such as the method of deriving the lumped analysis of over 140 PCB products, and such method may
49 thermal conductivity of the PCB [1,2] and the method of have the problem of wrongly estimating the local thermal
50 deriving the discrete thermal conductivity of orthogonal conductivity. The FEM-based software is generally
51 anisotropy (x, y, z direction in Cartesian coordinate) based considered to have higher calculation accuracy, but often
52 on the PCB wiring diagram [3,4]. the structure must be wholly discretized, which may have to
53 On the other hand, FloTHERM from Mentor and Icepak pay the cost of operation efficiency [7].
54 from ANSYS were also developed for PCB thermal- The thermal modeling method introduced in this paper
55 simulation. The former is mainly based on the approximate was first developed based on coupling the numerical
56 equivalent thermal conductivity related to the copper- discretization and Fourier-series analytical solution of
57 coverage rate of the PCB [5,6], whereas the latter is based temperature [8-11]. With such coupling method, the
58
59
VOLUME XX, 2017 1
60

For Review Only


IEEE Access Page 2 of 14


1
2
3 laminated PCB structure is not necessary to be wholly thermal analysis of power devices. In recent years, the classic
4 discretized, but only the metal layer and surface region analytical method has been still applied for thermally
5 where the temperature distribution is expected. The establishing the multi-layer structure models of the SiC
6 electrical-thermal analysis of Joule heating in PCB tracks module [16] and the GaN device [17], as well as the jet-
7 was included too [10]. The precision of the coupling impingement cooling of a microprocessor chip [18].
8 method has been verified through the comparison with Compared to the traditional FEM, higher computational
9 COMSOL [10,11]. In Section II, a brief review of the efficiency of the analytical solution was testified [17,18], and
10 coupling method is given. easier integration with optimization tools for
11 However, the operation efficiency of the modeling microelectronics can be obtained [18].
12 method was influenced by the uniform grid. Hence, the Since the analytical solution is derived from the analysis of
13 multigrid method has been further integrated. So far, the the structure with homogeneous layers [14,15], the Cartesian-
14 three-level multigrid introduced in Section III has been coordinate Finite Volume Method (FVM) was further
15 used for discretizing the metal layer and insulating surface integrated in order to take into account the non-homogeneity
16 region of the PCB. The modeling results under the uniform of the PCB with metal layers and vias [10,11], of which the
17 grid and multigrid are shown in Section VI, with the heat-spreading contribution to the structure cannot be
18 comparison with the COMSOL model. neglected. The integration of the FVM can be also
19 For taking into account components’ coverage and considered as the complement to thermal boundary
20 estimating their junction temperature, the modeling method conditions of the insulating layer in the PCB. Finally, the
21 has been further improved to correlate the thermal- numerical-analytical coupling solution of the temperature
22 resistance parameters of the components with the variables distribution of the PCB surfaces can be approximately
23 of temperature and heat-flux density in the coupling matrix obtained.
24 equations. The corresponding mathematical processing is
25 presented in Section IV. A. ANALYTICAL SOLUTION OF THE INSULATING
26 The Fourier-series analytical solution of temperature was LAYER
mainly derived from the assumed average heat transfer For the insulating layer in the rectangular double-sided
27 PCB, the governed equation for steady-state heat transfer
28 coefficient (HTC) of the PCB surface [10]. But under such
simplified assumption, thermal radiation cannot be directly and its thermal boundary conditions can be expressed as
29 follows:
30 analyzed in the model. An iterative method for linearizing
the calculation of the thermal radiation between the PCB  2T  0
31 (1)
and ambient is analyzed in Section V, and has been  T T
32  x  0,  x  0, x  Lx  y  0,  y  0, y  Ly 
33 integrated within the coupling method. 
34 The PCB of a phantom DC-DC 9V-48V power supply   k T  q ( x, y )  h T  z  0 ,  k T  q ( x, y )  h T  z  L 
was modeled with the improved coupling method. The  i z iu u i
z
id d in

35
36 circuit and PCB were automatically generated by the online in which, T is actually the temperature variation compared
37 tool TI WEBENCH@Power Supply. The three-level with the ambient temperature; Lx, Ly, and Lin are the layer
38 discrete-cell map and the description of the PCB can be lengths in three directions of Cartesian coordinate
found in Section III. Its thermal parameters are listed in respectively; qiu is the distribution of the heat flux
39
Section IV. The modeling results under the boundary transferred to the layer; ki is the thermal conductivity of the
40
condition of thermal radiation from the top surface at layer; hu and hd are the average HTCs of the top and bottom
41
different ambient temperature were given in Section VI. surface respectively. Adiabatic condition is assumed at thin
42 edges of the PCB.
43 The accuracy of the modeling results was also analyzed and
approximately derived based on Richardson extrapolation. The upper double-sided heat transfer equations can be
44 considered as the superposition of two single-side groups:
45 T    
II. BRIEF REVIEW OF THE NUMERICAL-ANALYTICAL
46  2
47
COUPLING METHOD FOR STEADY-STATE THERMAL    0
ANALYSIS OF THE PCB STRUCTURE   
48 Discretizing the whole structure is a shortcoming in   0  x  0, x  Lx  ,  0  y  0, y  Ly 
49  x y (2)
numerical methods [12,13]. Instead, the classic Fourier-series   
50 method [14-18] has immunity to the aspect ratio between the   ki

 qiu ( x, y )  hu  z  0 ,  ki  hd  z  Lin 
51 z z
whole structure and heat sources, which means the structure 
52 
is usually not necessary to be fully discretized and only the  2  0
53 temperature at expected regions has to be solved. 
54   
The Fourier-series analytical solution of the coupling  x  0  x  0, x  Lx  ,  0  y  0, y  Ly 
55 y
method was first derived for developing the analytical 
56   
 ki z   hu  z  0 ,  qid ( x, y )  hd  z  Lin 
thermal-solver ‘DJOSER’ [14,15], which was used for  ki
57 z
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 3 of 14 IEEE Access


1
2
3 The analytical solution of each group can be expressed in dq. Given these coefficients, the analytical solution of any
4 the form of Fourier series: expected position can be expressed in the product form of
  
(3) the array of thermal-resistance kind and the array of surface
5 T  C z C 
1 2 ( n  m  0) 
C cos(   x) cos(   y )( sh( z )  C ch( z ))
n,m n m n ,m  n ,m n,m
 n  0 m 0 heat-flux density as shown in (6).
6    n L ,
 n m  m Ly ,  n ,m   n 2  m 2 Therefore, the analytical solution of the temperature
7
x

in which, βn, μm, and γn,m are the eigenvalues in the series; n distribution on the top and bottom surfaces of the insulating
8 layer can be summarized in the form of matrix equations:
9 and m are the ordinal numbers of the eigenvalues; C1, C2,
Cn,m, and Cγn,m are the coefficients. By substituting (3) in Tu  R ,u ,u qu  R ,u ,d qd
10  (7)
11 the top-side thermal boundary conditions, several T  R q  R q
 d  , d , u u  , d , d d
12 coefficients can be derived:
 1 in which, suffix u refers to the top side, whereas suffix d
13
C2  C1 ( H  Lin ) refers to the bottom side; Rθ refers to the coefficient matrix
14  d
and is related to the array of the heat flux q in the
 H d sh( n ,m Lin )   n , m ch( n ,m Lin )
(4)
15 corresponding product term, which represents as a part of
16 C n ,m  
 H d ch( n , m Lin )   n ,m sh( n ,m Lin ) the thermal contribution of q to the top or bottom surface.
17  hd h For example, Rθ,u,uqu represents the thermal contribution of
18 Hd  k , Hu  u k qu to the top surface.
 i i
19
Next, by substituting the solution into the condition at the
20 B. NUMERICAL-ANALYTICAL MODELING STRATEGY
top surface (z=0) and applying the Fourier integration
21 WITH THE HEAT SPREADING IN METAL LAYERS
method, other coefficients can be derived: Due to the much higher thermal conductivity of copper
22
 d q 2 *( qiu ,1    qiu , N1 ) compared to the insulating material in the PCB, the heat
23 C1  
24  ki Lx Ly [1  H u ( Lin  1 )] spreading through metal layers and vias cannot be neglected.
Hd
 The FVM under Cartesian coordinate was integrated so as to
25  N1

26   2qiu ,i (2   n   m )  cos(  n  x) cos( m  y )dxdy


(5) discretize the metal layer into a number of cells and the heat
 i 1 S qiu ,i
spreading was approximately described through the
27 C
 n, m  
  ch( n , m Lin )  H d sh( n , m Lin ) truncation of Taylor series with 2nd order precision [11,13].
28 ki Lx Ly [ n , m  H u n , m
]
  n , m sh( n , m Lin )  H d ch( n , m Lin ) The temperature relation of a metal cell with its adjacent
29 
30  1, m  0 1, n  0 cells in the east, west, north, and south as well as the possible
 m  0, m  0 ,  n  0, n  0 connected metal cell on the other side of the PCB through a
31 
32  via can be expressed as shown in (8), where dc is the unit
33 in which, qiu,i refers to the approximate uniform heat flux in length of the discrete metal cell; dm is the thickness of the
34 the ith square discrete region with the edge length equal to metal layer; qc,u is the volume generation rate of Joule heat in
35   qiu ,1   qiu ,1 
36     
q  qiu ,2   C '
37

 T ( x , y , z )  C 1 ' 1 1  1  iu ,2   C
    qiu ,1
C q iu ,2
 C q 
iu , N1     
qiu ,1 C 'qiu ,2  C 'qiu , N1 qiu  Ru ( x , y , z ) qiu

38     
  qiu , N   qiu , N 
39  1   1 

40   1 
 1
 C1 ' 1 1
   C 
41   cos( 1  x) cos( 0  y )   1,0,qiu ,1 1,0,qiu ,2
C  C1,0,qiu ,N
1

42     
   
43   
  cos(  Ne  x) cos( 0  y )   CNe ,0,qiu ,1 C Ne ,0,qiu ,2  CNe ,0,qiu ,N1 
44 
45 
 1
  
 Ru ( x , y , z ) = C 'qiu ,1 C 'qiu ,2  C 'qiu ,N   cos(  0  x) cos( 1  y )   C0,1,qiu ,1 C0,1,qiu ,2  C0,1,qiu ,N
 1


    (6)
  
46    

47   cos(  0  x) cos(  Ne  y )   C0, Ne ,qiu ,1 C0, Ne ,qiu ,2  C0, Ne ,qiu ,N 
    1

48       
  cos(  N  x) cos(  N  y )   
49   e e   CNe , Ne ,qiu ,1 CNe , Ne ,qiu ,2  CNe , Ne ,qiu ,N1 
50  1
 d q 2 *( z   Lin )
51  Hd
52 C ' 
 1 k L L [1  H ( L  1 )]
 i x y u in Hd
53 
54  2(2   n   m )  cos(  n  x) cos( m  y )dxdy

55 C
 n ,m ,qiu ,i   ( sh (  z )  C ch ( z ))
SQiu ,i
n,m  n ,m n ,m
 ch( n ,m Lin )  H d sh( n ,m Lin )
56  ki Lx Ly [ n ,m  H u n ,m ]
  n ,m sh( n ,m Lin )  H d ch( n ,m Lin )
57
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 4 of 14


1
2
3 Tc ,u  TE ,u TW ,u  Tc ,u Tc ,u  TN ,u TS ,u  Tc ,u Tc ,u  Tc , dv
km d c d m (    )  qc ,u d c 2 d m  qu ,u d c 2  qd ,u d c 2 (8)
4 dc dc dc dc  Nv , z
5 km d m 1
6  (4Tc ,u  TW ,u  TE ,u  TN ,u  TS ,u )  T  qc ,u d m  qu ,u  qd ,u
dc2  Nv , z d c 2 c ,dv
7
the central cell; qu,u is the heat flux transferred between the ICs [19,20]. Hence, the multigrid method has been further
8
components and the metal layer; qd,u is the net heat flux applied in the test solver to increase the efficiency.
9 In the test solver, a three-level multigrid can be generated
transferred to the bottom insulating layer; ψNv,z is the thermal
10 from the uniform grid. The level-1 grid has the same
resistance through the via. Since the temperature difference
11 density as the layout map, then every group of four adjacent
between the top side and bottom side of a metal cell is
12 discrete metal cells or insulating surface cells are unified as
neglected due to the µm-level thickness, the heat exchange
13 one cell to form the grid of level-2 except the cells of the
between the metal layer and ambient is approximately
14 footprint pad, as well as the cells under the components
calculated by the product term of the HTC in the thermal
15 where the density of heat spreading could be much higher.
condition shown in (1).
16 In the similar way, the cells in the level-3 grid are generated
As similar to the processing of the analytical solution, the
17 by unifying the cells of the level-2 grid.
kind of equations like (8) for all metal cells can also be
18 summarized in the form of matrix equations: Fig. 1 refers to the schematic of the three-level multigrid,
19 in which there are three sizes of cells, defined as S16 (big),
20  M M ,uTM ,u  M V ,d TM ,d  qMJ ,u  qM ,u (9) S4(middle), and S1(small) respectively. Under the three-level
21  multigrid, the calculation for the coefficients given in (6) has
 M M ,d TM ,d  MV ,uTM ,u  qMJ ,d  qM ,d
22 to be modified depending on the actual size of the cells, and
23 in which, TM is the array of temperature of the metal layer; the existence of different-size cells being adjacent also
24 qMJ is the array of the heat flux related to qc and qu; qM is changes some of thermal-conductance coefficients in (8).
25 the array of the heat flux related to qd and represents the
26 density of the heat flux transferred from the metal layer to
27 the laminated insulating region; MM is the coefficient matrix
28 that gathers all the coefficients of temperature variables on
29 one surface in each heat-spreading equation, whereas MV is
30 the coefficient matrix related to the temperature of the via
31 cells on the other side. By combining (9) and the analytical
32 solution of the metal layer like (7), the group of equations
33 for deriving the temperature distribution in the metal layer
34 can be constructed: FIGURE 1. Schematic of the three-level multigrid.

35 TM ,u  R ,Mu ,Mu qM ,u  R ,Mu ,Md qM ,d After generating the multigrid, the program is then
36  searching the surrounding scenario for each metal cell and
37 TM ,d  R ,Md ,Mu qM ,u  R ,Md ,Md qM ,d (10)
 filling the coefficients in the corresponding row of the matrix
38  M M ,uTM ,u  MV ,d TM ,d  qMJ ,u  qM ,u M in (10). First, for each group of S16, S4, or S1 cells, the
39 M T  M T  q
MJ ,d  q M ,d
 M ,d M , d V ,u M ,u
possible scenarios (PS) for each cell surrounded by four cells
40 (1 PS), three cells (4 PS), two cells (6 PS), or one cell (4 PS)
41 in which, suffix Mu refers to the top metal layer, whereas of the same size are searched. Second, as shown in Fig. 2, the
42 Md refers to the bottom metal layer; the unknown array possible scenarios for each S4 cell surrounded by S16 cells
43 variables include TM,u, TM,d, qM,u, and qM,d. are searched, including the PS with only 1 S16 cell (8 PS) or
44 2 S16 cells (4 PS) nearby.
45 III. THREE-LEVEL MULTIGRID
46 A test solver based on the numerical-analytical solution was
47 first developed in MATLAB with the regular grid of
48 uniform density in Cartesian coordinate [10]. The one-level
49 grid was directly generated from the 2D layout map of each
50 PCB layer. The pixel information in the layout map was
51 analyzed and used for generating the uniform grid.
52 However, the uniform grid usually led to a large number of
FIGURE 2. Possible scenarios for S4 (or S1) cells surrounded by S16
53 discrete cells, thus formed a huge burden for computation.
(or S4) cells.
54 With multiple densities in the grid, the multigrid can
55 significantly reduce the number of discrete units and has Third, in the same way the possible scenarios for each S1
56 been widely applied for computational thermal analysis of cell surrounded by S4 cells are searched, including the PS
57 with only 1 S4 cell (8 PS) or 2 S4 cells (4 PS) nearby. In
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 5 of 14 IEEE Access


1
2
3 the last step, as shown in Fig. 3, the possible scenarios for in Fig. 6. The resolution of the layout map is 376 x 476, thus
4 each S1 cell surrounded by S16 cells are searched, the unit length of one pixel is 0.1mm. Under the one-level
including the PS with only 1 S16 cell (16 PS) or 2 S16 cells uniform grid there are totally 142300 and 159568 cells in the
5
(4 PS) nearby. After three rounds of searching, all the PS metal layers on the top and bottom side respectively.
6
for three kinds of metal cells can be found.
7
8
9
10
11
12
13
14
15
16
17 (a) (b)
18 FIGURE 5. Layout maps of the power-supply PCB: (a) Top side, (b)
FIGURE 3. Possible scenarios for S1 cells surrounded by S16 cells.
19 Bottom side.
20
In the same way, the insulating region of the layer
21 surface can also be discretized by the three-level multigrid
22 for further calculating the thermal radiation from the
23 surface and showing the full distribution of the temperature
24 on both sides of the PCB.
25 The multigrid-based test solver has been used for
26 modeling the PCB of a phantom 9V-48V DC-DC power
27 supply for microphones, of which the circuit and PCB
28 layout shown in Fig. 4 and Fig. 5 were automatically
29 generated by the online tool TI WEBENCH@Power
30 Supply. Besides, the bill of materials, the characteristic
31 charts, and the dissipating power of the components can (a) (b)
32 also be obtained from the online tool.
The layout maps of the two-layer PCB were originally FIGURE 6. Compact layout maps of the power-supply PCB: (a) Top
33 metal layer, (b) Bottom metal layer.
34 generated in the size of 62.5mm x 64mm as shown in Fig. 5.
35 Then in order to have a more compact dimension, the size of After applying the three-level multigrid, the number of
36 the PCB was further reduced to 37.6mm x 47.6mm as shown cells reduced to 35722 and 15937 each. Fig. 7 shows the
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
FIGURE 4. Circuit schematic of the 9V-48V DC-DC power supply
57
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 6 of 14


1
2
3 discrete cell maps of the metal layer on both sides with RθJC refers to the total thermal resistance between the
4 yellow S16 cells, green S4 cells, and blue S1 cells. Based junction and bottom footprint pads, and can also be
on the matrix operation shown in (10), the number of represented by RθJC(bot) [21]. The pads as a part of the metal
5
product operation of the elements decreases from 1.822511 layer are discretized numerically, thus in the algorithm the
6
( 2(142300 + 159568)2 ) of the uniform grid to 5.33739 thermal resistance between the junction and each
7
( 2(35722+15937)2 ) of the three-level multigrid, about 97% discretized pad cell of the nth component is calculated as
8 decrements. Meanwhile, the operation burden for preparing follows:
9 all the matrix coefficients have reduced significantly too.
10 RθJC,pc,n  N pc,n RθJC,n (12)
11
12 in which, Npc,n is the number of pad cells of the nth
13 component, of which RθJC,n is the junction-to-case thermal
14 resistance. Then the temperature difference between the
15 junction and mth pad cell can be described as:
16 TJ,n - Tpc,n,m  q pc,n,m RθJC, pc,n (13)
17
18 in which, qpc,n,m refers to the heat flux transferred between
19 them.
20 RθJC(top) refers to the total thermal resistance between the
21 junction and top surface of a component [21], and can be
22 (a) (b) used for deriving the heat flux transferred from the
23 FIGURE 7. Discrete cell map of the metal layer under the three-level component surface to the ambient, represented by qtop. Such
24 multigrid: (a) Top metal layer, (b) Bottom metal layer. heat flux can be further approximately calculated with the
25 equivalent HTC htop, surface area Stop and average
26 IV. INTEGRATION OF COMPONENTS IN THE PCB temperature Ttop of the component surface:
MODEL
27
28 (TJ ,n  Ttop ,n )
qtop ,n   htop ,n Stop ,nTtop ,n (14)
A. CORRELATING COMPONENTS’ THERMAL
29 RθJC(top),n
PARAMETERS WITH THE VARIABLES IN COUPLING
30 EQUATIONS
31 The thermal boundary conditions given in (1) describe that in which, suffix n represents the nth component. Hence, TJ,n
32 all surface areas of each side of the PCB are exchanging can be derived:
33 heat with the ambient under a unique average HTC. TJ ,n  Ttop ,n (1  htop ,n Stop ,n RθJC(top),n )  Ttop ,nCtop,n (15)
34 However, the fact is that some areas on the surface are
35 covered by the components and do not exchange heat Then substitute TJ,n back in (13), qpc,n,m can be expressed
36 directly with the ambient, but with the components. as:
37 Therefore, the influence of components’ coverage on the
(Ttop ,n Ctop,n  Tpc,n,m )
38 thermal distribution of the PCB structure is not taken into q pc,n,m  (16)
39 account, and the temperature information of the RθJC, pc,n
40 components, such as the temperature of the junction, cannot Obviously, the sum of qpc,n,m of all pad cells and qtop,n is
41 be analyzed either. equal to the known total heating power generated by the
42 In order to correct the assumed thermal boundary component:
43 conditions, on one hand the non-existent heat exchange N pc , n
44 represented by the unique average HTC of the surface for
the area occupied by the components should be
Pn  qtop ,n  q pc,n,m
45 m 1
(17)
46 compensated by considering the equivalent heat flux as the N pc , n N pc , n
Ctop,n T pc,n,m
47
extra heat flux transferred into the surface. For example, for  htop ,n Stop ,nTtop ,n  Ttop ,n  
a cell covered by the component at the top surface, the m 1 RθJC, pc,n m 1 RθJC, pc,n
48
density of the compensated heat flux can be expressed as
49 Furthermore, Ttop,n can be derived and substituted back in
the product of the HTC, the cell area and cell temperature:
50 (16) to express qpc,n,m using the temperature of each pad cell
51 2
qex  hu d c TC (11) Tpc,n,m:
N pc , n N pc , n
52 T T
53 On the other hand, thermal-resistance parameters of the ( Pn   pc,n,m ) ( Pn   pc,n,m )
m 1 RθJC, pc,n m 1 RθJC, pc,n (18)
54 components, such as RθJC (junction to case) and RθJC(top) Ttop ,n  
N pc , n
(junction to top surface of the case), are used for calculating Ctop,n Ctop , Npc ,n
55 ( htop ,n Stop ,n   )
56 the thermal conduction between the PCB and component m 1 RθJC, pc,n
57 junction, as well as between the ambient and components.
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 7 of 14 IEEE Access


1
2
3 (Ttop ,n Ctop,n  T pc,n,m ) whereas Mcov refers to the covered metal layer. Suffix
q pc,n,m  u,d,Mu and Md keeps the same meaning as before.
4 RθJC, pc,n
5 N pc , n (19)
T pc,n,m B. THERMAL PARAMETERS OF 21 COMPONENTS IN
6
7 PnCtop,n

m1 RθJC, pc,n
Ctop,n
Tpc,n,m THE PHANTOM POWER-SUPPLY PCB
   Hence, four unknown arrays TM,u, TM,d, qM,u, and qM,d can be
8 Ctop , Npc ,n RθJC, pc,n Ctop , Npc ,n RθJC, pc,n RθJC, pc,n solved from the group of equations. Furthermore, the
9 temperature distribution of the rest insulating region can
in which, each Tpc,n,m is included in the temperature array of
10 also be derived based on the analytical solution.
the metal layer as other metal cells. Then the sum of qpc,n,m
11 In the PCB of the phantom DC-DC power supply shown
and qex of each pad cell is divided by the cell area for
12 in Section III, there are totally 21 components, which are
updating the corresponding array in the heat-spreading
13 equation of metal layers: listed in Table I with the parameters of the thermal
14 resistance and dissipating power.
15  M M ,uTM ,u  M V ,d TM ,d  q S ,u  q M ,u For the controlling IC U1, both RθJC and RθJtop are given
16  in the datasheet. For the mosfet M1 and diode D1, the
 M M ,d TM ,d  M V ,uTM ,u  q S ,d  q M ,d (20) datasheets only give the corresponding RθJC, whereas RθJtop
17 
18 q S ,u  q P ,u  M R ,uTM ,u  M h , M cov,uTM ,u was conservatively assumed as the thermal resistance from
19 q S ,d  q P ,d  M R ,d TM ,d  M h , M cov,d TM ,d the top to the bottom of the device by using the thermal
 conductivity of the general packaging mold compound
20
21 in which, the array qP includes the first constant term in the 0.63W/mK [22].
22 expression of qpc,n,m for each pad cell and the density of the For the SMD inductor L1, Coilcraft Inc. has announced
23 Joule-heat flux in metal cells; the coefficient matrix MRθ is that since this kind of inductor has a variety of thermal flow
24 composed of the coefficients for Tpc,n,m in the second and paths and multiple heat sources (winding and core), there is
25 third terms in the expression of qpc,n,m; Mh,McovTM represents not a definite junction inside and the parameters related to
the array including the density of the compensated heat flux thermal resistance are usually not supported
26
qex for the pad cells and other metal cells covered by the (https://www.coilcraft.com/en-us/faq/). On the other hand,
27
component; suffix u and d still refers to the top and bottom due to the existence of the insulating coating material over
28
side respectively. the winding wire and the possible space between the
29 winding and the core, the thermal conduction through them
30 Due to the existence of metal pads, the covered
insulating regions are usually not directly contacted with is hard to be scaled, so that its RθJtop was first assumed to be
31 infinity.
32 the component but separated by the slim air “film”, thus
these regions are approximately considered thermally However, since two pads of the inductor L1 are
33 connected by the insulating material of the package as
insulated to the components due to the high thermal
34 shown in Fig. 8, at least the thermal resistance between
resistance of the “film”. Hence, qex for the insulating region
35 them can be conservatively estimated by only analyzing
is considered as part of the heat flux directly transferred
36 into the region. Hence, the group of matrix equations for this insulating part. The dimension of the inductor is 12mm
37 the coupling solution are further updated to the following x 12mm and the thickness of the insulating part between
38 form as shown in (21), where TIcov represents the array of two pads is 0.635mm. The equivalent thermal resistance
39 the temperature distribution in the insulating region covered was analyzed by running the program of calculating the
40 by the components; the product of the coefficient matrix electrical potential distribution of the metal layers in the test
41 Mh,Icov and TIcov refers to the array of the density of the extra solver.
42 heat flux for compensating the non-existent heat exchange (b) of Fig. 8 shows the simulated distribution of the
43 represented by the unique HTC, and is further multiplied electrical potential under the assumed 1A current and 1.72
44 with Rθ to represent its thermal contribution to the x 10-8 S/m electrical conductivity. The electrical resistance
45 analytical solution. Moreover, Suffix Icov refers to the 2.09 x 10-5Ω was first derived and then the conservative
46 surface insulating region covered by the components thermal resistance 1928 °C/W between two pads was
47
TM ,u  R ,Mu ,Mu qM ,u  R ,Mu ,Md qM ,d  R ,Mu , I cov u M h , I cov,uTI cov,u  R ,Mu ,Id ,cov M h , I cov,d TI cov,d
48 
49 TM ,d  R ,Md ,Mu qM ,u  R ,Md ,Md qM ,d  R ,Md , I cov u M h , I cov,uTI cov,u  R ,Md , Id ,cov M h , I cov,d TI cov,d
50 TI cov,u  R ,I cov u ,Mu qM ,u  R ,I cov u ,Md qM ,d  R ,I cov u ,I cov u M h , I cov,uTI cov,u  R , I cov u , I cov d M h ,I cov,d TI cov,d
51 
TI cov,d  R , I cov d ,Mu qM ,u  R , I cov d ,Md qM ,d  R , I cov d ,I cov u M h , I cov,uTI cov,u  R ,I cov d , I cov d M h ,I cov,d TI cov,d (21)
52 
53  M M ,uTM ,u  MV ,d TM ,d  qS ,u  qM ,u
54 M T  M T  q  q
55  M , d M ,d V ,u M ,u S ,d M ,d

qS ,u  qP ,u  M R ,uTM ,u  M h ,M cov,uTM ,u


56 
57 qS ,d  qP ,d  M R ,d TM ,d  M h ,M cov,d TM ,d
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 8 of 14


1
2
3 further derived with the thermal conductivity 0.63W/mK of RθJC for SMD capacitors cannot be found in their
4 the general packaging mold compound. The middle plane datasheets, but were estimated based on the technical report
of the insulating material between two pads was assumed to published by ATC [24], in which based on the multi-layer
5
be the virtual junction region, thus RθJC for L1 was structure of the SMD ceramic capacitors a method was
6
482 °C/W, one fourth of 1928 °C/W, as assumed to be the introduced for calculating Θcap, the thermal resistance from
7
thermal resistance from two pads to the middle plane. the middle heat-generation plane to the pads, which can be
8
9 considered as RθJC for the SMD ceramic capacitors. The
10 assumption of the heat-generation plane implied the
11 ignorance of RθJtop. Several capacitors of series 100A and
12 series 100B from ATC were analyzed, and their structure
13 parameters and Θcap are reproduced in Table II.
14 TABLE II
15 CAPACITOR PARAMETERS IN ATC’S REPORT [24] AND DERIVED Kcap
16 Series 100A 100B
17 Capacitance (pF) 1 100 1 100 1000
(a) (b)
Length (mm) 1 2.2
18
FIGURE 8. (a) Schematic of L1’s bottom side, (b) Analog distribution of Acap (mm2) 2 7
19 electrical potential (V) of the insulating material between two pads of L1. Θcap (°C/W) 13.7 11.4 7.9 7.2 5.9
20 kcap (W/mmK) 0.0365 0.0439 0.0398 0.0437 0.0533
21 Thermal resistance RθJC for SMD resistors can be found
22 in a report from Vishay [23], which has listed RθJC for
different size. RθJtop for SMD resistors are approximately The equivalent thermal conductivity of the capacitor kcap
23
neglected due to their slim structures and small size. (W/mmK) derived from the parameters seems proportional
24
25 TABLE I
to the capacitance but changes not much under different
26 TYPE, DISSIPATING POWER Pd, RθJC, AND RθJtop OF THE COMPONENTS size with the same capacitance. Such inference was used for
27 Components (Type) Pd(mW) RθJC (°C/W) RθJtop (°C/W) approximately deriving RθJC of the ceramic capacitors. First,
M1 (CSD19538Q3A) 338.07 5.5 153.6
28 the average kcap of 0.0382, 0.0438, and 0.0533 W/mmK
U1 (TPS40211DGQR) 57.33 15.6 50.5
29 Rfb2 (RC0603FR-0747KL) 48.76 63 0 were approximately considered as kcap of 1pF, 100pF, and
30 D1 (10BQ100TRPBF) 25.9 22 235.8 1000pF ceramic capacitor respectively. Then by using the
31 L1 (MSS1210-334KEB) 16.98 724 —— Curve Fitting Tool of MATLAB, the approximate relation
32 Cout (EEE-FK1J220XP) 0.366 2.47 0
between kcap and the capacitance (nF) was derived:
33 Coutx(C3225X7R2A225K230AB 0.008 7.62 0
34 -1210) (22)
k cap  0.05178C 0.04809
Cin (C1608X7R1V105K080AC 0.001 34.04 0
35
-0603)
36 Finally, kcap for each ceramic capacitor in the power
Ciflt (CL21C151JBANNNC-0805) 0 27.08 0
37 supply was derived, and their corresponding RθJC were
Cbp (C0805C105K4RACTU 0 17.73 0
38 -0805)
further calculated.
39 Css (GRM155R61A154KE19D 0 60.71 0 The capacitor Cout is an electrolytic capacitor, of which
40 -0402) the inner vertical (axial) thermal conductivity (about 100
41 Ccomp2(CGA4C2C0G1H562J060AA 0 22.75 0 W/mK) is much larger than the radial (about 0.21 W/mK)
-0805) [25] due to the multilayer-wrapped electrode structure
42
Ccomp (GRM21BR72A473KA01L 0 20.73 0 inside. Hence, RθJC and RθJtop of the electrolytic capacitor
43
-0805) can be approximately derived by only analyzing the vertical
44 Crc (CL21C101JBANNNC 0 27.61 0 thermal conduction. According to the 3D model and
45 -0805) thermal analysis of an electrolytic capacitor done by
46 Cbyp (TMK212BJ474KD-T 0 18.39 0 Mentor [26], the temperature difference between the top
47 -0805)
case and core was always less than 1°C in the power loss
48 Riflt (CRCW04021K00FKED 0 90 0
range between 0.2W and 1.2W as well as under the air
49 -0402)
Rcomp (CRCW040218K2FKED 0 90 0
velocity between 0 and 1m/s, thus the top case was
50 considered as the best point to reflect the electrolytic
-0402)
51 Rrc (CRCW0402301KFKED 0 90 0 capacitor’s core temperature. Hence, RθJtop of Cout can be
52 -0402) also neglected.
53 Rg (CRCW040224R9FKED 0 90 0
54 -0402)) V. ITERATIVE METHOD FOR THE CALCULATION OF
55 Rfb1 (CRCW0402255RFKED 0 90 0 THERMAL RADIATION
56 -0402) According to Stefan-Boltzmann law, thermal radiation is
Rsense(ERJ-3RSFR12V-0603) 0 63 0 proportional to the temperature to the fourth, of which the
57
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 9 of 14 IEEE Access


1
2
3 nonlinear relation cannot be directly taken into account in the The new group of matrix equations of the coupling
4 coupling modeling method. However, after the PCB reaches solution for the double-side PCB structure is shown below,
5 thermal steady state, a numerically equivalent HTC can be which takes into account the possible different HTC for each
6 derived based on the thermal radiation from each discrete discrete cell and compensates the error under the assumed
7 surface cell. Therefore, an iterative algorithm as explained in thermal boundary condition of a unique average HTC.
8 the block diagram in Fig. 9 was adopted to search for the In (23), first four equations are related to the analytical
9 radiation-equivalent HTC under thermal steady state for each solution; the fifth and sixth equations are related to the heat
discrete surface cell and the case-top of the component. spreading inside top and bottom metal layers; last two
10
equations are related to the heat flux transferred from the
11
components to the pads with consideration of the thermal
12
Ts&Ttop under huni qr Hdif resistance of the components; TI represents the array of the
13 temperature distribution in the insulating region of the layer
14 surface; the density of the compensated heat flux due to Hdif
15 is included in the coefficient matrix MHdif; the other part
16 included in qS is related to the heat flux transferred from the
Hdif qr Ts&Ttop under Hdif
17 components to the metal pads, qP+MRθTM, of which qP
18 refers to the constant part of Pdn * Chtop,Pd,n / (Chtop,n * RθJpc,n)
19 yes in (19), and MRθTM refers to the rest part related to the
20 he,max > he,ac thermal resistance of the components and the temperature
21 of the pad cell; MM is the coefficient matrix that gathers all
22 the coefficients of the temperature variables on one surface
23 in each heat-spreading equation as shown in (8), whereas
24 no MV is the coefficient matrix related to the temperature of the
25 via cells on the other side in the heat-spreading equation.
26 Output and Save Moreover, suffix u refers to the top side, whereas suffix
27 d refers to the bottom side. Suffix M refers to the metal
28 FIGURE 9. Iterative algorithm for deriving the radiation-equivalent HTC. layer, whereas suffix I refers to the insulating core layer.
29 Suffix Mu and Iu refer to the top metal layer and top
30 In the block diagram, the temperature distribution of the insulating core layer respectively, whereas Md and Id refer
31 layer surface Ts, and the case-top temperature of the to those two kinds of layers on the bottom side. Rθ refers to
32 components Ttop, are first derived under the hypothesis of the coefficient matrix of the equivalent thermal resistance
an unique average HTC of the surface huni. Second, qr, the related to the analytical solution of the temperature. For
33
distribution of the thermal radiation from the layer surface example, Rθ,Mu,MuqM,u represents the thermal contribution
34
and the case-top of the component, is calculated based on Ts produced by qM,u to the top metal layer. The unknown
35
and Ttop. In the third step, the radiation-equivalent HTC for arrays include TM,u, TM,d, TI,u, TI,d, qM,u, and qM,d.
36
each discrete cell and case-top is calculated and its
37 VI. MODELING RESULTS
difference compared to huni is gathered in the array Hdif. The
38
compensated HTC of the covered region discussed in
39
Section IV is also included in Hdif. Next, iterative A. COMPARISON OF THE MODELING RESULTS OF A
40 calculations for Ts, Ttop, qr, and Hdif are executed till the ONE-LAYER STRUCTURE
41 absolute maximum error of Hdif between two rounds of A simple one-layer structure shown in Fig. 10 was modeled
42 operation, defined as he,max, is no bigger than he,ac, a preset in both the test solver and COMSOL to test the mechanism
43 acceptable error. Any specific emissivity of a cell can be and accuracy of the iterative method. The dimension of the
44 also set in the model, because the thermal radiation is board is 63mm x 56mm x 1.6mm. The yellow region refers
45 calculated for each discrete surface cell during the iteration. to the metal layer of 35µm thick and the heat is considered
46
47 TM ,u  R ,Mu ,Mu qM ,u  R ,Mu ,Md qM ,d  R ,Mu , Iu M Hdif , I ,uTI ,u  R ,Mu , Id M Hdif , I ,d TI ,d

48 TM ,d  R ,Md ,Mu qM ,u  R ,Md ,Md qM ,d  R ,Md , Iu M Hdif ,I ,uTI ,u  R ,Md , Id M Hdif , I ,d TI ,d
49 T  R
 , Iu , Mu q M ,u  R , Iu , Md qM ,d  R , Iu , Iu M Hdif , I ,uTI ,u  R , Iu , Id M Hdif , I ,d TI ,d
50  I ,u
51 TI ,d  R , Id ,Mu qM ,u  R , Id ,Md qM ,d  R , Id , Iu M Hdif , I ,uTI ,u  R , Id , Id M Hdif , I ,d TI ,d (23)
52 
 M M ,uTM ,u  MV ,d TM ,d  qS ,u  qM ,u
53 M T  M T  q  q
54  M ,d M ,d V ,u M ,u S ,d M ,d

55 qS ,u  qP ,u  M R ,uTM ,u  M Hdif ,M ,uTM ,u


56 
57 qS ,d  qP ,d  M R ,d TM ,d  M Hdif ,M ,d TM ,d
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 10 of 14


1
2
3 uniformly transferred into this region with the rate of 0.5W, generating three-level discrete cells defined in Section III, the
4 thus the uniform heat flux was 4761.9W/m2. heating region was not discretized with the three-level
The only way of heat transfer between the board and multigrid, but only the insulating surface. The model was
5
ambient was assumed as the thermal radiation from the top analyzed under two different conditions, with or without the
6
surface. The emissivity through the top surface was calculation of the heat-spreading in the metal layer. The
7
assumed uniform and set to 0.9, which is approximately ambient temperature was set to 0°C. 200 groups of
8 eigenvalues were set, and further increasing the number
suitable for epoxy painting material and most plastic
9 eigenvalues changed little the hotspot temperature.
package materials of ICs.
10 In COMSOL, the ‘General Heat Transfer’ module was
11 used to model the 3D structure, of which a fine mesh was
12 obtained with 80,492 elements in the structure and 4230
13 elements in the heating region. Further refining of the mesh
14 generated quite little difference in the results.
15
16
17
18
19
20
21 FIGURE 10. Layout of the simple one-layer structure.
22
23
24
25
26
27
28
29 (a) (b)

30
31
32
33
34
35
36 (a) (b)
37
38
39
40
41
42
43
(c)
44
45 FIGURE 12. Comparison of the temperature map of the heating region
with the metal layer under thermal radiation: (a) Test solver, (b)
46 COMSOL, (c) Temperature along the line of x=0.033mm.
47
48 The simulated temperature maps of the heating region
49 are shown in Fig. 11 and Fig. 12. The color-scale
50 distributions of the temperature from both programs are
(c)
51 quite consistent. Without including the metal layer, the
52 FIGURE 11. Comparison of the temperature map of the heating region maximum difference is about 3°C, and the average
without the metal layer under thermal radiation: (a) Test solver, (b)
53 COMSOL, (c) Temperature along the line of x=0.033mm. difference is less than 0.84°C. When the heat-spreading of
54 the metal layer is included, the maximum difference is only
55 In the test solver, the layout map with the resolution of 448 about 0.47°C, and the average difference is less than 0.4°C,
56 x 504 was modeled, with 6720 cells in the metal region and thus both error rates are less than 0.5% of the hot-spot
57 13692 cells in the insulating surface. Based on the rule of
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 11 of 14 IEEE Access


1
2
3 temperature. Hence, the iterative method for calculating approximately represents the temperature of the
4 thermal radiation has been testified to be feasible. corresponding 16 cells and 4 cells respectively.
The accuracy of the modeling method was tested based
5
B. MODELING RESULTS OF THE PHANTOM POWER- on Richardson extrapolation [12], of which the error can be
6
SUPPLY PCB derived under the precondition that the monotone
7 Based on the iterative method, the PCB of the phantom DC- convergence of the result is obtained under consecutive grid
8 DC power supply has been modeled and simulated under the density. The order of error reduction can be computed
9 similar assumption as the one-layer structure model. Thermal through the following logarithmic calculation with e base
10 radiation from the top surface was considered as the only from the results on three consecutive grids:
11 way of heat transfer between the board and ambient. Thermal 2 l  4 lc
12 parameters of the components listed in Table I were adopted. log( c )
13 lc  2 lc
The temperature maps of both the top and bottom sides under p (24)
14 the resolution of 376 x 476 are shown in Fig. 13. The log 2
15 junction temperature TJ and maximum pad temperature
in which, ϕlc, ϕ2lc, and ϕ4lc refer to the solutions under three
16 Tpad_max of each component at different ambient temperature
Ta are listed in Table III. consecutive cell length of lc, 2lc, and 4lc respectively. Then
17
18 the error of ϕlc can be approximately derived:
19 l  2l
20 l  c c
(25)
21
c
2 p 1
22 Based on such error estimation method, the layout maps
23 were modeled and anaylzed under three resolutions, 94 x
24 119, 188 x 238 and 376 x 476. The temperature maps of the
25 top layer surface obtained under each resolution at Ta=40°C
26 are shown in Fig. 14. The similar distribution and little
27 difference of the temperature results under three cell
28 lengths testified the consistency, stability, and convergence
29 (a) (b) [12] of the proposed method.
30 TABLE III
31 TJ AND Tpad_max OF COMPONENTS AT DIFFERENT Ta
32 Ta = 0 °C Ta = 20 °C Ta = 40 °C
33 Components
TJ (°C)
Tpad_max
TJ (°C)
Tpad_max
TJ (°C)
Tpad_max
34 (°C) (°C) (°C)
M1 68.96 67.94 81.25 80.23 94.71 93.69
35
U1 56.82 56.97 69.15 69.29 82.64 82.79
36 Rfb2 65.6 62.83 77.92 75.15 91.41 88.64
37 D1 55.95 56.85 68.27 69.17 81.77 82.66
38 L1 67.91 59.84 80.24 72.15 93.75 85.62
39 Cout 51.25 51.36 63.61 63.71 77.14 77.25
40 Coutx 50.75 50.85 63.12 63.22 76.66 76.76
(c)
41 Cin 51.43 51.63 63.79 63.99 77.32 77.52
42 FIGURE 13. Temperature maps of the PCB of the phantom power Ciflt 54.85 55.09 67.17 67.42 80.67 80.91
supply at Ta=20°C: (a) Top side, (b) Top layer surface, (c) Bottom side. Cbp 55.55 55.60 67.87 67.92 81.36 81.41
43
Css 53.01 53.31 65.35 65.65 78.87 79.17
44 During the iteration, the initial average HTC hu was set to Ccomp2 55.88 56.33 68.21 68.65 81.70 82.15
45 10 W/mK and the maximum acceptable error of the cell Ccomp 55.09 55.28 67.42 67.61 80.92 81.11
46 HTC he,ac was set to 0.05 W/mK. The temperature maps Crc 55.01 55.29 67.34 67.62 80.84 81.11
47 under three ambient temperatures all converged to the last Cbyp 55.27 55.39 67.60 67.71 81.10 81.21
48 results after 6 rounds of iteration. 200 groups of Riflt 54.80 54.83 67.12 67.16 80.61 80.65
49 Rcomp 55.31 55.59 67.63 67.91 81.13 81.41
eigenvalues were set. In the end of the iteration, the total
Rrc 54.10 54.51 66.43 66.84 79.94 80.34
50 heat flux of the thermal radiation was calculated and was
Rg 58.02 58.83 70.33 71.14 83.80 84.61
51 around 487.321mW at each ambient temperature, which is Rfb1 56.63 58.29 68.95 70.62 82.45 84.11
52 quite close to 487.345mW, the total dissipation power of Rsense 57.60 60.16 69.92 72.47 83.41 85.95
53 the components. Hence, the modeling method
54 approximately obeys the law of energy conservation. The
little error is probably due to the three-level multigrid, in The junction temperature TJ_M1 of the MOSFET and the
55 maximum temperature in the top metal layer TM_max as well
56 which the temperature of S16 cell and S4 cell
57
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 12 of 14


1
2
3 as the minmum cell length lc,min under each resolution are of the inductor is known, more precise modeling results can
4 listed in Table IV. be obtained.
The error of TM_max based on (24) and (25) under the
5
resolution 376 x 476 is about -0.11°C, thus the approximate
6
value of TM_max is 93.58°C. Since the difference between
7
TJ_M1 and TM_max is close to 1°C, the approximate value of
8 TJ_M1 is about 94.58°C.
9
10
11
12
13
14
15
16 (a) (b)
17 FIGURE 15. Temperature maps (188 x 238) at Ta=40°C with assumed
18 zero RθJtop of the inductor: (a) Top side, (b) Top layer surface.
19
20 VII. CONCLUSION
21 (a) (b) The numerical-analytical coupling method for steady-state
thermal analysis of the PCB has been further improved to
22
include the analysis of thermal radiation, predict the
23
temperature information of components and take into
24
account the reality of components’ coverage on the PCB
25 surface. The multigrid strategy has been applied for
26 generating discrete cells of three levels, and the operation
27 burden can be decreased significantly. By using
28 components’ RθJC and RθJtop, their junction temperature and
29 average top-case temperature have been correlated with the
30 temperature distribution of the layer surface, thus the
31 components and the laminated structure of the PCB as a
32 (c)
whole can be thermally modeled.
33 The thermal radiation has been correlated with the
FIGURE 14. Temperature maps under three resolutions of the top layer
34 surface at Ta=40°C: (a) 94 x 119, (b) 188 x 238, (c) 376 x 476.
temperature-dependent heat transfer coefficient of each
35 discrete cell and each component’s case top in the proposed
TABLE IV iterative method. Based on the modeling comparison of a
36
TM_max AND TJ_M1 AT Ta=40°C UNDER THREE RESOLUTIONS
37 Map Resolution 94 x 119 188 x 238 376 x 476
simple one-layer structure with COMSOL, the mechanism
38 lc,min (mm) 0.4 0.2 0.1 of the iterative method has been testified to be feasible.
39 TJ_M1 (°C) 94.87 94.77 94.68 Based on the modeling results of the PCB of a 9V-48V DC-
40 TM_max (°C) 93.89 93.75 93.67 DC power supply under different ambient temperatures and
41 different resolutions of the layout map, the consistency,
42 Certainly, since the results were derived with the stability, convergence, and conservation of the proposed
43 ignorance of the thermal radiation from the inductor, the modeling method has been further testified. Furthermore,
44 actual temperature should be lower. For testing the possible the approximate little error of the modeling results has been
error range of such ignorance, the thermal resistance found based on Richardson extrapolation. Certainly, the
45
between the junction and top of the inductor was assumed precision of the modeling results is influenced by the
46
to be 0 and other thermal boundary conditions were precision of thermal-resistance parameters of the
47
assumed the same as before. The simulated temperature components.
48
49 maps are shown in Fig. 15.
REFERENCES
50 With zero RθJtop of the inductor, its top surface [1] T.F. Lemczyk et al., “PCB Trace Thermal Analysis and Effective
51 temperature is close to 72°C, whereas the error of TM_max is Conductivity”, J. Electron. Packag., vol. 114, no. 4, pp. 413-419,
52 about -0.24°C and its approximate value is 91.12°C. Dec. 1992, DOI: 10.1115/1.2905474.
Therefore, neglecting the thermal radiation from the top [2] J.R. Culham and M.M. Yotanovich, “Factors affecting the
53 calculation of effective conductivity in printed circuit boards”, in
surface of the inductor only generates about 2.55°C error in
54 ITherm’98, Seattle, WA, USA, 1998, pp. 460-467.
TM_max, even though the inductor has a big surface. The little [3] M. Baris Dogruoz and Gokul Shankaran, “Spatial Variation of
55
error could be due to the much lower dissipation power of Temperature on Printed Circuit Boards: Effects of Anisotropic
56 the inductor. Certainly, if the actual value of RθJC and RθJtop Thermal Conductivity and Joule Heating”, IEEE Trans. Compon.,
57
58
59 VOLUME XX, 2017 9

60

For Review Only


Page 13 of 14 IEEE Access


1
2
Packag., Manuf. Technol., vol. 2, no. 10, pp. 1649-1658, Oct. 2012, [22] Navin Bhandarkar, Subodh Mhaisalkar, and Pauline Lee, “Effect of
3 10.1109/TCPMT.2012.2205251. Package Construction on Thermal Performance of Plastic IC
4 [4] M. Baris Dogruoz, “Assessment of Joule Heating and Temperature Packages”, in Proceedings of the 1997 1st Electronic Packaging
5 Distribution on Printed Circuit Boards Via Electrothermal Technology Conference, Singapore, Singapore, Oct. 1997.
Simulations”, J. Electron. Packag., vol. 138, no. 2, pp.1-8(021004), [23] “Thermal Management in Surface-Mounted Resistor Applications”,
6 Jun. 2016, DOI: 10.1115/1.4033109. Vishay Intertechnology Inc., Malvern, PA, USA, App. Note,
7 [5] B. Blackmore, “Validation and Sensitivity Analysis of an Image No.28844, Feb. 2011.
8 Processing Technique to Derive Thermal Conductivity Variation [24] F. M. Schabauer and R. Blumkin, “Thermal Resistance, Power
within a Printed Circuit Board”, in 25th IEEE SEMI-THERM Dissipation and Current Rating for Ceramic and Porcelain Multilayer
9 Symposium, San Jose, CA, USA, 2009, pp. 76-86. Capacitors”, American Technical Ceramics (Florida), Inc., NY, USA,
10 [6] Paul Blais and Athanasie Munyaneza, “PCB Thermal Modeling with ATC 001-867, 1981(Rev. Jul. 1999).
11 Empirical Thermal Conductivity Methods”, Mentor Graphics [25] Sam G. Parler, “Thermal Modeling of Aluminum Electrolytic
12 Corporation, Wilsonville, OR, USA, Tech. Rep., TECH17480, 2018. Capacitors”, in Conference Record of the 1999 IEEE Industry
[7] Xueguan Song, et al., “Questionnaire-Based Discussion of Finite Applications Conference, Thirty-Fourth IAS Annual Meeting, Phx,
13 Element Multiphysics Simulation Software in Power Electronics”, AZ, USA, Oct. 1999.
14 IEEE Trans. Power Electron., vol. 33, no. 8, pp. 7010-7020, Aug. [26] Zhigang NA, “A Study of Electrolytic Capacitor Thermal Conductivity,
15 2018, 10.1109/TPEL.2017.2756449. Behavior & Measurement”, Engineering Edge, vol. 5, no. 1, Mentor
[8] Paolo Emilio Bagnoli and Yabin Zhang, “Electro-thermal simulation Graphics Corporation, Wilsonville, OR, USA, [Online]. Available:
16 of metal interconnections under high current flow”, Microelectron. https://www.mentor.com/products/mechanical/engineering-edge/volum
17 Reliab., vol. 50, no. 9-11, pp.1672–1677, Aug. 2010. DOI: e5/issue1/study-electrolytic-capacitor-thermal-conductivity
18 10.1016/j.microrel.2010.07.029.
[9] Yabin Zhang, Alessio Pennatini, and Paolo Emilio Bagnoli, “A YABIN ZHANG, received the B.S. degree in
19 Thermal Model for the PCB Structure Including Thermal electrical engineering from Dalian University of
20 Contribution of Traces and Vias”, in 18th THERMINIC, Budapest, Technology, Dalian, P.R.China, in 2003, the M.S.
21 Hungary, 2012, pp.105-110. degree in radiation protection from the Graduate
[10] Yabin Zhang, “Modeling Methodology for Reliability-Concerned School of China Academy of Engineering
22 Current Density Analysis of PCB Tracks and Thermal Analysis of Physics, Beijing, P.R.China, in 2006, and the
23 PCB Structure”, Ph.D. dissertation, Dept. Inf. Eng., University of Ph.D. degree in information engineering from the
24 Pisa, Pisa, ITA, 2013. University of Pisa, Italy.
25 [11] Yabin Zhang and Paolo Emilio Bagnoli, “A modeling methodology Since Nov. 2017, he has been with Xihua
for thermal analysis of the PCB structure”, Microelectron. J., vol. 45, University, Chengdu, P.R.China. He is currently a
26 no. 8, pp. 1033–1052, Jun. 2014. DOI: 10.1016/j.mejo.2014.04.042. senior researcher with the School of Electrical
27 [12] Joel H. Ferziger and Milivan Peric, “Efficiency and Accuracy Engineering and Electronic Information of the university. He was ever
28 Improvement”, in Computational Methods for Fluid Dynamics, 3rd working as a Research Assistant in China Academy of Engineering
ed. Berlin, Germany: Springer-Verlag, 2002, pp. 329-364. Physics, in the Lab of Power Electronics of the University of Pisa and in
29 [13] H. K. Versteeg and W. Malalasekera, “The finite volume method for the innovation lab of Cubit scarl in Pisa, Italy. He published several papers
30 convection---diffusion problems” in An Introduction to in the field of thermal modeling and simulation method of the PCB. He
31 Computational Fluid Dynamics-The Finite Volume Method, 2nd ed. currently holds three pending patents about the thermal-modeling method
Edinburgh Gate, England: Pearson Education Limited, 2007, pp. of the PCB. His current research interests include thermal modeling
32 134-176. method and algorithm optimization.
33 [14] Paolo Emilio Bagnoli, Carlo Bartoli, and Fabio Stefania, “Validation
34 of the DJOSER analytical thermal simulator for electronic power
35 devices and assembling structures”, Microelectron. J., vol. 38, no. 2,
pp.185–196, Feb. 2007. DOI: 10.1016/j.mejo.2006.10.001.
36 [15] Paolo Emilio Bagnoli and Fabio Stefani, “Electrothermal Simulation
37 of the Hot-Spot and Its Countermeasures in Cellular Bipolar Power
38 Transistors”, IEEE Trans. Compon., Packag., Technol., vol. 32, no. 2,
pp. 493-500, Jun. 2009, 10.1109/TCAPT.2008.2001193.
39 [16] Khaled Redwan Choudhury and Daniel J. Rogers, “Steady-State
40 Thermal Modeling of a Power Module: An N-Layer Fourier
41 Approach”, IEEE Trans. Power Electron., vol. 34, no. 2, pp. 1500-
1508, Feb. 2019, 10.1109/TPEL.2018.2828439.
42 [17] Kevin R. Bagnall, Yuri S. Muzychka, and Evelyn N. Wang,
43 “Analytical Solution for Temperature Rise in Complex Multilayer
44 Structures with Discrete Heat Sources”, IEEE Trans. Compon.,
Packag., Manuf. Technol., vol. 4, no. 5, pp. 817-830, May 2014,
45 10.1109/TCPMT.2014.2299766.
46 [18] S. Luhar, D. Sarkar and A. Jain, “Steady state and transient analytical
47 modeling of non-uniform convective cooling of a microprocessor
48 chip due to jet impingement”, Int. J. Heat Mass Transf., vol. 110, pp.
768-777, Jul. 2017, DOI: 10.1016/j.ijheatmasstransfer.2017.03.064.
49 [19] P. Li, et al., “IC thermal simulation and modeling via efficient
50 multigrid-based techniques”, IEEE Trans. Comput.-Aided Design
51 Integr. Circuits Syst., vol. 25, no. 9, pp. 1763–1766, Sept. 2006,
10.1109/TCAD.2005.858276.
52 [20] Shohdy Abdelkader and Alaa E El-Rouby, “Power-Aware Meshing
53 Algorithm for Thermal Analysis of Integrated Circuits”, IEEE Trans.
54 Compon., Packag., Manuf. Technol., vol. 6, no. 9, pp. 1340-1348,
Sept. 2016, 10.1109/TCPMT.2016.2598882.
55 [21] Darvin Edwards and Hiep Nguyen, “Semiconductor and IC Package
56 Thermal Metrics”, Texas Instruments, Dallas, Texas, USA. App.
57 Rep., SPRA953C, Dec. 2003 (Revised Apr. 2016).
58
59 VOLUME XX, 2017 9

60

For Review Only


IEEE Access Page 14 of 14

1
2
3 YABIN ZHANG, received the B.S. degree in electrical engineering from Dalian University of
4
Technology, Dalian, P.R.China, in 2003, the M.S. degree in radiation protection from the Graduate School
5
6 of China Academy of Engineering Physics, Beijing, P.R.China, in 2006, and the Ph.D. degree in
7 information engineering from the University of Pisa, Italy.
8
Since Nov. 2017, he has been with Xihua University, Chengdu, P.R.China. He is currently a senior
9
10 researcher with the School of Electrical Engineering and Electronic Information of the university. He was
11 ever working as a Research Assistant in China Academy of Engineering Physics, in the Lab of Power
12
Electronics of the University of Pisa and in the innovation lab of Cubit scarl in Pisa, Italy. He published several papers in the field
13
14 of thermal modeling and simulation method of the PCB. He currently holds three pending patents about the thermal-modeling
15 method of the PCB. His current research interests include thermal modeling method and algorithm optimization.
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60

For Review Only

You might also like