New Submitted Rev2

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 14

.

Dual Split-Three Segment Capacitor Array Design Based


Successive Approximation ADC for Io-T Ecosystem

Savitha M, Venkat Siva Reddy,


School of Electronics and Communication, REVA University Bangalore

ARTICLE INFO ABSTRACT

Article history: The exponential rise in technologies and allied application demands have
Received 00 December 00 revitalized academia-industries to achieve more efficient and productive systems
Received in revised form 00 January 00 amongst which semiconductor devices do have irreplaceable dominance. In last few
Accepted 00 February 00
years, Internet-of-Things (IoTs) have grown significantly to meet major up-surging
demands of social, scientific, businesses as well as defence purposes. However,
Keywords: achieving optimal performance in IoT-ecosystem decisively depends of device’s
efficacy to enable ultra-low power, fast-processing, reliable and miniaturized space
Internet of Things, Successive features. To meet upcoming demands of 24 billion IoT users in 2020, while enabling
Approximation register, SAR- energy-efficient, sensibly-accurate and swift-processing systems, this research
ADC, Digital to Analog emphasizes on developing a novel Successive Approximation Register-Analog-to-
Converter, CDAC, Linearity, Digital (SAR-ADC) design with augmented (minimum) Capacitive Array Digital-
Area. to-Analog (DAC) converter. SAR-ADC being an inevitable device for ultra-
, wideband and Wireless Sensor Networks (WSNs) which has been primarily used in
IoT-ecosystem requires optimal SAR-ADCs to assure accurate, fast, energy-
efficient, reliable performance for sensing and communication with peer terminals.
. To meet these demands, in this paper a novel Dual-Split-Three-Section (DSTS)
capacitor array DAC (DSTS-CDAC) has been proposed to perform 14-bit SAR-
ADC function while retaining Signal-to-Noise Destruction Ratio (SNDR) of 67.9dB
for the ADC. The use of monotonic switching scheme exhibited reduced capacitive
array power consumption for 14-bits CDAC. Furthermore, it requires 185 times
unit capacitances on contrary to the conventional SAR-ADC designs, which
requires 256 times unit capacitances in a capacitive array. A significant reduction
of 28% area too applauds proposed design for low cost CMOS development. The
proposed CDAC model can be of vital significance for noise-resilient sampling of
high frequency differential input signals.

to achieve more efficient and productive systems amongst


which semiconductor devices do have irreplaceable
I. INTRODUCTION dominance. In last few years, Internet-of-Things (IoTs)
The exponential rise in technologies and allied have grown significantly to meet major up-surging
application demands have revitalized academia-industries demands of social, scientific, businesses as well as defense
purposes. Undeniably, the high pace growth and

* Corresponding author. Tel.: +9l9449332086 email-address: savith73@gmail.com


Fax number :08257-232644
Peer review under responsibility of xxxxx.
xxxx-xxxx/$ – see front matter © 2014 xxxxxxxx. Hosting by Elsevier B.V. All rights reserved.
http://dx.doi.org/10.1016/j.aebj.2014.10.012
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 2

proliferation of IoT era has demanded the devices with architectures played decisive role towards high-end signal
enriched technologies, augmented computational efficacy, processing purposes; however, entering the era of sub-100-
energy-efficiency and reliable (say, optimal-accurate) nm CMOS we witnessed rebound of the SAR topology
performance. Reviews [1] state that the volume of the cashing in on its compatibility with aggressively scaled
functional internet connected or communicating devices “digital” process technology. This as a result revitalized
might up-surge up to 24 billion by the year 2020 and academia-industries to achieve more efficient ADC
considering the fact that these all devices would embody architecture with better performance.
augmented sensing, data acquisition and computing
efficacy. Key technologies such as Low Power Lossy Considering application specific scenarios, SAR-ADCs
Networks (LLNs) a key variant of the Wireless Sensor are extensively applied in varied communication systems
Networks (WSNs) would require high rate, reliable and including WSNs demanding low-power converters because
energy-efficient components to meet contemporary IoT- of low active circuitry. The classical SAR-ADC embodies
ecosystem demands. Undeniably, even in current situation a comparator, a SAR, a capacitive-array DAC (CDAC) and
the technologies under function require power efficient, a sample and hold (S/R) circuit designed by the capacitive
optimal sensing accuracy, and high-speed data DAC itself [4]. In the classical ADC architecture, the
transmission to meet Quality of Service (QoS) demands. sampled input voltage is successively approximated which
Such demands would even continue up surging to facilitate is then followed by the estimation of the output digital bits
Bigdata computation and allied purposes such as IoT by means of comparison steps. Undeniably, the sequential
communication, IoT assisted smart city, Machine-to- functions of the SA algorithm has classically been the
Machine (M2M) communication [2]. These all facts limitation for accomplishing high-speed operation,
indicate irreplaceable role of high efficient devices, however the ever-increasing augmentation in CMOS
especially Silicon Complementary Metal Oxide technologies and designs, medium resolution (8 to 10b)
Semiconductor (CMOS) devices to enable data sensing, SAR-ADCs have achieved sampling rates of several tens of
processing and decision making [3]. The ultra-low power MS/s [5]. The low-power features and moderate speed
consumption and fast computation are the predominant enabled SAR-ADC to perform better for digital
need of a wide range of integrated circuits-based systems communication and hence makes its suitable for IoT
including sensor networks, medical equipment’s, ecosystem [4]. Considering SA architectures as low power
communication systems, industrial monitoring and control. model identifying optimal design where the maximum
Considering technologies up-surge and its efficacy, in the power savings could be achieved is vital. Typically, the
last few years the ongoing miniaturization of circuits and major power dissipation takes place in CDAC component
allied technologies, especially low transistor channel length of SAR-ADC architecture. This as a result motivates
inversely augments the energy performance of digital authors to exploit optimal design of CDAC to achieve
circuits due to reduced capacitance at the circuit nodes. optimal function with minimum possible heating problem.
This as a result augments possibility and scope of the Towards this goal, numerous efforts including capacitive
digital domain for signal processing, storage, computation array DAC structure with single splitting capacitor have
and control. On contrary, the analog domain features are been recommended. Split capacitor CDAC design have
closer to the physical events and hence requires robust been found efficient to achieve minimum power dissipation
interface circuits in between the analog domain and while retaining better linearity characteristics such as
functional processing domain, called Analog-to-Digital Differential Non-linearity (DNL) and Integral Non-
converters (ADCs). To achieve optimal performance linearity (INL) values in comparison to the classical
developing enhanced devices such as ADC converter binary-weighted capacitor DAC models. Noticeably, with
and/or Digital-to-Analog Converters (DAC) can be of increase in resolution, the total number of capacitances too
paramount significance. In this relation, industry has been increases that eventually results into increased power
making effort to design more efficient ADCs so that the dissipation and compromised computation speed. It can be
pace of emerging IoT-ecosystem demands (energy- considered as the driving force behind the current research.
efficient, reliable, fast, memory-efficient, etc) could be In this research paper, a novel Dual-Split-Three Segment
availed. Amongst the major ADC designs, the Successive Capacitor Array DAC Design Based Successive
Approximation Register (SAR) ADC designs date back to approximation ADC for IoT-Ecosystem has been
the 1950s and was exploited significantly for CMOS in the developed. Unlike classical multi-split capacitor design, we
1970s. The inception applications were primarily the applied two split capacitors with three segments in a
telephony and relatively low-speed instrumentations and capacitive array that eventually augment power efficiency
continued primarily being used for the same purposes till as well as the speed of the SAR-ADCs making it suitable
year 2000. However, development in technologies lead for IoT communication systems. To assess linearity
emergence of the different ADC topologies to enable high- performance of the proposed SAR-ADC design both
end processing, primarily high-end audio/video theoretical as well as simulation methods have been
requirements [4][5]. The ADC key topologies like applied. Simulation results exhibited that the proposed
oversampling ADC, folding and pipelined ADC DSTS-CDAC model can achieve better linearity with DNL
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 3

of the range within ±0.5 LSB and standard deviation parallel to each of the bridge capacitor and the LSB bank
(capacitor) of merely 0.02%. The14-bit SAR-ADC model of split DAC. Bai et al. [14] developed a 13-b capacitor to
designed with DSTS DAC structure employed 1.5V supply capacitor (C to C) split array multiphase switched capacitor
and 100kSps sampling frequency, while the ADC retained power-amplifier (SAMP-SCPA) to be used in 65-nm
SNDR of 68.9dB. CMOS for 16-b resolution. Their model enabled extra
states for linearization using digital pre-distortion (DPD)
The other sections of this manuscript are divided as mechanism. Similarly, Wang et al. [15] developed an
follows. Section II discusses related work, followed by integrated power- saving SAR-ADC for image sensor
proposed method and its implementation in Section III. applications. Overcoming the limitations of previous works
Section IV discusses the results and allied inferences while here two schemes build-in passive correlated double
Section V presents overall research conclusion and future sampling (CDS) and programmable gain amplifying (PGA)
scopes. References used in this research are presented at were applied to assist correlated noise cancellation and
the end of the manuscript. signal amplification without additional OTAs. To further
augment linearization of SAR-ADC, Zhou et al. [16]
II. RELATED WORK applied two distinct schemes, conventional charge-
1. The up-surging significance of fast, high redistribution and Vcm-based switching. Authors [16]
rate and power efficient VLSI systems, a number of efforts deployed a new capacitor array architecture to achieve a 6-
have been made globally, amongst which developing bit 550Ms/s energy-efficient SAR with 65nm CMOS that
ADC/DAC systems have always been the dominating one. reduced circuit area significantly than the classical SARs.
This section puts a snippet of the key researches made in Choi et al. [17] in their research focused on the different
last few years to achieve better SA-ADC design. Mauro stress equalization models to alleviate short term linearity
Santos et al. [6] carried out survey on analog to digital issues in SA-ADCs, Haenzsche et al. [18] proposed a 14-
converter. As an augmented model, Zhou et al. [7] applied bit SA-ADC with differential architecture and self-
a split capacitor DAC structure coupled with Merged calibrating procedure that improves the linearity values of
Capacitor Switching (MCS) technique to reduce the total the ADC. Mitrovic et al. [19] focused on prediction-based
power consumption. Additionally, authors found that the ADC design that estimated input signal likelihood for
use of a dynamic comparator without pre-amplifier can be ADC. This approach reduced the N number of cycles
of utmost significant to achieve better energy efficiency. needed to convert analog signal to digital signal to only one
Saberi et al. [8] used capacitive array DAC based SA- and thus facilitating high speed of SA-ADCs either at the
ADCs for ultra-low-power applications and found it same power consumption or even lower. To meet the
promising to meet energy efficient and stability, demand of the applications requiring ultra-low power and
particularly for low-power applications. A low-energy ultra-high-speed function Murmann et al. [20] assessed
capacitor switching technique was applied by Shakibaee et SAR ADCs with the competing technologies. Considering
al. [9] to design a power efficient SAR-ADC. To further the ultra-high-speed ADCs Le et al. [21] developed SAR-
augment energy efficiency author [9] recommended split ADC architecture by exploiting monotonic switching
monotonic technique. In major SAR-ADC designs area and scheme for sampling high frequency differential input
switching complexity often confine efficacy [10] and hence signals. Additionally, a digital background calibration
with intend to deal with such limitations Masoodian et al. scheme was also presented to lessen offset errors, gain
[10] developed a logic circuit-based SAR-ADC that mismatches and memory effect in the ultra-high-speed
applied lesser number of flip-flops that eventually ADCs. To achieve high
eliminated the need of set and reset nodes in flip-flops, thus ratehttps://doi.org/10.1109/ISCAS.2012.6271405
reducing power consumption significantly. Tang et al. [11] communication with minimum complexity Arian et al. [22]
exploited the principle of adiabatic charging for energy recommended enhanced CMOS technologies, particularly
efficiency in ADC. Researchers like Ismail et al. [12] have ADCs that accommodates sampling rates of several tens of
also made effort to augment SAR-ADC design by focusing MS/s (contrary to the traditional ADCs). Aditya et al. [23]
on optimizing SAR algorithms. Authors [12] developed an emphasized on the need of low power and low voltage
energy-efficient capacitance to digital converter (CDC) circuits for the micro air vehicles proposed SAR ADC
interface for capacitive pressure sensors that in conjunction architecture (LFSR logic) in which the charge distribution-
with a new direct-capacitance-comparison technique based DAC has been replaced instead of segmented current
(DCCT) exhibited better performance for SAR steering DAC approach to reduce area, power consumption
implementation. CDC was found suitable for energy and improve the speed of the design.
efficient and stable SAR –ADC design [12].
Um et al. [13] proposed a digital-domain correlation Wang et al. [24] proposed high speed low noise
method for a split- capacitor DAC to be used in differential dynamic comparator to reduce power dissipation,
type 11- bit SAADC by correlating its nonlinearities or bootstrapped sampling-switch to suppress nonlinear
instabilities occurring due to the unparalleled DAC distortion and novel push-pull buffer to enhance the
capacitance and the two parasitic capacitances merged conversion accuracy on a 12-b 100MS/s SAR-ADC.
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 4

Similarly, Rabuske et al. [25] proposed a full-custom QoS Quality of service


controller based on true single-phase clock latch for Figure M2M Machine-to-machine
of Merit (FOM) to gauge the performance of the DNL Differential non-linearity
controllers. Alleviating Signal-to-Noise Distortion Ratio
INL Integral non-linearity
(SNDR) distortion can be vital to augment overall accuracy
VLSI Very large-scale integration
of ADC design for which capacity array design
optimization can be vital [26]. With this motive Fan et al. MCS Merged Capacitor Switching
[26] proposed a capacitive array optimization scheme that CDC Capacitance to digital converter
enhances the Spurious Free Dynamic Range (SFDR) and DCCT Direct-capacitance-comparison technique
SNDR of SAR- ADC to be used for smart sensor C to C Capacitor to capacitor
SAMP- Split array multiphase switched capacitor power-
specifications. Ke et al. [27] proposed a comparator
SCPA amplifier
assisted switching strategy that augmented capacitor array DPD Digital pre-distortion
selection and thereby reduced hardware component and CDS Correlated double sampling
allied switching complexity significantly. A similar effort PGA Programmable gain amplifying
was made by Chen et al. [28] who derived a switching FoM Figure of Merit
technique using SAR-ADC with low input capacitance SFDR Spurious Free Dynamic Range
employing an on-chip resistive ladder to reduce the total
OTA Operational trans-conductance amplifier
input capacitance. The use of predictive capacitor
switching sequence method enhanced energy-efficiency. Ni LFSR Linear feedback shift register
et al. [29] too centered their research on integrating varied Ca Attenuation capacitance
capacitor switching schemes for SAR-ADCs so as to Q1 and Q2 Provide information pertaining to the smallest value of
facilitate no switching energy consumption. The use of capacitors ratio in the adjacent segment
low- power monotonic switching scheme further Cb3 Extra capacitance
augmented overall efficiency. Liu et al. [30] employed a l and m Length of the segments
monotonic capacitor switching scheme to reduce switching Cu Unit capacitance
energy and total capacitances. Unlike these approaches, Ct Total capacitance
Mahdavi et al. [31] applied a new compound R-2R/C Vin Input select signal
structure to achieve high-resolution and speed SA-ADC Vhigh High input signal
design. To reduce the simulation time especially for Vlow Low input signal
medium and high resolution S Brenna et al. [32] developed
CTotal Sum of array capacitance
a an efficient tool for the design of SAR-ADC
S/H Sample to hold circuit
Undeniably, a number of efforts have been made on MSB Most significant bit
augmenting SA-ADC design to achieve power efficiency; VDD Capacitor to supply voltage
however, the high pace up surging demands, especially
Gnd Ground
IoT-ecosystem centric application demands an optimal
solution to meet high speed, low energy, and reliable NMOS N-type metal-oxide-semiconductor
system for which augmenting SA-ADC can be a σINLMAX Standard deviation of integral non-linearity
revitalizing effort. σDNLMAX, Standard deviation of differential non-linearity

Some of the key variables used and the list of σo Standard deviation of unit capacitance
abbreviation is given in Table 1. δi Random variable with zero mean and variance of σ 2o
Table I List of Abbreviation ΔC Relative standard deviation
σ( )
Variables Description C
Cspec Specific capacitance
DAC Digital to analog converter
Kc Pelgrom constant
ADC Analog to digital converter
Sc Size independent coefficient
IoT Internet of thing
ENOB Effective number of bits
SAR-ADC Successive approximation register-analog-to-digital
converter T-S Top plate of the capacitor to the substrate
WSNs Wireless sensor networks T-B Top plate to the bottom plate of the capacitor
DSTS- Dual-split-three-section capacitor array digital to analog B-S Bottom plate of the capacitor to the substrate
CDAC converter
SNDR Signal-to-noise distortion ratio Di Digital input

CMOS Complementary metal oxide semiconductor Cpar Parasitic capacitance connected at the top plate
LLN Low power lossy network Vout Output voltage
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 5

AR Attenuation ratio the variables l and m are the length of segments and C u is
Q First flip flop the unit capacitance. To make clear the following formula,
Qb Complement flip flop let Ca1/Cu=a; Cd2/Cu=b; Ca2/Cu=c; and Cd3/Cu=d,
l 4l l
(3)
III. DUAL-SPLIT-THREE-SEGMENT CDAC BASED SAR- c=2 , d= −(2¿¿ l+1+1 ,); x=2 −Q 2 ¿
Q2
ADC DESIGN
(2 ¿ ¿ m−Q1 )a (4)
As this research exploits efficacy of split capacitor b= −( 2m−1 ) Q2 ¿
array for DAC design and hence understating capacitor Q1
architecture in CDAC is vital. A snippet of the capacitor And the ratio of the total capacitance to the unit
structure in DAC design is given as follows: capacitance can be determined based on following factors
and referring table II :
Table II: Capacitive distribution method for N-14 bit

A. Capacitor Structures of CDAC: H M L a b-x c d Ct/Cu


7 4 3 2 8 8 49 217
The binary weighted DAC structure with binary 7 5 2 2 18 3 28 205
weigted capacitive DAC can be used for the design 6 5 3 2 24 8 49 185
successive approximation ADC. But total number of There many others not listing
capacitors required and hence the area needed for capacitor
array is used to be large particularly when the resolution of For the resolution of 14 bit, the capacitors can be
CDAC is high. In such cases to accomplish relatively lower split in varied approaches. Some of the significant possible
capacitors, the circuit can be modified from the traditional combinations of H, M, and L segments are depicted in
single split capacitive method to a well-defined and Table II. Considering the resolution of 14 bits, let the
structured three segment capacitive method that eventually capacitors in the segment be H=6, M=5 and L=3, the
can reduce the total capacitance area. Unlike classical split- capacitor ratio be the unit value (i.e., Q1=Q2=1),a=2,(b-
capacitive models, in this research work the capacitor array x)=c= 8 and d =49 . Now, assigning these values, the total
is split into two components in conjunction with three capacitance needed by our proposed Dual-Split-Three
segments H, M and L that signify the resolution of the Segment (DSTS) CDAC method as 185 units C ufrom
DAC. Noticeably, as indicated in Fig. 1, in our proposed capacitor distribution table. Here, it comprises two
model the CDAC comprises two split capacitances Ca 1 and attenuation capacitances C a 1 and C a 2 , where C a 1 =
Ca2.
2Cu ,and C a 2 = 8Cu.. According to equation (1) and (2) the
value of Cb3= 49Cu and Cb2=31Cu. To augment our
Vref proposed CSDS-CDAC model for noise-resiliency the
GND
D1 D9 D8 D7 D4 D3 D2 D1 D0 overall design is crafted as differential [33].
͙ ͙͙
32Cu 3 ͙ 2CU CU Cb1 16Cu 2CU CU Cb2 4Cu 2CU CU Cb3
͙͙ . ͙͙ . Here, the static power has been estimated with the RC
settling time from maximum value of the capacitance in the
H SEGMENT
Ca1 M SEGMENT Ca2 L SEGMENT + capacitor array. In proposed CDAC model, the dynamic
_
power is proportional to the sum of array capacitance
32Cu ͙ ͙ 2CU CU Cb1 ͙ ͙ 2C C Cb2
16Cu U U 4Cu 2CU CU Cb3 C Total of which the bottom-plate is connected to the
͙D͙ 1 . D9
D8
͙͙ . D
D7 4 D3 D2 D1 D0 reference voltage supply (Fig. 3). It enables DSTS-CDAC
Vref
3 structure to augment energy-efficiency. In other words
GND lower the C Total higher the energy-efficiency of the SAR-
Fig. 1. Three segment CDAC Structure ADC.

Q1 and Q2 provide information pertaining to the


smallest value of capacitors ratio in the adjacent segment. B. Switching Cell
Mathematically, As indicated in Fig. 2, the proposed CDAC structures
Cb 3 2l−1 Ca2 l (1) comprises 2 to 1 multiplexer as switching cell, which is
= – 2 −1 designed by using two transmission gates and with a single
Cu Q Cu
inverter. Here, the transmission gate functions as a switch
Cb 2 2m−1 Ca1 l (2) that selects the analog signals [34]. The input select signal
= – 2 −1
Cu Q Cu V ¿ is used to select one of the transmission gates, whose
Noticeably, in equation (1) C b 3 and C b 2 presents the extra key role is to derive the multiplexer switch design so as to
enable optimal pass characteristics. In switching cell if the
grounded capacitance to be applied in circuit architecture,
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 6

input selection signal is “high” then the input V High will be ADC using Successive Approximation Register (SAR)
available at the output. Similarly, with the “low” input control logic, as depicted in Fig. 3, which follows the
monotonic switching mechanism as discussed in [35-37]
selection signal, the input V Low would be available at the
The schematic of the proposed SA-ADC which consists of
output. H- segment, M-segment and L- segment. Here H -segment
is considered to be Main -array, M-segment and L-
segment are together considered to be Sub- Array. Also,
DACp (the capacitive array connected to the comparator's
positive input) DACn (the capacitive array connected to the
comparator's negative input) . The switching operation is
as follows which is as depicted in Fig5. In Sampling
phase, the input signal is sampled to all top plate of
capacitor and whereas the bottom plate of the array is
connected to Vref. During 1st cycle of conversion phase,
Fig. 2. Switching Cell of the proposed DSTS-CDAC design
sampling switches are made OFF. The comparator
A snippet of the proposed 14-bit DSTS-CDAC structure is performs the comparison and MSB bit D 1 is determined.
given as follows: This cycle does not consume any switching energy.
According to the comparator output, the largest capacitor
C. Switching Method on the higher voltage potential side is switched to ground
Being a SA assisted ADC design, our proposed and the other one (on the lower side) remains unchanged.
DSTS-CDAC structure employs the switching circuit for The switching repeats the procedure until the LSB is
decided. The switching procedure is as depicted in Fig 4.

GND
Vref

32C ͙ ͙ 2CU CU Cb1 ͙


͙
16Cu 2CU CU Cb2 4Cu 2CU CU
u ͙͙ . ͙͙ .
Vinp
_
Ca1
Vinn H SEGMENT M SEGMENT Ca2 L + SAR Control
logic
SEGMENT D1 to D13
32C ͙ 16C Cb
͙ 2CU CU Cb1
͙͙ 2CU CU 2 4Cu 2CU CU Cb3
u u
͙͙ . ͙͙ .
Clk
Vref
GND
Fig. 3. DSTS-CDAC Switching Circuit
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 7

Vref Vref Vref Vref Vref Vref 2


1CVref
Vref Vref Vref Vref Vref Vref
C 2C C 2C C
2C C 2C C 2C C
Vinp

2 CVref2
2C C 2C C 2C C 2C C 2C C 2C C
Vref Vref Vref Vref Vref Vref Vref Vref Vref Vref Vref Vref

Sampling phase
Vref Vref Vref Vref
C 2C C 2C C
2
Vref Vref Vref Vref Vref Vip-Vin= 3/4CVref
2
C 2C C 2C C 1/4CVref
2C C 2C C 2C C
(1) Vref Vref Vref Vref Vref Vref
Vref Vref Vref Vref Vref
2C C 2C C 3/4CVref2 2C C 2C C
Vref Vref Vref Vref Vref Vref C

Vip-Vin= 1/4CVref2
2C C 2C C 2C C
Vref Vref Vref Vref Vref Vref
Vref Vref Vref Vref Vref Vref
C 2C C 2C C

Vip-Vin= 1/4CVref2
Vref Vref Vref Vref Vref Vref 2C C 2C
C 2C C 2C C 3/4CVref2 C 2C
C
Vref Vref Vref Vref Vref
Vref Vref Vref Vref Vref Vref
(2) 2C C 2C C
2C C 2C C 2C C 1/4CVref2 C
Vref Vref Vref Vref Vref Vip-Vin= 3/4CVref2
2C C 2C C 2C C
Vref Vref Vref Vref

Fig 4: Switching proceduure

The linearity of the capacitive DAC predominantly


depends on the matching properties of the capacitors and
parasitic capacitances of the unit capacitive elements.
Typically, the nonlinearity of CDAC is quantified in term
of Integral Nonlinearity (INL) and Differential
Nonlinearity (DNL). In this research work, the standard
deviation of the DNL and INL has been estimated. Here, to
characterize linearity characteristics (i.e., DNL and INL) of
the developed DSTS-CDAC, the SAR-ADC was
Fig 5. Energy consumption curve constructed based on capacitive-array DAC structures,
where each capacitors in the capacitive array was modeled
Fig 5 shows the array energy consumption as function as the sum of the nominal value of the capacitances and an
of the ADC output code for the proposed architecture, by error term [35],[37][38]. For the binary weighted
following the monotonic switching algorithm. Through architecture, each capacitor is obtained as follows:
periodic sampling and conversion process. this method
gives average Energy consumed as 126.03CVef2 C i=2N −i C u +δ i
(5)

In addition to the energy-efficiency the proposed DSTS σ i2=E [ δ 2i ]


CDAC design has been assessed for linearity analysis to
ensure its suitability for IoT ecosystem. In (5),σ i states the standard deviation of unit capacitance
c u andδ i signifies the random variable with zero mean and
2
variance of σ i . The power consumption of CDAC
D. Linearity analysis of capacitive DAC
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 8

structure is directly proportional to the value capacitor plate of the capacitor to the substrate (T-S), from the top
intern size of the unit capacitor in the capacitive array. The plate to the bottom plate of the capacitor (T-B) and the
smallest possible value for is determined either by the kT/C bottom plate of the capacitor to the substrate (B-S).
noise requirement [39], the required matching properties of However, such type of parasitic capacitance would not
capacitor, parasitic capacitance or design rules of the affect linearity behavior of capacitive DAC significantly
technology. In general, the matching properties of the because the bottom-plate of the array capacitances is
capacitors as well as the parasitic capacitances are the connected to the ground or supply-voltage. Usually, the
dominant factors for medium-resolution ADCs of the parasitic capacitance is considered to be responsible for
converter which affect the linearity characteristics. degrading the linearity performance of the three segment
Therefore, in this paper a comparative assessment of the CDAC converter. It can be examined by deriving the
linearity of a SAR-ADC has been performed using the voltage at the output node of the DAC. For the
developed DACs structure due to capacitor mismatch and conventional CDAC the output voltage corresponding to a
the standard deviation of the INL and DNL have been given digital input can be obtained by (8) [40].
estimated. In this research work, the effect of capacitance
N (8)
mismatch has been quantified by its standard deviation for
DNL ( σ DNLMAX ) that actually takes place at the middle of ∑ Di .C i
input code. Referring the works in [38][39] for three Vout = i=1
C Tot + C Par
segment CDAC structures the standard deviation for DNL
can be estimated as function of relative standard deviation In equation (8), Di presents the digital input word for
ΔC i=1,2,3 … N , C Par refers the parasitic capacitance
σ( ). Mathematically, N
C connected at the top plate and C Tot =2 C u. For our
N (6)
3 ΔC proposed DSTS CDAC structures with three segments this
σ DNLMAX =2 σ ( ) expression has been obtained for 14 bit with H=6, M=5 and
C l=3 using (9).
In above expression (6), the relative value of
ΔC N i

[ ]
σ( ) has been obtained using (7). 2
−1
Di . C
C ∑ (9)i
(7) ∑ Di . C i N
i= −1
k 2c c spec 2 2
σ
ΔC
( )C
=
√ Cu
+ sc x
In {7), c spec refers the specific capacitance, C u is the
Vout= i=1
Ctot+C Par , H
+ AR . 2
Ctot +C ParM +C parL
In equation (9), C i states the capacitance associated with i th
V DD

unit capacitance, K c states pelgrom constant and Sc states bit, and AR states the attenuation ratio obtained using (10).
the size independent coefficient. The value of capacitor is
selected in such manner that there can be minimum value Cu
AR= (10)
2
of k c Cspec , and the size of unit capacitance C u. It is C H +C parH

achieved by maintaining σ DNLMAX <0.5 by neglecting the The above expression states that only the parasitic of
size-independent term for medium resolution. Though, C ParM and C parLinfluence the linearity which are
DNL and INL do characterize the best metrics for responsible of a deterministic pattern of the DNL, and
assessing linearity behavior of CDAC model, the effective hence of the INL. In the proposed research, the transistor
number of bits (ENOB) can also be applied as an indicator level simulation has been exhibited on 14-bit DSTS-CDAC
of system performance. Primarily, ENOB relies on the with unit capacitance of 100 fF and accordingly the
distribution of the INL along the output code. In addition to linearity variations have been retrieved. This study has
the above stated assesses, the linearity characterization of revealed that the pattern goes to high at every 128 for 14
the CDAC structures under parasitic capacitance effects bit resolution and the highest value of pattern is obtained at
has also been examined. 0.4LSB which is within the range of +0.5LSB/-0.5LSB.
Thus, in this study the value of ENOB is obtained as
E. Capacitive Parasitic Effect 11bits. In final state of the characterization, the parasitic
In this paper, the parasitic effect of capacitances in between top- and bottom plate of the H –array capacitors
CDAC structure has been assessed by considering has been assessed to have its impact on limiting the
capacitance effect of the top-plate of the designed array to linearity performance of the proposed DSTS-CDAC
the substrate, from the top-plate to the bottom-plate of all structure. It is significant to assess this behavior as due to
unit capacitor, and bottom plate to substrate of each the routing paths for connecting the capacitor plates there
capacitive bank of the DAC. Typically, the parasitic could be certain parasitic capacitance impacting linearity of
capacitances characterize the one connected from the top the proposed DSTS-CDAC structure. Usually, such
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 9

parasitic capacitors affect the unit capacitance C u that inversion region. The equivalent input noise of the
eventually affects major performance parameters including comparator [40] approximately equal to
linearity as well as energy consumption. In the three- KT 8 KT
segment capacitive array model, the parasitic capacitance
affecting C i may impose an error of ΔC i on the output
Vn ,(rms)=
√ √ Cp
.
qV T
(11)

voltage given in (11). VT being the threshold voltage of the second stage input
transistors. In order to make this noise negligible with
N i
2 ×0.5

[ ]
−1
2
∑ Di . ΔC i(10) respect to the LSB for the VDD =0.5 V ( LSB=
∑ D i . ΔC i i=
N
−1
2N
V DD ,= 61µv),the parasitic capacitance has to be larger than
i=1 2
Vout= + AR .
C Par , H C ParM +C parL approximately 3 fF.

In above equation C Par , H ,C ParM ∧C parL are the overall


Vdd
capacitances of the DAC structure. Considering this fact, in
this research the effect of parasitic capacitances has been Vbias M1
assessed, where it exhibits affirmative results ensuring Vinn
optimal performance with minimum power exhaustion and M2 M3 Vinp M10 M11
better stability.
A graphic user interface MATLAB environment
supports the implemented models[ that allow to simulate M4 M5 M12 M13
both mismatch and parasitic effects on linearity. This out2
allows to run simulations in order to accurately evaluate M17
out1
M9
the DNL and INL taking consideration of capacitor M8 M6 M7 M14 M16 M15

mismatch and parasitic effects. Gnd

Fig 6. Comparator
F. The Comparator
In DSTS-CDAC structure the comparator circuit G. The SAR Logic
compares the output of sample hold circuit with the DAC In the proposed DSTS-CDAC structure the SAR
output generated by segmented capacitive array method. In dynamic logic generates necessary signal to control the
this method, the output of the comparator is fed to SAR operation of the CDAC and allied functional comparator.
logic as indicated in Fig 6. Figure (Fig. 6) depicts the Applying dynamic logic, the number of transistors required
dynamic latched structure of the comparator with two get reduced significantly that eventually makes proposed
phases [38][41][42]. In our proposed model, in initial phase model energy or power-efficient. The SAR logic as
it consists of pre-amplifier with NMOS differential pair indicated in Fig 7 comprises linear feedback shift register
which is vital for adopting the monotonic switching that enables ADC function based on the binary search
scheme. In later phase, for same bias current and input method. Here, the SAR logic has been formed using DFF
trans- conductance, the NMOS pair offers the lower input that embodies register circuit as additional component.
capacitance with a reduced effect on the converter Reset controls the flip-flop 1’s set signal and reset signal of
linearity. other flip-flops. Additionally, the code registers too are
controlled by this reset value. Functionally, the reset value
For this comparator before the comparison is initialized with zero. The output of first flip flop Q is ‘1’
process begins, the first stage output nodes are pre-charged and its complement Qb is ‘0’. Here, the complement output
low by a positive on Vbias signal. The pre-charging phase Qb manages the code register flip flop 1’s set value that
sops at falling edge and starts amplify the differential input enables the code register flip flop 1’s output to becomes
signal and also current starts to flowing into the differential ‘1’. In the proposed SAR-ADC structure, the sequence
pair charging the parasitic capacitances Cp at the drain registers remain in reset state and hence except CF1 all the
nodes of the 1st stage. The voltage on the capacitors code registers input are set to “1” that makes the output of
increases depending on the input signal, Vinp–Vinn. As the the code registers at logic zero. Consequently, the sequence
first stage output voltages reach the threshold voltage of remains in such manner that the MSB is logic ‘1’ and all
the second stage input transistors, the latch starts to amplify the other bits are ‘0’. Thus, applying DAC the equivalent
the signal. According to simulation, the first stage analog value can be obtained for further processes.
differential gain is about 5, high enough to make the noise
of the second stage negligible. Thus, the equivalent input
noise of the comparator is mainly determined by the input
differential pair and its transistor working in weak
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 10

Table III exhibits the power consumption of


conventional SAR-ADC and ADC with thee segment
CDAC structure.
Table III Power Consumption Summary
Blocks Power consumed

Segmented capacitive DAC 12µW


Conventional CDAC structure 2.3mW
Segmented DAC SAR_ADC 90.12 µW
Conventional CDAC SAR_ADC 2.47 mW

The static performance of the proposed SAR-


Fig 7. Successive Approximation Register (SAR) Logic
ADC is depicted in Figures (Fig. 9 and Fig. 10), which
IV. RESULTS AND DISCUSSIONS reports both DNL and INL in LSB as functions of the
output level code of converter. Here the DNL shows
Considering the significance of a robust DAC design periodic peaks at every 128 codes for 14-bit resolution.
for energy-efficient and stable SAR-ADC structure in this The measured value of the DNL at 1Msps is +0.4LSB/-
paper a highly robust Dual-Split-Three Segment Capacitive 0.2LSB and INL of 1LSB /-1LSB.These DNL/INL
array DAC model (DSTS-CDAC) has been developed. performance are mainly due to the mismatch value of
Unlike classical capacitive DAC models DSTS-CDAC capacitances present capacitive array. The standard
architecture enables two split with three segments that not deviation of DNL, INL versus output ode of converter as
only reduces energy exhaustion but also memory shown in the results (Fig. 11 and Fig. 12) where the
consumption. The power consumption of SAR-ADC has standard deviation goes to the maximum value of
been assessed as a function of sampling rate, varying from +0.45LSB As for as dynamic performance is concerned, at
100-kSps to 1MSps and for the two different supply a sampling rate of 1MSps with power supply voltage of
voltages (1V and 1.5v). The energy consumption by our 1.5v the ADC achieves SNDR of 67.9dB and ENOB of 11
proposed DSTS-CDAC model is depicted in Fig. 8. To bits. The performance of the implemented SAR-ADC
perform simulation the sampling rate is selected as 1- converter are summarized in Table IV and Compared with
MSps, the input supply of SAR - ADC was selected at recent state-of-the art SAR -ADCs. The proposed converter
1.5V. In the proposed three-segment capacitive DAC shows that, the parameters derived are in-line with the
multiplexer has been applied as the selecting switch that other medium-resolution converters. However, this is the
eventually consumes low power (12µW). And comparator only ADC converter that relies on an optimized array in-
consumes the power of 10.47µW. The overall power terms of unit capacitance to mitigate the effect of the
consumption of successive approximation ADC was capacitive mismatch and parasitic. Finally, the area factor
obtained as 90.12μW. On the other hand, the SAR–ADC is analyzed based on the number of unit capacitors required
model with conventional CDAC structure consumes the he by capacitive array of CDAC.
power of 2.47mW at sampling frequency of 1MSps.
Fig 13 shows the FFT Spectrum at sampling
frequency 200KSps with input sinewave for a frequency
Power Consumption Curve
100 of 96KHz.
power consumption (µW)

90
80
70
60
50
40 Vdd=1.5v
30 Vdd=1.0v
20
10
0
100 200 300 400 500 600 700 800 900 1000
sampling rate (ksPS)

Fig 8. Power consumption curve


Fig 9. Simulated plot of DNL
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 11

Parameter [41] [43] [44] [45] Proposed


DSTS-
CDAC
Power supply 1.8 1.5 1.2 1.2 1.5
voltage(v)
Resolution (bit) 14 14 14 14 14
Sampling 0.1 40 80 0072 1
rate(MSps)
Technology - 65 130 130 180
Power Cons. by 4.29 66000 3100 130 90.15
SAR_ADC(µw
)
Peak - 13.5 11.6 13.5 11
ENOB(bits)
Fig 10. Simulated plot of INL Peak - 83 71.2 83 67.9
SNDR(dB)
Capacitors - - MOM MiM PiP

V. CONCLUSION
Taking into consideration of the need of a robust data
acquisition and communication system for the advanced
communication purposes such as IoT ecosystem, in this
paper a novel Dual-Split-Three-Section (DSTS) capacitor
array DAC (DSTS-CDAC) was proposed to augment
classical SAR-ADC design. The proposed DSTS-CDAC
model focused on enabling 14-bit SAR-ADC function
while retaining optimal Signal-to-Noise Destruction Ratio
Fig 11 Standard deviation of DNL
(SNDR) of the ADC, A graphic user interface MATLAB
environment supports the implemented models that allow
to simulate both mismatch and parasitic effects on linearity
(DNL and INL). The energy consumption performance by
the switching capacitors in applied CDAC model with
three segment capacitive method revealed that the
proposed DSTS-CDAC model exhibits 190 times reduced
power consumption than the classical switching schemes.
Unlike classical SAR-ADC design, DSTS-CDAC model
that employs dual (i.e., two) split capacitor array with
multiplex switching conserves more than 28% total
capacitance area and hence makes proposed system
memory efficient. Since the proposed design was made
Fig 12. Standard deviation of INL completely differential and hence reduced the noise
parameter SNDR significantly. The proposed CDAC
model can be of vital significance for noise-resilient
sampling of high frequency differential input signals.
However, the simulation results revealed that the proposed
DSTS-CDAC model exhibits better stability or linearity
under as compared to the classical DAC structures. Thus,
the overall results obtained and allied novelties affirm its
suitability for real time applications where high rate data
processing such as acquisition, transmissions etc are
required with minimum possible signal distortion and non-
linearity.

Acknowledgments:
Fig 13: FFT Spectrum at 200Ksps Sampling frequency

Table IV: Performance summary of segmented SAR ADC


ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 12

The authors are very grateful to Principal and Differential SAR ADC Without Additional Analog Circuits,"
management of KVG college of Engineering Sullia. for in IEEE Transactions on Circuits and Systems I: Regular
kindly support and for providing appropriate guidance. Papers, vol. 60, no. 11, pp. 2845-2856, Nov. 2013
[14] Z. Bai, A. Azam, D. Johnson, W. Yuan and J. S. Walling,
REFERENCE "Split-Array, C-2C Switched-Capacitor Power Amplifiers,"
in IEEE Journal of Solid-State Circuits, vol. 53, no. 6, pp.
[1] P. Madoglio et al., "13.6 A 2.4GHz WLAN digital polar 1666-1677, June 2018.
transmitter with synthesized digital-to-time converter in 14nm [15] J. Wang, S. Liu, Y. Shen and Z. Zhu, "Low-Power Single-
trigate/FinFET technology for IoT and wearable Ended SAR ADC Using Symmetrical DAC Switching for
applications," 2017 IEEE International Solid-State Circuits Image Sensors With Passive CDS and PGA Technique,"
Conference (ISSCC), San Francisco, CA, 2017, pp. 226-227. in IEEE Transactions on Circuits and Systems I: Regular
[2] K. Shehzad, H. Kang, D. Verma, Y. J. Park and K. Lee, "Low- Papers, vol. 65, no. 8, pp. 2378-2388, Aug. 2018.
power 10-bit SAR ADC using class-AB type amplifier for [16] Zhou Peng, Chenxi Han, Dongmei Li and Zhihua Wang, "A
IoT applications," 2017 International SoC Design Conference 6bit 550Ms/s small area low power successive approximation
(ISOCC), Seoul, 2017, pp. 224-225. ADC," 2014 International SoC Design Conference (ISOCC),
[3] Y. Liu et al., "An Ultra-Low Power 1.7-2.7 GHz Fractional-N Jeju, 2014, pp. 200-201.
Sub-Sampling Digital Frequency Synthesizer and Modulator [17] W. H. Choi, H. Kim and C. H. Kim, "Circuit techniques for
for IoT Applications in 40 nm CMOS," in IEEE Transactions mitigating short-term vth instability issues in successive
on Circuits and Systems I: Regular Papers, vol. 64, no. 5, pp. approximation register (SAR) ADCs," 2015 IEEE Custom
1094-1105, May 2017. Integrated Circuits Conference (CICC), San Jose, CA, 2015,
[4] Wen-Cheng Lai, Ho-Chang Lee, Yen-Jung Su and Sheng- pp. 1-4.
Lyang Jang, "SAR ADC with a body effect reduction T/H [18] Stefan Haenzsche, Stephan Henker, Rene chüffny Thomas
circuit for wireless power transfer applications," 2017 IEEE Reichel, Matthias Garzarolli ” A 14 bit Self-Calibrating
3rd International Future Energy Electronics Conference and Charge Redistribution SAR ADC” IEEE International
ECCE Asia (IFEEC 2017 - ECCE Asia), Kaohsiung, 2017, Symposium on Circuits & Systems IEEE, 2012
pp. 638-642. [19] J. Mitrovic, Y. Zhang and Z. Ignjatovic, "Predictive
[5] Y. Tsukamoto, K. Obata, K. Matsukawa and K. Sushihara, Successive Approximation ADC," 2018 IEEE International
"High power efficient and scalable noise-shaping SAR ADC Symposium on Circuits and Systems (ISCAS), Florence,
for IoT sensors," 2016 IEEE International Meeting for Future Italy, 2018, pp. 1-5.
of Electron Devices, Kansai (IMFEDK), Kyoto, 2016, pp. 1-2 [20] B. Murmann, "The successive approximation register ADC:
[6]. Mauro Santos, Nuno Horta, Jorge Guilherme “A survey on a versatile building block for ultra-low- power to ultra-high-
nonlinear speed applications," in IEEE Communications Magazine, vol.
54, no. 4, pp. 78-83, April 2016.
analog to digital converters” Integration, the VLSI Journal [21] B. S. Le, D. H. Le and T. T. Bui, "A successive-
Volume approximation-register ADC architecture for digital
47, issue 1, January 2014, Pages 12-22 background calibration in high speed ADCs," 2014
.[7] Xicheng Zhou, Ying Zhang and Yun Su, "An 8-bit 35-MS/s International Conference on Advanced Technologies for
successive approximation register ADC," 2015 IEEE Communications (ATC 2014), Hanoi, 2014, pp. 42-47
International Conference on Progress in Informatics and [22] A. Arian, M. Saberi and S. Hosseini-Khayat, "Successive
Computing (PIC), Nanjing, 2015, pp. 531-533. approximation ADC with redundancy using split capacitive-
[8] M. Saberi, R. Lotfi, K. Mafinezhad and W. A. Serdijn, array DAC," 2011 19th Iranian Conference on Electrical
"Analysis of Power Consumption and Linearity in Capacitive Engineering, Tehran, 2011, pp. 1-4.
Digital-to-Analog Converters Used in Successive [23] Aditya, G. Rakesh Chowdary, J. Meenakshi, T. Praveen
Approximation ADCs," in IEEE Transactions on Circuits and Blessington and M. S. Vamsi Krishna, "Implementation of
Systems I: Regular Papers, vol. 58, no. 8, pp. 1736-1748, low power Successive Approximation ADC for
Aug. 2011. MAV's," 2013 International Conference on Signal Processing,
[9] F. Shakibaee, F. Sajedi and M. Saberi, "Low-power Image Processing & Pattern Recognition, Coimbatore, 2013,
successive approximation ADC using split-monotonic pp. 107-111.
capacitive DAC," in IET Circuits, Devices & Systems, vol. [24] Qing Wang, Libing Zhou, Zhou Peng, Dongmei Li and
12, no. 2, pp. 203-208, 3 2018. Zhihua Wang, "A 12-b 100MS/s low-power successive
[10] S. Masoodian and M. A. Khalatbari, "A power-efficient approximation register ADC in 65nm COMS," 2014 IEEE
successive approximation ADC using an improved control International Conference on Electron Devices and Solid-State
logic circuit," 20th Iranian Conference on Electrical Circuits, Chengdu, 2014, pp. 1-2.
Engineering (ICEE2012), Tehran, 2012, pp. 101-104. [25].Prakash Harikumar, J. Jacob Wikner , "A 10-bit 50MS/s
[11] H. Tang and S. Liter, "An energy recovery approach for a SAR - ADC  in 65nm CMOS with
charge redistribution successive approximation ADC," 2010 on-chip reference voltage buffer” Integration the VLSI
International Conference on Microelectronics, Cairo, 2010, Journal vol.50, June 2015,pages 28-38
pp. 13-16. [26] H. Fan et al., "High Linearity SAR ADC for High
[12] A. Ismail and M. El-Nafarawi, "Energy-efficient successive- Performance Sensor System," 2018 IEEE International
approximation-register based capacitive interface for pressure Symposium on Circuits and Systems (ISCAS), Florence,
sensors," in Electronics Letters, vol. 51, no. 24, pp. 2007- Italy, 2018, pp. 1-4.
2009, 11 19 2015. [27] Y. j. Ke, X. b. Wu and M. l. Zhao, "A novel comparator-
[13] J. Y. Um, Y. J. Kim, E. W. Song, J. Y. Sim and H. J. Park, assisted switching strategy used in Successive Approximation
"A Digital-Domain Calibration of Split-Capacitor DAC for a Register ADC," 2012 IEEE 11th International Conference on
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 13

Solid-State and Integrated Circuit Technology, Xi'an, 2012, [41] Milano, P., Bonfanti, A. G., Milano, P., & Lacaita, A. L “A
pp. 1-3. 70 .7-dB SNDR 100-kS / s 14-b SAR ADC with attenuation
[28] T. Z. Chen, S. J. Chang and G. Y. Huang, "A successive capacitance calibration in 0. 35-mu m CMOS,” Analog
approximation ADC with resistor-capacitor hybrid Integrated Circuits and Signal Processing August, . 2016.
structure," 2013 International Symposium on VLSI Design, 0–25
Automation, and Test (VLSI-DAT), Hsinchu, 2013, pp. 1-4 [42] Zhang, D., Bhide, A., & Alvandpour, A. “A 53-nW 9.12-
[29] Y. Ni, L. Liu and S. Xu, "Mixed capacitor switching scheme ENOB 1-kS/s SAR ADC in 0.13-_m CMOS for Medical
for SAR ADC with highest switching energy efficiency," Implant Devices”, Proceedings of the ESSCIRC
in Electronics Letters, vol. 51, no. 6, pp. 466-467, 3 19 2015. (ESSCIRC), IEEE 467–470, -2011.
[30] C. C. Liu, S. J. Chang, G. Y. Huang and Y. Z. Lin, "A 10-bit [43] R. Kapusta, J. Shen, S. Decker, H. Li, E. Ibaragi, H. Zhu, A
50-MS/s SAR ADC With a Monotonic Capacitor Switching 14 b 80MS/s SAR ADC with 73.6dB SNDR in 65nm
Procedure," in IEEE Journal of Solid-State Circuits, vol. 45, CMOS, IEEE Journal of Solid-State Circuits, vol. 48, no. 2,
no. 4, pp. 731-740, April 2010. pp. 3059–3059, Feb. 2013.
[31] S. Mahdavi and E. Ghadimi, "A new 13-bit 100MS/s full [44] Fateh, S. S., Schönle, P., S., Bettini, L.” A Reconfigurable
differential successive approximation register analog to 5-to-14-bit SAR ADC for Battery-Powered Medical
digital converter (SAR ADC) using a novel compound R- Instrumentation,” IEEE Transactions on circuits and
2R/C structure," 2017 IEEE 4th International Conference on systems—, VOL. 62, NO. 11, 2685–2694, NOVEMBER
Knowledge-Based Engineering and Innovation, Tehran, 2017, 2015.
pp. 0237-0242. [45] Pieter Harpe, Eugenio Cantatore, Arthur van Roermund” An
[32] S. Brenna, A. Bonetti, A. Bonfanti, A.L. Lacaita, “An Oversampled 12/14b SAR ADC with Noise Reduction and
Efficient Tool Linearity Enhancements Achieving up to 79.1dB SNDR”
IEEE International Solid-State Circuits Conference
For the Assisted Design of SAR-ADCs Capacitive (ISSCC)-2014.
DACs”
Integration, the VLSI Journal, Volume 53 March 2016 ,Pages
-88-99 Savitha.M has received the
[33]. Inanlou, R., Yavari, M.” A simple structure for noise-
B.E.degree in electrical and
shaping SAR ADC in 90 nm CMOS technology “AEU -
International Journal of Electronics and Communications Vol. electronics engineering from
69, issue no.-8, August 2015, Pages 1085-1093 Mangalore University, India
[34] Hong, H., & Lee, G. “A 65-fJ/Conversion-Step 0.9-V 200- in1995 and the MTech. degree in
kS/s Rail-to-Rail 8-bit Successive Approximation ADC,” industrial electronics from
IEEE journal of solid-state circuits, vol. 42, no. 10, , 2161– National institute of technology
2168, Oct. 2007 Mangalore in 2003
[35] Zhangming Zhu, Zheng Qiu, Maliang Liu, and Ruixue Ding . Presently she is pursuing the Ph.D. Degree in
A 6-to-10-Bit 0.5V-to-0.9V Reconfigurable 2MS/s power
School of Electronics and communication REVA
scalable SAR ADC in 0.18μm CMOS, IEEE Trans. on
Circuits and Systems I: Regular Papers, 2015, Vol.62, no.3, University Bangalore .Her research work focuses on design
pp.689-696. and analysis of mixed mode circuits. Her area of interest is
[36] Liu, C., Chang, S., Huang, G., & Lin, Y). “A 10-bit 50-MS / analog and digital VLSI Design and mixed Mode circuits.
s SAR ADC with a Monotonic, capacitor switching
procedure” IEEE JOURNAL OF SOLID-STATE
CIRCUITS, VOL. 45, NO. 4, 731–740 APRIL 2010
[37] Shubin Liu, Yi Shen, and Zhangming Zhu A 12-bit 10MS/s
R. Venkat Siva Reddy has received the
SAR ADC with High Linearity and Energy-Efficient
Switching. IEEE Trans. on Circuits and Systems I: Regular BE degree in Electronics and
Communication from Gulbarga
Papers, 2016, vol.63, no.10, pp.1616-1627.
University and the MTech degree in
[38] Saberi, M., Lotfi, R., Mafinezhad, K., Serdijn, W. A.
“Analysis of Power Consumption and Linearity in Power Electronics from Gulbarga
Capacitive Digital-to-Analog Converters Used in University. He received the Ph.D. degree
Successive Approximation ADCs, “IEEE Transactions on from the Department of Electronics Sri
circuits and systems, vol. 58, no. 8, August 2011, 1736– Krishnadevaraya University, Anantapur
1748.
[39] Zhangming Zhu, and Yuhua Liang A 0.6-V 38-nW 9.4-
Presently and he professor in School of
ENOB 20-kS/s SAR ADC in 0.18um CMOS for Medical
Implant Devices. IEEE Trans. on Circuits and Systems I: Electronics and Communication Reva University.
Regular Papers. 2015, vol.62, no.9, pp.2167-2176 Bangalore. His research interest include power electronics
[40] Bonfanti, A. G., Milano, P., Milano, P., Brenna, S., & and VLSI design circuit. He is professional member of
Bonfanti, A “A 6-fJ / conversion-step 200-kSps asynchronous IEEE and he worked as treasurer for IEEE for 2013-14. He
SAR ADC with attenuation capacitor in 130-nm CMOS” has several fellowships and he is recognised as Outstanding
Article in Analog Integrated Circuits and Signal Processing · Volunteer IEEE Bangalore Section year 2012
August 2014.
ARAB ECONOMIC AND BUSINESS JOURNAL 00 (2014) 000–000 14

You might also like