Design and Implementation of VHDL For High Speed Interleaver in Tactical Data Link

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 4

2018 International Conference on Sensor Networks and Signal Processing (SNSP)

Design and Implementation of VHDL for High Speed Interleaver in Tactical Data
Link

Zhao Heng, Li Guomin, Xia Meng


College of Communition and Information Engineering
Xi’an University of Science and Technology
Xi’an, Shaanxi
e-mail:757911870@qq.com

Abstract—This paper introduces the channel coding of data Hamming code, and implements and simulates with FPGA
link system, and analyzes the principle and algorithm of as the platform, but adopts simple block interleaving
interleaved codec in data link. Based on this, a convolutional technology; Literature [7] and literature [6], based on the
interleaving codec for RS (204, 188) code is designed and study of interlacing and Hamming code, designed an
implemented by VHDL. The data delay is realized, and the interwoven Hamming code.
function of convolutional interleaving is completed. The design
has the advantages of simple implementation and low resource II. CHANNEL CODING OF DATA LINK SYSTEM
consumption.
The choice of general error control coding is based on
Keywords- data link system, convolutional interleaving, actual channel characteristics and system error performance
VHDL requirements. The RS code is a multi-coded code that can
correct multiple consecutive binary error codes, so it is
especially suitable for correcting bursty error codes in fading
I. INTRODUCTION channels. Its coding efficiency is high, and it is suitable for
At present, the tactical data link mainly provides anti- information transmission occasions with high real-time
interference, secure data communication, and real-time requirements. It has been widely used in data links, such as
transmission of detection and sensor information for the Link-16, Link-22, deep sea communication, air-to-air missile
combat platform. Therefore, it can improve the coordination telemetry[5]. In this paper, based on the DOCSIS standard,
capability and operational effectiveness of the combat The error correction coding of the scrambled RS (204, 188)
platform, so the information transmission requirements are error protection packet uses a convolutional interleaving
safe and effective. Since the main error in the scheme with an interleaving depth of I=12 and M=17.
communication process is caused by channel noise and
enemy sudden intentional interference, the error may be a III. THE BASIC PRINCIPLE OF CONVOLUTIONAL
[9]
long string or a long sudden error. Therefore, an encoding INTERLEAVING
technique that can correct the burst error must be adopted[3]. Interleaving is a technique used in data processing in a
The FEC scheme of error correction coding combined with communication system. Interleaving is essentially a
the interleaving technique is usually used to deal with burst technique for achieving maximum change in information
errors, that is, the interleaving technique is used to structure without changing information content. After the
randomize burst errors, and the error correcting code input sequence of information is interleaved, the order of the
technique is used to correct random errors. resulting output sequences will change. The inverse process
As a standard language used in hardware design, VHDL is de-interlacing, that is, the position of the received
reduces the difficulty of designing FPGAs and shortens the information sequence is restored, and the position of the data
design and debugging cycle of the entire system. Interleaving is restored to the order of transmission. There are two types
with FPGA can greatly reduce the size of the circuit and of synchronous interleaving commonly used in digital
improve the stability of the circuit, thus achieving the real- communications:
time communication requirements of the data link. 1) Block interleaving. It is a two-dimensional memory
Literature [3] discussed in detail the specific algorithm of array N × B, the data is first written in rows, and then read
RS codec and its FPGA implementation in data link; In [4], out in columns to complete the interleaving process;
an efficient convolutional de-interleaving implementation accordingly, the deinterleaving process is to write data in
structure is designed for the integration of the demodulation columns and then in rows. The block interleaving structure is
chip in the SOC system, which greatly improves the access simple, but the data delay time is long and the required
efficiency of the convolution and de-interlacing to the bus; In memory is relatively large.
[5], an RS decoding algorithm with low complexity and 2) Convolution interleaving. Convolutional interleaving
simple hardware implementation is proposed. The is based on the Forney method. The structure of
performance curve of the algorithm is simulated by Matlab, convolutional interleaving and deinterleaving is shown in
but the feasibility of the algorithm is not verified by FPGA; Fig.1[4].
In [6] expounds a kind of interlaced coding technology of

978-1-5386-7413-0/18/$31.00 ©2018 IEEE 392


DOI 10.1109/SNSP.2018.00082
port RAM and then read out after a certain delay. This delay
is controlled by the read and write addresses of the dual port
RAM. Therefore, the key to its implementation lies in the
generation and distribution of the dual-port RAM read/write
address, which is not only conducive to finding the change
rule of the address, but also easy to implement the circuit.
One way to generate RAM read and write addresses is to
map the read and write addresses into the ROM. Another
way is to get the read and write addresses through circuit
Figure 1. Schematic diagram of convolutional interleaver calculation. The former method is simple and convenient to
and deinterleaver implement, but adding ROM will occupy storage resources,
The input symbol data at the input end of the interleaver so the latter is generally adopted, and its implementation is
enters the I branch delays in sequence, each delaying a not complicated.
different symbol period. The first channel has no delay, the The following is a brief description of the generation of dual-
second channel delays M symbol periods, the third channel port RAM address allocation and read-write addresses in this
delays 2M symbol periods, ⋯⋯, the Ith channel delays (I-1) design. Take an interleaver with I=12 and M=17 as an
M symbol periods. The output of the interleaver example. Since the interleave depth is 12, there are 12
synchronously outputs the delayed data of the corresponding channels. Table 1 lists the address assignments for each
branch according to the working beat of the input end. channel. The first row corresponds to the 0th channel of the
Thedelay tempo of each branch symbol data of the interleaver in Fig. 2, the second row corresponds to the first
convolutional interleaver is di=(i-1)MI, i=1, 2, ⋯, I. The channel, and the third row corresponds to the second channel,
number of delays of the deinterleaver is opposite to that of
the interleaver, that is, the first branch delays (I-1)M symbol
periods, ⋯⋯, the Ith branch has no delay.
IV. VHDL DESIGN OF CONVOLUTIONAL INTERLEAVER
There are two main types of convolutional interleaving
methods: one is to use the shift register method, and the
delay of each branch is directly realized by FIFO. This
method is simple to implement. However, when the values of Figure 4. Logical implementation block diagram of
I and M are large, a large number of registers need to be convolutional interleaver and deinterleaver
consumed (shown in Figure 2).; the other is the use of RAM
to implement the function of the shift register, by controlling ⋯⋯, the 12th row corresponds to the 11th channel. The i-th
the read / write address to achieve each branch delay. Fig. 3 channel has a total of N=i×M+1 addresses, and the assigned
shows the function of implementing FIFO using RAM. address is fixed. The RAM read/write address changes
according to the delay rule of each channel in the interleaver,
Input data Input data and the address allocation of the interleaver is as shown in
1 2 3 4 5 6 Table 1[7].

Figure 2. FIFO shift register operation process Table 1. Interleaver Address Assignment

Write
address 1
Read
address
2

6
When interleaving, the first time read address can be
Figure 3. RAM mimics the operation of the FIFO arbitrary, the write address is 0; the second time read address
function is 1, the write address is 18; the third time read address is 54,
In this paper, the interleaver and deinterleaver are the write address is 105, and the fourth time read address is 3,
implemented by RAM shift method. The block diagram of the write address is 27, the 12th time read address is 946, the
its circuit implementation is shown in Fig. 4. Since the write address is 1133, the 13th time read address can be
interleaving and deinterleaving require regular delay arbitrary, the write address is 0, the 14th time read address is
processing on the data, the input data is first stored in a dual 2, the write address is 1, the 15th time read address is 20, the

393
write address is 19, and the rest is analogized. It can be seen Table 2. Deinterleaver Address Assignment
that the read/write addresses of the 0~11 channels change
according to their respective delay rules, and the i-th channel
read address and write address are cyclically separated by
i×17 units in the right direction of the channel. When
designing the circuit, in addition to the 0th channel, each
channel can use a counter, each counter is independently
counted. Assume that the count value of the i-th channel
counter is ai, the first address of the channel is bi, and the tail
address is ci, thus obtaining the change rule of the read-write
address of the RAM:
The i-th channel read address: ai+bi; (1)
The i-th channel write address: ai+bi-1, ai Į0;
ci, ai=0; (2) The above describes the implementation of the read and
The address allocation of each channel during write generator, it can be said that the module is successfully
deinterleaving is shown in Table 2. Since deinterleaving and implemented, the interleaver is basically finished.
interleaving are mutually reciprocal processes, the delays of
the two channels are opposite. Therefore, the address V. DESIGN SIMULATION RESULTS
allocation of the deinterleaver can be obtained by simply The read/write address generation scheme of the second
inverting the address allocation of the interleaver. That is, the chapter is implemented in VHDL language. The read/write
address allocation of the 0th channel of the interleaver address generation module w_r_addcreat.vhd is designed.
becomes the 11th channel of the deinterleaver, and the The corresponding deinterleaving read/write address
address allocation of the 11th channel becomes the 0th generation module is de_w_r_addcreat.vhd. The
channel of the deinterleaver. Counter 0 is changed from 11 to implementation of RAM uses the RAM module that comes
0, and the other parts remain unchanged. Therefore, both the
with Quartus. In order to observe the output of the
interleaver and the deinterleaver can be implemented in
deinterleaver, the sequential sequence generation module
Fig. 4, except that the counter 0 is counted differently.
seq.vhd is designed. The waveforms obtained by ModelSim
simulation are as follows:

Figure 5. interleaver read and write address output

Figure 6. Deinterleaver read and write address output

Figure 7. Interleaving and Deinterleaver Data Output

394
From the simulation results, Fig. 5 shows that the reliable performance, and high integration. Therefore, it can
interleaver write address sequentially outputs 0, 1, 19, 54, be applied in data link systems.
106, 175, 261, 364, 484, 621, 775, 946, 0, 2, 20, 55.....
repeatedly repeating this cycle, and the read address lags by REFERENCES
one (except for the 0th channel); Fig. 6 shows that the [1] Wang Xinmei, Xiao Guozhen. Error Correcting Code——Principles
and Methods, (Revised) [M]. Xidian University Press, 2001.
deinterleaver write address sequentially outputs 0, 188, 359,
[2] Hou Boheng, Gu Xin. VHDL hardware description language and
513, 650, 770, 873, 959, 1028, 1080, 1115, 1133, 1, 189...., digital logic circuit design [M]. Xidian University Press, 1997.
the read address is one beat behind; Fig. 7 shows that the [3] Guo Jinxi, Zeng Baisen, Liu Cong.Application of RS Encoding and
data output by the deinterleaver is random until the data in Decoding Algorithm in Tactical Data Link[C].Southwest Jiaotong
the interleaver's RAM is filled. and then the deinterleaver University,2008:414-419.
outputs the correct data after a delay of 12 × (12-1) × 17 = [4] Huang Ge, He Dazhi, Wang Baiyu, et al. Efficient Convolutional
2244 clock cycles. The above design is consistent with the Deinterleaving Implementation Structure in SOC System[J].
Television Technology, 2015, 39(9): 104-107.
original intention.
[5] Chen Wei, Yi Kai. RS decoding optimization algorithm in data link
[J]. Technology and Technology, 2016, (12): 131-131, 146.
[6] Yang Hongxun, Zhang Lin. Research and Implementation of
VI. CONCLUDING REMARKS Interleaved Coding Technology Based on FPGA[J]. Science &
In this paper, the VHDL language design and Technology Information, 2017, 15(26):27-27.
implementation of the convolutional interleaver and [7] Zhuang Can, Shi Herong, Qi Yong. Design of an Interwoven
deinterleaver are carried out according to the RS (204, 188) Hamming Codec and Its FPGA Implementation[J]. Electronic
Measurement Technology, 2017, 40(1): 114-117.
code in the DOCSIS standard. Theoretically, the minimum
[8] Fan Pingyi,Zhang Jinjie,Cao Zhigang.Design of a Block Interleaver
memory cell occupancy is I × M × (I -1) / 2 = 1122, and the with Low Correlation Properties[J].Journal on
address bus number is 11b. The memory unit used in this Communications,1998,(4):0.
scheme is 1134, and the address bus number is 11b, which is [9] Xu Yuanxin, Wang Wei, Qiu Peiliang.Several Practical Methods for
close to the minimum storage unit occupancy. Compared Convolutional Interleaving[J].Journal of Circuits and
with block interleaving, convolutional interleaving can save Systems,2001,(1):7-12.
memory resources, reduce interleaving delay, and simplify [10] Zhang Zheng.FPGA Implementation of Interleaver and Deinterleaver
circuit structure. It has the advantages of flexible design, Design in DVB System[J].Electronic Measurement
Technology,2007,(8):110-113.

395

You might also like