Al Perk Oak Thin Film Lab Reports On

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 31

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/323105896

MATERIALS SCIENCE AND ENGINEERING #Thin Film Preparation,Particle


Size and Thickness Analysis Experimental Report

Technical Report · February 2018

CITATIONS READS

0 2,091

1 author:

Alper Koçak
Tusas Engine Industries, Inc.
9 PUBLICATIONS   6 CITATIONS   

SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Bioactive Glasses View project

All content following this page was uploaded by Alper Koçak on 10 February 2018.

The user has requested enhancement of the downloaded file.


ANADOLU UNIVERSITY
MATERIALS SCIENCE AND ENGINEERING

#Thin Film Preparation,Particle Size and Thickness Analysis


Experimental Report

Group B
ALPER KOÇAK
CONTENT

ABSTRACT …………………………………………………………………………...…1

1.INTRODUCTION……………………………………………………...........................1

1.1 Thin Film Deposition Methods………………………………………………………………………....2

2.BACKGROUND…………………………………………………………...…………...2

2.1 Applications of Thin Films………………………………………………………….……………...….. 2

2.7. Thin Film Deposition Process……………………………………………………………………...…..7

2.8. Thin Film Characterization Techniques…………………………………………………………...….15

3.EXPERIMENTAL PROCEDURE……...………………......…………………...……20

4.RESULTS…………………………………………………………………………..…...26

5.DISCUSSION OF RESULT………………………………………………………..….27

CONCLUSION………………………………………………………………….....…..…27

REFERENCES……………………………………………………………………...……28
ABSTRACT
Thin film preparation and characterization is very important for the materials science and engineering
so we learnt the thin film preparation,particle size and thickness analysis,industrial techniques for thin
films,deposition techniques and homogenization.Also we learnt the measure the growth rate and
particle size of films-coatings with advance characterization equipments as X-Ray Reflectometer and
Atomic Force Microscopy with 8th experiment.Purpose of this experiment is preparation of cencor
material for the thermal camera so determine the proper material that has proper TCR range.
1.INTRODUCTION
Thin Film Technology (TFT) has a world-wide reputation in the field of thin film deposition
processes. This thin film deposition is an enabling technology for many large area electronics
applications, such as thin-film solar, (O)LED lighting and (O)LED displays,thermal camera
application etc.Thin film is very important material for technology because this type materials show
different properties from its own bulk materials and this properties provides lots of benefit.There is
lots of thin film production methods.
The field of material science and engineering community’s ability to conceive the novel materials with
extraordinary combination of chemical, physical and mechanical, properties has changed the modern
society. There is a increasing technological progress. Modern technology requires thin films for
different applications [1]. Thin film technology is the basic of astounding development in solid state
electronics. The usefulness of the optical properties of metal films, and scientific curiosity about the
behavior of two-dimensional solids has been responsible for the immense interest in the study science
and technology of the thin films. Thin film studies have directly or indirectly advanced many new
areas of research in solid state physics and chemistry which are based on phenomena uniquely
characteristic of the thickness, geometry, and structure of the film [1].
When we consider a very thin film of some substance, we have a situation in which the two surfaces
are so close to each other that they can have a decisive influence on the internal physical properties
and processes of the substance, which differ, therefore, in a profound way from those of a bulk
material. The decrease in distance between the surfaces and their mutual interaction can result in the
rise of completely new phenomena. Here the one dimension of the material is reduced to an order of
several atomic layers which creates an intermediate system between macro systems and molecular
systems, thus it provides us a method of investigation of the microphysical nature of various
processes. Thin films are especially appropriate for applications in microelectronics and integrated
optics. However the physical properties of the films like electrical resistivity do not substantially differ
from the properties of the bulk material. For a thin film the limit of thickness is considered between
tenths of nanometer and several micrometers.
Thin film materials are the key elements of continued technological advances made in the fields of
optoelectronic, photonic, and magnetic devices. The processing of materials into thin films allows easy
integration into various types of devices. The properties of material significantly differ when analysed
in the form of thin films. Most of the functional materials are rather applied in thin film form due to
their specific electrical, magnetic, optical properties or wear resistance. Thin film technologies make
use of the fact that the properties can particularly be controlled by the thickness parameter. Thin films
are formed mostly by deposition, either physical or chemical methods. Thin films, both crystalline and
amorphous, have immense importance in the age of high technology. Few of them are: microelectronic
devices, magnetic thin films in recording devices, magnetic sensors, gas sensor, A. R. coating,
photoconductors, IR detectors, interference filters, solar cells, polarizer’s, temperature controller in
satellite, superconducting films, anticorrosive and decorative coatings [1].
There is lots of production methods.

1
1.1.Thin Film Deposition Methods
1.1.1.Physical Vapor Deposition (PVD) –
Film is formed by atoms directly transported from source to the substrate through gas phase
• Evaporation
• Thermal evaporation
• E-beam evaporation
• Sputtering
• DC sputtering
• DC Magnetron sputtering
• RF sputtering
• Reactive PVD
1.1.2.Chemical Vapor Deposition (CVD) –
Film is formed by chemical reaction on the surface of substrate
• Low-Pressure CVD (LPCVD)
• Plasma-Enhanced C VD (PECVD)
• Atmosphere-Pressure CVD (APCVD)
• Metal-Organic CVD (MOCVD)
Oxidation
Spin Coating
Platting
1.2.General Characteristics of Thin Film Deposition • Deposition Rate • Film Uniformity • Across
wafer uniformity • Run-to-run unifor mity • Materials that can be deposited • M etal • Dielectric •
Polymer • Quality of Film – Physical and Chemical Properties • Stress • Adhesion • Stoichiometry •
Film density, pinhole density • Grain size, boundary property, and orientation • Breakdown voltage •
Impurity level • Deposition Directionality • Directional: good for lift-off, trench filling • Non-
directional: good for step coverage • Cost of ownership and operation [2].

Figure 1. Example of thin film deposition on the substrate [3].

2
2.BACKGROUND
2.1.Applications of Thin Films
Although the study of thin film phenomena dates back well over a century, it is really only over the
last four decades that they have been used to a significant extent in practical situations. The
requirement of micro miniaturization made the use of thin and thick films virtually imperative. The
development of computer technology led to a requirement for very high density storage techniques and
it is this which has stimulated most of the research on the magnetic properties of thin films. Many thin
film devices have been developed which have found themselves looking for an application or, perhaps
more importantly market. In general these devices have resulted from research into the physical
properties of thin films. Secondly, as well as generating ideas for new devices, fundamental research
has led to a dramatic improvement in understanding of thin films and surfaces. This in turn has
resulted in a greater ability to fabricate devices with predictable, controllable and reproducible
properties. The cleanliness and nature of the substrate, the deposition conditions, post deposition heat
treatment and passivation are vital process variables in thin film fabrication. Therefore, prior to this
improvement in our understanding of thin films, it has not really been possible to apply them to real
devices. Thirdly, much of the finance for early thin film research originated from space and defence
programmes to which the device cost is less important than its lightweight and other advantages, the
major applications of thin film technology are not now exclusively in these areas but rather often lie in
the domestic sector in which low cost is essential [1,2]. Thin film materials have already been used in
semiconductor devices, wireless communications, telecommunications, integrated circuits, rectifiers,
transistors, solar cells, light-emitting diodes, photoconductors, light crystal displays, magneto-optic
memories, audio and video systems, compact discs, electro-optic coatings, memories, multilayer
capacitors, flat-panel displays, smart windows, computer chips, magnetooptic discs, lithography,
micro electromechanical systems (MEMS), and multifunctional emerging coatings, as well as other
emerging cutting technologies.

Figure 2. Examples of thin film applications [4].


i)Optical Coatings
An optical coating is one or more thin layers of material deposited on an optical component such as a
lens or mirror, which alters the way in which the optic reflects and transmits light. One type of optical
coating is an antireflection coating, which reduces unwanted reflections from surfaces, and is
commonly used on spectacle and photographic lenses. Another type is the high-reflector coating which
can be used to produce mirrors which reflect greater than 99.99% of the light which falls on them.
More complex optical coatings exhibit high reflection over some range of wavelengths, and anti-
reflection over another range, allowing the production of dichroic thin-film optical filters [4].
ii) Photovoltaic:
In the familiar rigid solar panel, the energy of incoming photons is converted to electricity in cells
containing two thin layers of crystalline silicon. What makes roll-to-roll production of flexible film
solar products possible is replacement of the crystalline silicon with amorphous silicon, supplied in
high-solids slurries that can be deposited onto substrates by web-converting processes like slot die
coating. Microlayer film, EDI can outfit its Contour cast film dies with a new system, based on

3
technology licensed from ‘The Dow Chemical Company’, which makes it possible to produce film of
standard thickness, yet with dozens of exceedingly thin ‘micro-layers’. The multiple layer-to-layer
interfaces create a torturous path for gas molecules and thus substantially increase the barrier
properties of the film. This is critical for photovoltaic applications, which require barrier layers to
prevent performance losses caused by infiltration of oxygen or moisture vapour. "Though as yet little
known in the solar industry, the continuous-web production methods familiar to EDI and its converter
customers are a key to developing highvolume, low-cost production of solar electric systems," said
Miller. "In working with solar product manufacturers, EDI draws on extensive experience in other
applications that require thin-gauge, optically clear, close-tolerance films and coatings with critical
functionalities, including films and coatings for flat panel displays and flexible batteries particularly
relevant, since solar cells are a kind of battery. In comparison with conventional coating methods, such
as spray, roll, and spin coating, slot dies provide greater control over coating weight and distribution
because they are closed systems into which coating material is pumped at closely pre-determined
rates; in turn this greater control makes possible thinner coatings," Many in the solar power industry
and the investment community, believe the arrival of grid parity, the point when cost of electricity
generated by a rooftop photovoltaic (PV) cell system is equivalent to that purchased from an electrical
utility will mark a major inflection point for the market that will deliver a huge increase in growth.
However, even when true grid parity arrives, it’s unlikely to generate an abrupt rise in solar system
installations due to the high upfront costs and the long-term return of investing in a rooftop
photovoltaic system, according to iSuppli Corp. In fact, growth is set to moderate during the years
when grid parity arrives for various regions of the world as the industry enters a more mature phase [1-
2].

Figure 3. Application of thin film for solar cell [5].


iii)Semiconductor
Historically, the semiconductor industry has relied on flat, two-dimensional chips upon which to grow
and etch the thin films of material that become electronic circuits for computers and other electronic
devices. This thin layer (only a couple of hundred nanometers thick) can be transferred to glass, plastic
or other flexible materials, opening a wide range of possibilities for flexible electronics. In addition,
the semiconductor film can be flipped as it is transferred to its new substrate, making its other side
available for more components. This doubles the possible number of devices that can be placed on the
film. By repeating the process, layers of double-sided, thinfilm semiconductors can be stacked
together, creating powerful, low-power, threedimensional electronic devices. "It's important to note
that these are single-crystal films of strained silicon or silicon germanium. The strain is introduced in
the way we form the membrane. Introducing strain changes the arrangement of atoms in the crystal
such that we can achieve much faster device speed while consuming less power." For non-computer
applications, flexible electronics are beginning to have significant impact. Solar cells, smart cards,
radio frequency identification (RFID) tags, medical applications, and active-matrix flat panel displays
could all benefit from the development. The techniques could allow flexible semiconductors to be

4
embedded in fabric to create wearable electronics or computer monitors that roll up like a window
shade. "This is potentially a paradigm shift. The ability to create fast, low-power, multilayer
electronics has many exciting applications. Silicon germanium membranes are particularly interesting.
Germanium has a much higher adsorption for light than silicon. By including the germanium without
destroying the quality of the material, we can achieve devices with two to three orders of magnitude
more sensitivity." That increased sensitivity could be applied to create superior low-light cameras, or
smaller cameras with greater resolution [2-4].

Table 1. Thin film semiconductor application [5].


iv)Photo Electrochemical Cells (PEC)
In photoelectrochemical experiments, irradiation of an electrode with light that is absorbed by the
electrode material causes the production of a current (a photocurrent). The dependence of the
photocurrent on wavelength, electrode potential, and solution composition provides information about
the nature of the photoprocess, its energetics, and its kinetics. Photocurrents at electrodes can also
arise because of photolytic processes occurring in the solution near the electrode surface.
Photoelectrochemical studies are frequently carried out to obtain a better understanding of the nature
of the electrode-solution interface. Photoelectrochemistry and Electrogenerated Chemiluminescence
photocurrent can represent the conversion of light energy to electrical and chemical energy; such
processes are also investigated for their potential practical applications. Since most of the studied
photoelectrochemical reactions occur at semiconductor electrodes, we will review briefly the nature of
semiconductors and their interfaces with solutions. Consideration of semiconductor electrodes also
helps in gaining a microscopic understanding of electron-transfer processes at solid-solution
interfaces. v) Optoelectronic: An optoelectronic thin-film chip, comprising at least one radiation-
emitting region in an active zone of a thin-film layer and a lens disposed downstream of the
radiationemitting region, said lens being formed by at least one partial region of the thin-film layer, the
lateral extent of the lens being greater than the lateral extent of the radiationemitting region. The thin-
film layer is provided for example by a layer sequence which is deposited epitaxially on a growth
substrate and from which the growth substrate is at least partly removed. That is to say that the
thickness of the substrate is reduced. In other words, the substrate is thinned. It is furthermore possible
for the entire growth substrate to be removed from the thin-film layer. The thin-film layer has at least
one active zone suitable for generating electromagnetic radiation. The active zone may be provided for
example by a layer or layer sequence which has a pn junction, a double heterostructure, a single
quantum well structure or a multiple quantum well structure. Particularly preferably, the active zone
has at least one radiation-emitting region. In this case, the radiation-emitting region is formed for
example by a partial region of the active zone. Electromagnetic radiation is generated in said partial
region of the active zone during operation of the optoelectronic thin-film chip. 51 vi) Flat Panel

5
Displays: Developed from the Mykrolis contamination control technologies, Entegris provides a broad
portfolio of liquid and gas contamination control technologies for the flat panel display fabrication.
The Flat Panel Display (FPD) fabrication environment is among the world’s most competitive and
technologically complex. Device designers and manufacturers continually strive to satisfy the
worldwide consumer’s appetite for larger displays, greater pixel resolution and feature-rich
performance – all at a lower cost than the previous generation of technology. The need to control
contamination in air, gas and liquid process streams is now a paramount focus of process engineers
and designers. Entegris provides the solutions to succeed under these extreme conditions [6].

Figure 4. Photo electrochemical cell [7].


v)Thermal Camera(Bolometer)
Bolometers are detectors used to measure incident Infrared radiation. They are very sensitive to
thermal radiation and are predominantly used in the infrared spectrum between 10 to 5000µm (30THz
to 60GHz). The detector element is an extremely sensitive thermistor that is cooled to LHe
temperatures in order to decrease the thermal background. Any thermal radiation that impinges upon
the detector will cause a temperature change. This will cause a change in resistance which is amplified
and measured as a voltage difference.

Because bolometers measure a change in temperature, the incident radiation must be modulated. This
allows the bolometer to excite and relax, thus a measurement of the change in resistance is made that
corresponds to the energy of the incident radiation. The speed at which the bolometer reacts to this
temperature change is dependent upon several factors that can be altered, if desired, at the time the
system is ordered.
All composite silicon bolometer systems are housed in our HDL-5 model, liquid helium dewar with a
liquid nitrogen cooled radiation shield. Standard hold times are greater than 20 hours between fills for
4.2K systems and 10 hours for our 1.6K models. The detector comes with an IR light collecting cone
assembly, vacuum-sealed wedged window, field of view baffling, and low noise electronics.

The bolometer system is then outfitted with a single cut-on IR filter or a cold, manually operated, 2 or
3 position filter wheel. We offer a selection of far IR cut-on long pass filters that range from 10 to
285µm.

If your application requires longer hold times, increased work height, dual detectors, or more filter
positions are required, please let us know. We are committed to building a custom designed system to
meet your individual needs. Special requests for custom designs are always welcome.

6
Figure 5. Sensor of bolometer for thermal camera application [8].
2.2.Thin Film Deposition Processes:
The vast varieties of thin film materials, their deposition processing and fabrication techniques,
spectroscopic characterization and optical characterization probes that are used to produce the devices.
It is possible to classify these techniques in two ways [1, 2].
 Physical Process
 Chemical Process.
Physical method covers the deposition techniques which depends on the evaporation or ejection of the
material from a source, i.e. evaporation or sputtering, whereas chemical methods depend on physical
properties.Structure-property relationships are the key features of such devices and basis of thin film
technologies. Underlying the performance and economics of thin film components are the
manufacturing techniques on a specific chemical reaction [3]. Thus chemical reactions may depend on
thermal effects, as in vapour phase deposition and thermal growth. However, in all these cases a
definite chemical reaction is required to obtain the final film. When one seeks to classify deposition of
films by chemical methods, one finds that they can be classified into two classes. The first of these
classes is concerned with the chemical formation of the film from medium, and typical methods
involved are electroplating, chemical reduction plating and vapour phase deposition. A second class is
that of formation of this film from the precursor ingredients e.g. iodization, gaseous iodization,
thermal growth, sputtering ion beam implantation, CVD, MOCVD and vacuum evaporation. The
methods summarized in table 2.1 are often capable of producing films defined as thin films, i.e. 1 µm
or less and films defined as thick films, i.e. 1 µm or more. However, there are certain techniques
which are only capable of producing thick films and these include screen printing, glazing,
electrophoretic deposition, flame spraying and painting [1-2].

7
Table 2. Thin film deposition techniques [9].
2.2.1. Physical Processes
2.2.1.1. Physical Vapour Deposition (PVD)
PVD processes proceed along the following sequence of steps: a) The solid material to be deposited is
physically converted to vapour phase; b) The vapour phase is transported across a region of reduced
pressure from the source to the substrate; c) The vapour condenses on the substrate to form the thin
film. The conversion from solid to vapour phase is done through physical dislodgement of surface
atoms by addition of heat in evaporation deposition or by momentum transfer in sputter deposition.
The third category of PVD technique is the group of so called augmented energy techniques including
ion, plasma or laser assisted depositions.

8
Figure 6. Illustration of physical vapor deposition method [10].
2.2.1.2. Evaporation
Evaporation or sublimation techniques are widely used for the preparation of thin layers. A very large
number of materials can be evaporated and, if the evaporation is undertaken in vacuum system, the
evaporation temperature will be very considerably reduced, the amount of impurities in the growing
layer will be minimised. In order to evaporate materials in a vacuum, a vapour source is required that
will support the evaporant and supply the heat of vaporisation while allowing the charge of evaporant
to reach a temperature sufficiently high to produce the desired vapour pressure, and hence rate of
evaporation, without reacting chemically with the evaporant. To avoid contamination of the evaporant
and hence of growing film, the support material itself must have a negligible vapour pressure and
dissociation temperature of the operating temperature.Laser beam evaporation has also come in to use
recently. The laser source is situated outside the evaporation system and the beam penetrates through a
window and is focused on to the evaporate material, which is usually fine powder form [4].
2.2.1.3. Sputtering If a surface of target material is bombarded with energetic particles, it is possible
to cause ejection of the surface atom: this is the process known as sputtering. The ejected atoms can be
condensed on to a substrate to form a thin film. This method has various advantages over normal
evaporation techniques in which no container contamination will occur. It is also possible to deposit
alloy films which retain the composition of the parent target material. DC sputtering, radio frequency
sputtering and magnetron sputtering methods are the oldest types of sputtering used [5]. High pressure
oxygen sputtering and facing target sputtering are the two new methods introduced for deposition of
thin films for applications in superconducting and magnetic films.
2.2.1.4. Ion Plating In this atomistic, essentially sputter-deposition process the substrate is subjected
to a flux of high energy ions, sufficient to cause appreciable sputtering before and during film
deposition. The advantages of physical methods are laid in dry processing, high purity and cleanliness,
compatibility with semiconductor integrated circuit processing and epitaxial film growth. However,
there are certain disadvantages such as slow deposition rates, difficult stoichiometry control, high
temperature post deposition annealing often required for crystallization and high capital expenditure
[6].
2.2.3. Chemical and Electrochemical Methods
Among chemical and electrochemical methods the most important are chemical vapour deposition,
cathode electrolytic deposition, anodic oxidation and Chemical Bath Deposition
2.2.3.1.Chemical Vapour Deposition

9
Chemical vapour deposition can be defined as a material synthesis method in which the constituents of
vapour phase react together to form a solid film at surface. The chemical reaction is an essential
characteristic of this method; therefore, besides 58 the control of the usual deposition process
variables, the reactions of the reactants must be well understood. Various types of chemical reactions
are utilised in CVD for the formation of solids are pyrolysis, reduction, oxidation, hydrolysis,
synthetic chemical transport reaction etc.
2.2.3.2. Chemical Bath Deposition (Deposition by Chemical Reactions)
Thin films can be deposited by number of physical and chemical techniques and can be classified as
shown in Table 2.1. Among the methods mentioned in the Table 2.1, the chemical methods are
economical and easier than that of the physical methods. Physical methods are expensive but give
relatively more reliable and more reproducible results. Most of the chemical methods are cost
effective, but their full potential for obtaining devise quality films has not been fully explored [2]. But
there is no ideal method to prepare thin films, which will satisfy all possible requirements. Among the
chemical methods, the electrodeposition technique (ED) is the most popular technique today because
large number of conducting and semiconducting thin films can be prepared by this technique. Among
the chemical methods of thin film depositions, Chemical Bath Deposition (CBD) is probably the most
simplest method available for this purpose and it is also known as Chemical Solution Deposition
(CSD) or Chemical Deposition (CD). It is not a new technique as early as in 1835 Liebig reported the
first deposition of silver, the silver mirror deposition using a chemical solution technique. The
deposition technique was first described in 1869. The only requirements of these methods are a vessel
to contain the solution (usually an aqueous solution of common chemicals) and the substrate on which
deposition is to be carried out. In addition to this various complications such as some mechanism for
stirring and a thermostated bath to maintain a specific and constant temperature are options that may
be useful. The first general review on this topic was published by Chopra et al. in 1982[7]. Next
review was published by Lokhande [8]. Then a comprehensive and general review was published by
Lincoln et al. in 1998[9]. 59 Chemical deposition is the deposition of films on a solid substrate from a
reaction occurring in a solution using the prototypical MS (metal sulphide) as an example, a M salt in
solution can be converted to MS by adding sulphide ions (eg. H2S), MS immediately precipitates
unless the solution is very dilute- a few milli molar or less in which case MS often forms as a colloidal
solution. Another pathway for MS formation, one that does not require free sulphide ions, is
decomposition of a MS-thiocomplex. In MS, the trick is to control the rate of these reactions so that
they occur slowly enough to allow the MS either to form gradually on the substrate itself (at the early
stages of deposition) or to the growing film, rather than aggregate in to larger particles in solution and
precipitate out. This rate control can be accomplished by generating the sulphide slowly in the
deposition solution. The rate of generation of sulphide, and therefore reaction, can be controlled
through a number of parameters, in particular the concentration of sulphide forming precursor,
solution temperature, and pH. Although CBD can be carried out both acidic and alkaline solutions,
most of the CBD reactions have been carried out in alkaline solutions [2-4].
2.2.3.3. Arrested Precipitation Technique
Arrested precipitation technique (APT) is modified chemical bath deposition process. The arrested
precipitation technique based on Ostwald ripening law is simple and inexpensive method used for
deposition of wide variety of metal chalcogenide thin films. Arrested precipitation technique can be
distinguished from other conventional techniques as follows:
 It is ideally suited for large area thin film depositions; substrate surfaces of both accessible and non-
accessible nature could easily be deposited.
 It is simple, inexpensive and does not require sophisticated instrumentation.
 The deposition is usually at low temperature and avoids oxidation or corrosion of the metallic
substrates.
 Stoichiometry of the deposits can be maintained since the basic building blocks are ions rather than
atoms.

10
 Slow film formation process facilitates better orientation of the crystallites with improved grain
structures over the substrate surface.
 Doped and mixed films could be obtained by merely adding the mixant / dopant solution directly
into the reaction bath.
 Electrical conductivity of the substrate material is not an important criterion.
 An intimate contact between reacting species and the substrate material permits pinhole free and
uniform deposits on the substrates of complex shapes and sizes.
 Wide varieties of conducting / nonconducting substrate materials can be used.
 Dissociation rate of organometallic complex to release free metal ions for reaction is well control by
maintaining the pH of reacting solution.
2.A.3.2.4 Anodic Oxidation: Anode oxidation (anode electrolytic deposition) is used mainly in the
formation of films of the oxides of certain metals, e.g. ,Al, Ta, Nb, Ti, and Zr. The oxidized metal is
an anode dipped in the electrolyte from which it attracts the oxygen ions. The ions pass through the
already formed oxide film by diffusion forced by a strong electric field and combine with metallic
atoms to form molecules of the oxide. For anode oxidation it is possible to use either the constant
current or constant voltage method. Solutions or melts of various salts or in some cases acids are used
as electrolytes. This is an electrolytic method for producing oxide films on the surface of metal. 2.
A.3.2.5. Cathodic Deposition: This is a standard method of electroplating. Two metal electrodes are
dipped into an electrolyte solution and on application of an external field across the electrodes; metal
ions from the solution are deposited on cathode as a film. Deposition of the films is mainly controlled
by the electrical parameters such as, electrode potential and current density.The mass of the substance
deposited is proportional to the amount of electrical charge. The proportionality constant is the
electrochemical equivalent of the given substance, which is for example, is 2.04 x 10-3 g./C, for Au
and 0.09 x 10-3 g/C for Al. By this method it is possible to deposit films only on conducting substrates
[7-8].
2.4.Basics of Electrochemical Processing
Electrodeposition, also known as electrochemical deposition or electro crystallization, it is one of the
most useful techniques for preparing thin films on the 64 surface of conducting substrate. Besides
advantages such as low temperature and pressure, the technique is directly related to many academic
challenges in materials chemistry and physics. Electrochemical technique such as cyclic voltammetry
(CV) and chronoamperometry (CA) play dual roles, firstly, being the techniques for deposition and
secondly, being utilized for determination of reaction mechanism [3]. The electrodeposition is the
simplest of the chemical methods, and it has many advantages [2] like 1. Structurally and
compositionally modulated alloys and compounds can be deposited which are not possible with other
deposition techniques. 2. In most of the cases the deposition can be carried out at room temperature
enabling to form the semiconductor junctions without interdiffusion. 3. Deposition on complex shapes
is possible. 4. Toxic gaseous precursors need not to be used (unlike gas phase methods). 5. The
deposition process can be controlled more accurately and easily Electrodeposition of metallic films has
long been known and used for preparing metallic mirrors and corrosion resistant surfaces, etc. During
last two decades, electrodeposition has become a tool of materials technology for obtaining films of
wide variety of materials including binary-ternary semiconductors, high Tc superconductors, polymer
films etc [1-2].
2.5.Mechanism of Electrodeposition
Electrodeposition is process of depositing metal atoms on a conducting substrate by passing direct
current through solution containing the metal(s) ions to be deposited. The schematic diagram
explaining the electrodeposition is shown in figure 2.1. The typical electrodeposition set up consists of
following,
1. Electrolyte
11
2. Cathode and anode
3. Source of electricity.
When direct current is passed through cathode and anode, immersed in electrolyte containing the
metal(s) ions, the metal ions get attracted towards the cathode, neutralized electrically by receiving
electrons and get deposited on cathode. The deposition is controlled by monitoring the amount and the
rate of charge passing through the electrolyte. Thus the electrical energy is used to cause chemical
change.

Figure 7. Mechanism of electrodeposition [7].


2.6.Factors Governing Electrodeposition
The preparative parameters directly affect the structural, morphological and optical properties of the
electrodeposits. The various preparative parameters like substrate, applied field and current density,
bath temperature, complexant and pH of the bath etc. should be controlled to obtain uniform, smooth
and stoichiometric electrodeposits [1-2]. Some preparative parameters are discussed below.
i. Substrate
Substrates play an important role in electrodeposition. Besides providing mechanical support to the
electrodeposition, influences on the morphological characteristics of the growing layer and on the
electronic and optical properties of the electrodeposition. For the choice of suitable substrate following
criteria should be applied for their selection [4].
i. It should have good conductivity because it is one of the electrodes in electrodeposition. A
good conductivity is beneficial in improving carrier collection efficiency.
ii. ii. The thermal expansion coefficient should match with that of electrodeposition. A
mismatch may cause cracking or peeling of the film.
iii. iii. It should have good mechanical strength.
iv. iv. It should be stable in electrolyte bath.
v. v. It should be smooth. Uneven, porous, voids and other irregularities influence the local
current distribution.
Metals have been widely used as substrates because of their good conductivity, easy availability, lower
cost and relative ease of handling.
ii. Bath Temperature
The rise in bath temperature enhances the rate of diffusion and increases ionic mobility, hence the
increase in conductivity of the bath. The increase in temperature increases the rate of crystalline
growth favoring the coarse deposits. This increase in crystal size corresponds to decrease in
polarization. At higher temperature, current densities increase, which increases the rate of nucleation,
hence fine-grained, smooth deposits can be obtained. The rise in bath temperature decreases the
hydrogen over voltage so facilitates the evolution of gas, as well as precipitation of basic salts. The

12
opposing effects make it difficult to predict the choice of bath temperature, however, it can be
optimized by performing actual experiments.
iii. Current Density
At lower current densities (or over-potentials) the discharge of ions occurs slowly, so the growth rate
decreases but increases the crystallinity forming closely packed structures. As the current density is
raised, the nuclei formation rate will increase and the deposits become fine grained. At higher current
densities, the rate of discharge of ions becomes greater compared to rate of supply of ions and there is
duplicity of ions near the cathode, which favours the growth perpendicular to the substrate surface.
Usually spongy, dendritic growth can be observed under this condition. Secondly, at very higher
current densities, hydrogen evolution occurs at faster rate, which interferes the crystal growth and
spongy, porous deposits may be obtained. This can also favour the precipitation of hydrous oxides or
basic salts due to increase in local pH [4].
iv. Metal Ion Concentration
The plating bath is always an aqueous solution containing compound of metal to be deposited. It is
always advantageous to use higher concentration of metal components in the bath solution. A high
current density can be employed in high metal bearing bath. An increase in metal concentration, under
given condition, decreases the cathode polarization and increases the crystallite size.
v. Hydrogen Ion Concentration (pH)
In order to operate a bath with optimum efficiency and maintain the desired physical properties of the
deposit, control of pH of plating bath is necessary. Besides too low pH may lead accumulation of
hydroxide ions in the vicinity of the cathode and consequent precipitation of basic salts, which may
get included in electrodeposition, thereby altering deposit properties. All aqueous solutions contain H+
ions, infact in every deposit from an aqueous bath, there is a possibility of the hydrogen gas evolution
at the cathode due to H+ ions. It takes place, the efficiency of metal deposition is lowered. As this
efficiency and hydrogen discharge potential partly depends upon hydrogen ion concentration, at low
pH, the bath permits the use of higher current density to produce a sound deposit with relatively high
efficiency [1-2].
vi.Addition Agents
In almost all cases of electrodeposition of metals, it is observed that addition of small quantities of
certain substances often result in production of smooth, fine grained and nanocrystalline deposits.
Such substances are known as addition agents. Addition agent such as brightening agents, surfactants,
complexants etc. are often added to the bath to obtain smoother, brighter deposits, controllable
reaction rates, better adhesion and better texture. The adsorbed additives influence the rate of
deposition by i) changing Helmholtz layer potential, ii) acting as a bridge for mediating electron
transfer reactions between electrode and discharging species iii) forming complexes between the
adsorbed additive and the ionic species to be plated.
vii. Complexing Agent
The unstable metal ions are capable of combining chemically with neutral molecules and with ions of
opposite sign to form stable complex ion. The combination is through the covalent bond, when neutral
molecules interact with positively charged metal ions to yield negatively charged complex ions.
Complex compound in a plating bath serves two purposes. Firstly they make possible to maintain a
high metal concentration but low metal ion concentration. The complex ions of the complex
compound serve as reserves and continuously supply of the simple ions necessary for the discharge at
the cathode occurs. A low metal ion concentration enables the production of deposits with small grains
and improves the throwing power. Secondly, complex formation enables us to enhance appreciably the
solubility of slightly soluble salts [1-2].
2.7.Dependence of Nucleation on Growth Parameters

13
• Substrate Temperature
– The critical nuclei size increases with temperature.
– The barrier for nucleation is greater with increasing temperature.
• Deposition Rate
– The critical nuclei size decreases with increasing deposition rate.
– The barrier for nucleation is smaller with increasing deposition rate.
2.7.1.Frank van der Merwe (Layers)
• If the substrate-vapor surface energy is larger than the other two combined.
• A smooth film will form.
• Layered growth will occur.
• The film wets the surface to lower surface energy.

Figure 8. Layer by layer growth mechanism [5].


2.7.2.Volmer Weber (Islands)
• The total surface energy of the film interfaces is larger than that of the substrate-vapor interface.
• The material balls up to minimize interface with the substrate.
• Uneven growth.
• Slow diffusion.

Figure 9. Island growth mechanism [5].


2.7.3.Stranski-Krastanov (mixed)
• Initial layer growth.
• Changes into island growth after a few monolayers.
• The initial layer is strained to match the substrate. After a few layers, the strain is relaxed.

Figure 10. Mixed growth mechanism [5].

14
2.8.Thin Film Characterization Techniques
In the advancement of science and technology the discovery of novel materials those are having varied
characteristics and applications have played an important role. Characterization is an important step in
the development of exotic materials. The complete characterization of any material consists of phase
analysis, compositional characterization, structural elucidation, micro-structural analysis and surface
characterization, which have strong bearing on the properties of materials. This has led to the
emergence of variety of advanced techniques in the filed of materials science. In this section different
analytical instrumental techniques used to characterize our thin films are described with relevant
principles of their operation and working [1-2].
2.8.1. X- Ray Diffraction (XRD) Technique
X-ray diffraction (XRD) is a powerful technique for determination of crystal structure and lattice
parameters. The basic principles of X-ray diffraction are found in textbooks e.g. by Buerger, Klug and
Alexander, Cullity, Tayler,Guinier,Barrett and Massalski.
Figure 2.11 shows the schematics of X-ray diffractometer. Diffraction in general occurs only when the
wavelength of the wave motion is of the same order of magnitude as the repeat distance between
scattering centers. This condition of diffraction is nothing but Bragg’s law and is given as,
2d sin = n
where, d = interplaner spacing  = diffraction angle  = wavelength of x-ray n = order of diffraction

Figure 11. Schematics of X-ray diffractometer [12].


For thin films, the powder technique in conjunction with diffractometer is most commonly used. In
this technique the diffracted radiation is detected by the counter 86 tube, which moves along the
angular range of reflections. The intensities are recorded on a computer system. The‘d’ values are
calculated using relation (2.8) for known values of ,  and n. The X- ray diffraction data thus
obtained is printed in tabular form on paper and is compared with Joint Committee Power Diffraction
Standards (JCPDS) data to identify the unknown material. The sample used may be powder, single
crystal or thin film. The crystallite size of the deposits is estimated from the full width at half
maximum (FWHM) of the most intense diffraction line by Scherrer's formula as follows [11]
D=0.9/cos
where, D is crystallite size,  is wavelength of X-ray used,  is full width at half maxima of the peak
(FWHM) in radians,  is Bragg's angle.

15
The X- ray diffraction data can also be used to determine the dimension of the unit cell. This technique
is not useful for identification of individuals of multilayer or percentage of doping material.
2.8.2.Scanning Electron Microscopy (SEM)
Scanning electron microscope is an instrument that is used to observe the morphology of the solid
sample at higher magnification, higher resolution and depth of focus as compared to an optical
microscope [9]. When an electron strikes the atom, variety of interaction products are evolved. Figure
2.16 illustrates these various products and their use to obtain the various kinds of information about
the sample. Scattering of electron from the electrons of the atom results into production of
backscattered electrons and secondary electrons. Electron may get transmitted through the sample if it
is thin. Primary electrons with sufficient energy may knock out the electron from the inner shells of
atom and the excited atom may relax with the liberation of Auger electrons or X-ray photons. All these
interactions carry information about the sample. Auger electron, ejected electrons and X-rays are
energies specific to the element from which they are coming. These characteristic signals give
information about the chemical identification and composition of the sample.

Figure 12 . Mechanism of scanning electron microscopy [13].


2.8.3. Energy Dispersive Analysis by X-Rays Measurement (EDS)
In EDS technique a sample is made the target in an X- ray tube and is bombarded with electrons of
suitable energy, it emits characteristics X-rays. This is the basis of a method of chemical analysis. The
emitted X-rays are analyzed in an X-ray spectrometer and the elements present in the sample are
qualitatively identified by their characteristics wavelengths. For compositions greater than or about
1% and elements separated by few atomic numbers, energy dispersion analysis is very useful because
the intensities are increased about 100-Fold [9]. The resolution however, of an energy dispersion
instruments is as much as 50 times less than the wavelength dispersion spectrometer using a crystal;
thus overlapping of lines from nearby elements may occur. If a sample is irradiated with X-rays of

16
sufficiently high energy, it will emit fluorescent radiation. This radiation may be analysized in an X-
ray spectrometer and the elements present in the sample identified by their characteristics
wavelengths. Study of thin films, ferrites, composites, biological samples and pharmaceutical samples
are the common application areas [1-2].
2.8.4. Atomic Force Microscopy (AFM):
The atomic force microscopy (AFM) probes the surface of a sample with a sharp tip, a couple of
microns long often less than 100 Å in diameter. The tip is located at the free end of a cantilever, which
is 100 to 200 m long. The forces between the tip and sample surface cause the cantilever to bend or
deflect. A detector measures the cantilever deflection as tip is scanned over the sample or the sample is
scanned under the tip. The measured cantilever deflection allows a computer to generate a map or
surface topography. Several forces typically contribute to the deflection of an AFM cantilever. AFM
operates by measuring the attractive or repulsive forces between a tip and the sample. The forces most
commonly associated with atomic force microscopy are interatomic force called the Van der Waals
force. The dependence of the Van der Waals force upon the distance between the tip and the sample is
shown in figure 2.20. The two distance regimes are labeled in the figure are (a) the contact regime and
(b) non-contact regime. In the contact regime, the cantilever is held at a distance less than few
angstroms from the sample surface, and the inter-atomic force between the cantilever and the sample
is repulsive. In the non-contact regime, the cantilever is held at a distance of the order of tens to
hundred of angstroms from the sample surface, and the inter-atomic force between the cantilever and
sample is attractive. Figure 2.21 shows schematic diagram of AFM [9]. In principle, AFM resembles
the record player as well as the surface profilometer. However, AFM incorporates a number of
refinements that enable it to achieve atomic– scale resolution: Sensitive detection, flexible cantilever,
sharp tips, high-resolution tipsample positioning and Force feedback.

Figure 13. Example of atomic force microscopy [14].


2.8.5.X-Ray Reflectometer
Used to determine thickness, density and roughness for single and multilayer stacks on semiconductor
wafers, XRR analysis can be performed on both crystalline and amorphous materials. When X-rays
are applied to a material's flat surface at grazing angles of incidence, total reflection will occur at or
below a certain angle, θc. This angle is exceedingly small and is referred to as the critical angle. The
angle varies depending upon the electronic density of the material. The higher the incident X-ray angle
relative to the critical angle, the deeper the X-rays transmit into the material. With a material whose

17
surface is ideally flat, the reflectivity suddenly decreases at angles above the critical angle in
proportion to θ-4.

If the material surface is rough, it causes a more drastic decrease in reflectivity. If such a material,
serving as a substrate, is evenly overlaid with another material having a different electronic density,
then reflected X-rays from the interface between the substrate and the thin film as well as from the free
surface of the thin film will either constructively or destructively interfere with each other—resulting
in an interference induced oscillation pattern. To a first approximation, the intensity scattered by a
sample is proportional to the square of modulus of the Fourier transform of the electron density. Thus
the electron density profile can be deduced from the measured intensity pattern, and subsequently the
vertical properties (layer thicknesses) as well as the lateral properties (roughness and correlation
properties of interfaces or lateral layer structure) characterizing multilayers can be determined.
Specifically, film thickness can be determined from the periodicity of the oscillation and information
on the surface and interface from the angular dependency of the oscillation pattern's amplitude [9].
A surface-sensitive x-ray scattering technique
• Non-destructive method
• Wavelength probes on nanometer scale
• Works for crystalline and amorphous materials

Figure 14. X-Ray Reflectometer working mechanism [10].


2.8.6.Four-Point probe technique
The FPP technique is commonly used to measure electrical resistivity/sheet resistance and for
calculation of Temperature Coefficient of Resitance (TCR) of semiconducting or metallic thin film
samples. The TCR values were determined from the temperature versus resistivity – R(T) –
measurements. R(T) – measurements are performed by heating the sample via an entegrated heating
stage and recording voltage changes for resistivity/sheet resistance calculation. The benefit of FPP
technique can be better understood when compared with two-point probe system. Rather using two-
probe, four-probe configuration provides elemination of parasitic voltage drops. In a two-point probe
system, the current is sourced and the voltage is read through the same probes. Because the path of the
voltmeter contains the contact, the probe and the wire resistances, the voltage drops from these
elements are also measured. Figure below comperatively represents the circuit schemes of two-point
probe and FPP techniques (Nishi & Doering, 2007).

18
Figure 15. Illustration of TPP and FPP [10].
According to the figure, the total resistance measured by a two point probe system is given by;

Here, RL, RC and RS are the wire-probe resistance, the contact resistance and the resistance of the
sample under test, respectively. Obviously, it is not easy to measure RS with two-probe configuration
because of the contributions from RL and RC. On the other hand, although the four-probe
configuration still has RL, RC on the voltage path, the current passing through the voltmeter is very
small because the voltmeter has a high input impedence (~ 1012 Ω or higher). Therefore, it is assumed
that the voltage drop is only caused by resistance of the sample, RS. The one advantage of FPP system
is being fast and no need calibration for each measurement. Besides, it is commercially available and
inexpensive. As a weakness of the system, probes can cause deformation on the surface of the sample.
Eventhough the degree of the deformation is not severe, it can reduce the reliability of the
measurement. In order to decrease the degree of deformation, the probes are made of springs. In FPP
technique, a direct current is sourced by outer two probes while inner two probes are used to measure
the voltage drop occuring across the sample (see Figure below). The resistivity measurements rely on
the arrangement of the probes on the sample and geometry of the sample. For the reliability of the test,
these factors should be taken into consideration. Typically, the four probes are equally spaced and
aligned along a straight line (equally spaced in-line 4P) (Miccoli, Edler, Pfnür, & Tegankamp, 2015).

Figure 16. Illustration of electrical measurement with FPP [10].

19
3. EXPERIMENTAL PROCEDURE
Thin film is 2D materials and it uses for electronic devices,thermal cameras,LEDs and other lots of
area.Thin film deposition techniques is magnetron sputtering. There is 3 chamber and there is
production in first chamber also there is annealing process in third chamber and there is loading
process in second chamber.Producing system under high vacuum.There is nearly 109 Torr pressure in
system. The pressure inside the system is negligible,there is only some H atoms in system.After 7
production,begin the cleaning process.Generally cleaning time is higher than producing time because
product thinckness is nearly 100 nm and contamination is micron size so cleaning of thin film
producing system is very important.There must be no air,clean and no current in producing chamber
so after production all system tool clean and generally ethanol,isopropanol uses for this cleaning
process.Thin films are not only covered the substrate its also covered other system equipment and it
starts to accumulate and then pull out so this is very bad for producing of thin films.
Vanadium oxide and its other phases working in thin film lab it uses for bolometer so thermal camera
sensor.There are lots of appllication for vanadium oxides.There is lots of thin film producing methods
as CVD,PVD,sol gel and so on.Thermo chromic and electro chromic devices can be produced with
vanadium oxide.Thermo choromic is color changing when heated,electro chromic is color changing
when give electric and these type materials using for windows color change system.
Properties of thin film material and other dimension material are different for same material thin film
materials provides superior features.Insulator materials can convert the conductive material due to the
thin film.Quantum effect is also very important for materials science for example color of materials
change due to quantum effect.
There is vanadium target and also substrate material on the holder.Ionized argon (Ar+1) strikes the
target material for the to pull of the atom if has enough energy and these breakdown electrons are
directed by the electric field and go to the holder and settle down on the substrate atom by atom.Argon
uses for this process because argon doesn’t with other elements.If atoms tend to network among
themselves,this growth called ısland bu if the atoms tend to network between itself and substrate this
type growth called layer by layer.If there is two type growth mechanism its called mix growth.
Different types of substrate materials using for production of thin film.Substrate materials are very
important.Substrate materials change according to types of thin filmThere is plasma state in
production chamber.There is also O2 in chamber and it bond with vanadium and occur different
vanadium oxide phases (VO2,V2O5…).Different types vanadium oxide phases using for application
because tihs phases can be conductive from semiconductor.Different phases has different passing
tempereture and will give different resistance.
Semiconductor materials can show different conductive property with different excitement it can be
heat,light or other excitation type.There is different types semiconductor first type intrinsic and
extrinsic.In intirinsic semiconductor hole and electron numbers are equal and if temperature increase,
conductivity will increase other type semiconductor is extrinsic semiconductor and it distinct two:n
type and p tpye.If we add element that has valence number is smaller than main materials element it is
called n type and if we add element that has valance number is higher than main material is called p
type semiconductor.
Voltage,time,gas ratio,temperature,substrate,target are important for thin film production.After the
production of thin film we must do characteriazation for the determine the property and performance
of thin film.Firstly X-Ray diffranction apply for the determine the phase of thin film but thin film is
very sensitive material so for this materials diffracted atom angle is very small for the prevent of
defects.Homogenization of thin film is very important because resistance of material may change area
by area on material.
Atomic force microscopy shows the surface topography and can give 3D image.There is probe and
this probe tapping the materials and doesn’t touch,probe may repel or attract according the sample and
give the topography o materials.

20
Also there is FPP method for the electrical characterization,we get 4 contact on the materials,we give
constant current and measure the voltage.We send the current outside the material and we measure the
voltage difference inside of material and this voltage difference give resistance of material and we can
keep the voltage at constant.Purpose of using FPP is calculate the TCR (thermal coefficient
resistivity), infrared camera sensor produce in the thin film laboratory and for show the color
difference with infrared camera there must be resistance difference,resistance of heating area on the
sensor must be small and resistance of cooling area resistance must be high on the sensor for this
purpose energy of passing through the thin film must change with area by area for the micro bolometer
application.TCR is the constant of resistance that change with the changin temperature.
Factors of effecting FPP measurement are contact are on the material,shape of sample,tickness of
sample,probe pressure(must be low because mechanical force will create defect and this defect will
increase resistace).Silicon substrate uses for thin film production because silicon is insulator at normal
condition and if probe pass the substrate FPP show no contact warning and we can’t mesasure the
silicon resistance.
For TCR measurement there is thermocouple,heaters and source matter.Thermocouple touch the
sample and heater heat the sample.Voltage change according to temperature change will give the
table.Ln R – T graph uses for the TCR measurement.LnR uses instead of R because there is
displacement for voltage measurement on the sample and this voltage measure according to this
displacement and the temperature dependence of R can be described by the following equation:
R(1/T)=a*exp(b/T) , with T as absolute temperature in Kelvin so because of this lnR uses instead of R
for the TCR measurements.

21
4. RESULTS

Table 3. Annealed quartz substrate.

Figure 17. Annealed quartz substrate thin film graph.

22
Table 4. Annealed Si3N4 substrated thin film table.

Figure 18. Annealed Si3N4 substrate thin film lnR-T graph.

23
Table 5. Unannealed SiO2 substrate thin film table.

HEATING
12,6

12,4 23,8; 12,333


23; 12,339 27,1; 12,236
12,2 33,1; 12,128
29,7; 12,206 39,5; 11,978
12
35,8; 12,062
11,8 46,5; 11,761
42,6; 11,854
Ln(R)

53,6; 11,665
11,6
50,3; 11,648 59,3; 11,531
57; 11,589 HEATING
11,4 66,7; 11,365
63,1; 11,447
11,2 74,8; 11,249
70,8; 11,173
11 77; 10,998 81,8; 10,89
10,8 89,2; 10,743
85,8; 10,834
10,6
0 20 40 60 80 100
Tsample
Figure 19. Unannealed SiO2 substrate thin film lnR-T graph.

24
Table 6. Annealed SiO2 substrate thin film table.

Figure 20. Annealed SiO2 substrate graph.

25
5. DISCUSSION OF RESULTS
We producted thin films on different types substrate materials.These thin films producted for ınfrared
camera application so TCR value is very important.Temperature coefficient of resistance of all
substances varies with temperature so this temperature resistance dependence has a bearing on
electronic circuits in many ways.
Substrate materials is very important for thin film production because there is bond between substrate
materials and thin film.TCR value changes with changing substrate materials for same thin
film.Substrate-film matching factor(for example thermal expansion coefficient,etc.) is very important
for thin film production.
Resistance of infrared camera sensor increase with decreasing temperature and resistance decrease
with increasing temeperature and this resistance differences gives different colour for different
substances because all substances radiated different values of temperature.We producted different thin
films on different types substrate material and we characterized with FPP electrical characterization
method,and measured different volt values with different temperature range than we plot lnR-T graph
and calculate the TCR with slope.
-3 is good value of TCR for infrared camera application and I calculated different TCR value for
different substrate and unannealed SiO2 gives best value of TCR for infrared camera application
because this value closest the optimum value of TCR.
Normally anneal process is better for thin film because it improves defect of materials this
measurement value are not application of infrared camera value.Laboratorty real values are hidden so
laboratory members didn’t give noral values.
Voltage,substrate materials,uniformity,thickness,stoichometry,sturucture,process cobdition,substrate
matching factor are very important factors for thin film production.
CONCLUSIONS
We learnt thin film preparation and characterization techniques in this experiment.Magnetron
sputtering technique uses in thin film laboratory. There is vanadium target and also substrate material
on the holder.Ionized argon (Ar+1) strikes the target material for the to pull of the atom if has enough
energy and these breakdown electrons are directed by the electric field and go to the holder and settle
down on the substrate atom by atom.Argon uses for this process because argon doesn’t with other
elements.If atoms tend to network among themselves,this growth called ısland bu if the atoms tend to
network between itself and substrate this type growth called layer by layer.If there is two type growth
mechanism its called mix growth.
Different types of substrate materials using for production of thin film.Substrate materials are very
important.Substrate materials change according to types of thin filmThere is plasma state in
production chamber.There is also O2 in chamber and it bond with vanadium and occur different
vanadium oxide phases (VO2,V2O5…).Different types vanadium oxide phases using for application
because tihs phases can be conductive from semiconductor.Different phases has different passing
tempereture and will give different resistance.
Voltage,time,gas ratio,temperature,substrate,target are important for thin film production.After the
production of thin film we must do characteriazation for the determine the property and performance
of thin film.Firstly X-Ray diffranction apply for the determine the phase of thin film but thin film is
very sensitive material so for this materials diffracted atom angle is very small for the prevent of
defects.Homogenization of thin film is very important because resistance of material may change area
by area on material.
Different types of characterization techniques uses for characterization of thin films.X-Ray
diffraction,X-Ray reflectometry,atomic force microscope and FPP techniques learnt in this
experiment.Important parameters of characterization techniques are also learnt.TCR calculation and
plot of lnR-T learnt in this experiment.

26
REFERENCES
[1]. Yifan Meng,Kang Huang,Zhou Tang,Xiaofeng Xu,Zhiyong Tan,Qian Liu,Chunrui Wang,Binhe
Wu,Chang Wang,Juncheng Cao, “The effect of Argon pressure dependent V thin film on the phase
transition process of (020) VO2 thin film”, Applied Surface Science, Elsevier, 2017, 304-311.
[2]. Yongjun Zhan,Xiudi Xiao,Yuan Lu,Ziyi Cao,Shuai Qi,Changmeng Huan,Haoliang Cheng,Jifu
Shi,Gang Xu, “Enhanced thermal stability and thermochromic properties of VOx-based thin films by
room-temperature magnetron sputtering”, Solar Energy Materials and Solar Cells, Elsevier, 2017, 102-
111.
[3]. https://www.tf.uni-kiel.de/matwis/amat/semitech_en/kap_3/backbone/r3_2_2.html, Access date:
19.12.2017.
[4]. http://www.bionavis.com/en/material-science/applications/thin-solid-films/, Access date:
19.12.2017.
[5]. http://ideaenerji.com/Flexible%20.html, Access date: 19.12.2017.
[6]. Yongjun Zhan,Xiudi Xiao,Yuan Lu,Ziyi Cao,Shuai Qi,Changmeng Huan,Cantao Ye,Haoliang
Cheng,Jifu Shi,Xueqing Xu,Gang Xu, “The growth mechanism of VO2 multilayer thin films with high
thermochromic performance prepared by RTA in air”, Surfaces and Interfaces, Elsevier, 2017, 173-
181.
[7]. https://www.researchgate.net/publication/273414897_A_Precise_Reduced-
Parameter_Model_of_Thin_Film_Electrolyte_Impedance/figures?lo=1, Access date: 19.12.2017.
[8]. http://www3.mpifr-bonn.mpg.de/div/submmtech/bolometer/index.html, Access date: 19.12.2017.
[9]. Ye Tian,Dabo Liu,Fei Luo,Hongfei Qi, “A rapid and convenient method for the preparation of
thermochromic VO2 thin films”, Materials Research Bulletin, Elsevier, 2017, 100-103.
[10]. https://www.sigmaaldrich.com/materials-science/material-science-
products.html?TablePage=108832720, Access date: 19.12.2017.
[11]. Yi Bu,Jijun Zou,Yun Liu,Zhifu Zhu,Wenjuan Deng,Xincun Peng,Bin Tang, “Simple and
efficient synthesis of high-quality VO2 thin films and their application in vacuum sensor with wide
pressure range”, Thin Solid Films, Elsevier, 2017, 420-425.
[12]. Tiegui Lin,Langping Wang,Xiaofeng Wang,Yufen Zhang,Yonghao Yu, “Influence of lattice
distortion on phase transition properties of polycrystalline VO2 thin film, Applied Surface Science,
Elsevier, 2016, 179-185.
[13]. Xiqu Chen,Qiang Lv, “Resistance hysteresis loop characteristic analysis of VO2 thin film for
high sensitive microbolometer”, Optik - International Journal for Light and Electron Optics, Elsevier,
2015, 2718-2722.
[14]. https://www.sigmaaldrich.com/materials-science/material-science-
products.html?TablePage=108832720, Access date: 19.12.2017.

27
28

View publication stats

You might also like