Download as pdf or txt
Download as pdf or txt
You are on page 1of 146

แผนการสอน

วิชาวงจรดิจิตอล รหัสวิชา 2105-2007


หลักสูตรประกาศนียบัตรวิชาชีพ ปวช.
สาขาวิชาชางอิเล็กทรอนิกส

โดย

นายวิระศักดิ์ วัตถุ
แผนกวิชาชางอิเล็กทรอนิกส

วิทยาลัยเทคนิคประจวบคีรีขันธ อาชีวศึกษาจังหวัดประจวบคีรีขันธ
สํานักงานคณะกรรมการการอาชีวศึกษา กระทรวงศึกษาธิการ
แผนการสอน
วิชาวงจรดิจิตอล รหัสวิชา 2105-2007
หลักสูตรประกาศนียบัตรวิชาชีพ ปวช.
สาขาวิชาชางอิเล็กทรอนิกส
การพัฒนาหลักสู ตรรายวิชา
วิชาวงจรดิจติ อล รหัสวิชา 2105-2007

หลักสู ตรประกาศนียบัตรวิชาชีพ พุทธศักราช 2556


ประเภทวิชาอุตสาหกรรม สาขาวิชาช่างอิเล็กทรอนิกส์

การพัฒนาหลักสู ตรรายวิชา

ชื อวิชา วงจรดิจิตอล
รหัสวิชา 2105-2007
จํานวนหน่ วยกิต 2 หน่วยกิต
คาบการสอน 72 ชัว+ โมง/ภาคเรี ยน
หลักสู ตร หลักสู ตรประกาศนียบัตรวิชาชีพ พุทธศักราช 2556
ประเภทวิชา อุตสาหกรรม สาขาวิชาช่างอิเล็กทรอนิกส์
ผู้ทาํ การพัฒนา นายวิระศักดิ� วัตถุ
ปี ทําการ ปี การศึกษา 2562
2
จุดประสงค์ รายวิชา /มาตรฐานรายวิชา/ คําอธิบายรายวิชา
ชื อวิชา วงจรดิจิตอล จํานวน 2 หน่วยกิต
รหัสวิชา 2105 - 2007 คาบการสอน 72 ชัว+ โมง

จุดประสงค์ รายวิชา
1. เข้าใจการทํางานของวงจรดิจิตอล
2. มีทกั ษะในการประกอบและทดสอบวงจรดิจิตอล
3. มีกิจนิสัยในการค้นคว้าหาความรู ้เพิ"มเติมและปฏิบตั ิงานด้วยความละเอียดรอบคอบ
และปลอดภัย

สมรรถนะรายวิชา
1. แสดงความรู ้เกี"ยวกับการใช้งานวงจรดิจิตอล
2. ประกอบและทดสอบวงจรดิจิตอล

คําอธิบายรายวิชา
ศึกษาและปฏิบตั ิเกี+ยวกับระบบตัวเลข การคํานวณและการแปลงเลขฐานต่าง ๆ รหัสไบนารี+ และ
รหัสต่าง ๆ คณิ ตศาสตร์ ลอจิก การลดรู ปลอจิกเกต โลจิกไดอะแกรม วงจรบวกลบเลขไบนารี การเข้ารหัส
การถอดรหั ส วงจรคอมบิ เ นชั+ น เบื= อ งต้น ฟลิ ป ฟลอบ วงจรนับ วงจรเลื+ อ นข้อ มู ล วงจรแสดงผล
หน่วยความจํา คุณสมบัติของไอซี ตระกูลต่าง ๆ และการอ่านคู่มือไอซี ดิจิตอล
3
ความต้ องการในอาชีพ
วิชาวงจรดิ จิตอล รหัสวิชา 2105–2007 เป็ นรายวิชาที+เปิ ดสอนในระดับประกาศนี ยบัตรวิชาชี พ
แก่ผเู ้ รี ยนในสาขาวิชาช่ างอิเล็กทรอนิ กส์ ซึ+ งถือว่าเป็ นรายวิชาที+ผเู ้ รี ยนสามารถนําไปใช้ในการประกอบ
อาชี พตามสาขาวิชาที+ ตอ้ งการได้เป็ นอย่างดี โดยการจัดการเรี ยนการสอนต้องดําเนิ นไปให้ตรงตาม
จุดประสงค์ของหลักสู ตร คือ
1. เพื+อเป็ นวิชาชีพที+นาํ ไปประยุกต์ในการประกอบอาชีพ
2. เพื+อเป็ นการค้นคว้าหาความรู ้และประสบการณ์เพิ+มเติม
3. เพื+อเป็ นช่างในระดับเทคนิ ค
ดังนั=นความต้องการในอาชี พสําหรับผูเ้ รี ยน จึงมีความจําเป็ นและสําคัญอย่างมาก เพราะสามารถ
นําไปใช้ในการประกอบอาชีพ และใช้สาํ หรับเป็ นแนวทางและหลักปฏิบตั ิในการศึกษาขั=นสู งต่อไป

เป้ าหมายผู้เรียน
เป้ าหมายผูเ้ รี ยน เกี+ยวกับคุณสมบัติของผูเ้ รี ยนที+จะต้องมาเรี ยนรายวิชาวงจรดิจิตอล มีดงั นี=
1. คุณลักษณะทางกายภาพ เพศชาย และหญิง
2. การศึกษา ผ่านการศึกษาวิชาวงจรพัลส์และสวิตชิง รหัสวิชา 2105-2006
3. การจูงใจ ทัว+ ไปผูเ้ รี ยนมีความสนใจพอสมควรในการที+จะได้นาํ ไป
ประกอบอาชีพและมีความปรารถนาที+จะได้รับการพิจารณา
คะแนนหรื อผลการเรี ยนในระดับที+ดี
4. ความสามารถ ผูเ้ รี ยนมี ความจําในระดับปานกลาง ชอบการเรี ยนโดย การ
ปฏิบตั ิสามารถเห็นหรื อรู ้ได้จากสภาพชี วิตประจําวัน หรื อสิ+ ง
ที+เคยผ่านประสบการณ์มาก่อน
5. เจตคติ ผูเ้ รี ยนมีความรู ้สึกชอบวิชาวงจรดิจิตอล เพราะเป็ นวิชาหลัก
ในสาขาวิชาช่างอิเล็กทรอนิกส์
4
รายวิชาทีสั มพันธ์ กนั
รายวิชาที+มีความสัมพันธ์กบั วิชาวงจรดิจิตอล รหัสวิชา 2105-2007 จะเป็ นรายวิชาที+ผเู ้ รี ยนศึกษา
มาก่อนคือ
1. วิชาพื=นฐาน
2000-1301 วิชาวิทยาศาสตร์ เพื+อพัฒนาทักษะชีวติ
2000-1401 วิชาคณิ ตศาสตร์ พ=ืนฐาน
2000-1101 วิชาภาษาไทยพื=นฐาน
2000-1201 วิชาภาษาอังกฤษในชีวติ จริ ง 1
2. วิชาชีพสาขาวิชาช่างอิเล็กทรอนิกส์
2105-2001 วิชาเขียนแบบไฟฟ้ าและอิเล็กทรอนิกส์
2105-2002 วิชาวงจรไฟฟ้ ากระแสตรง
2105-2003 วิชาวงจรไฟฟ้ ากระแสสลับ
2105-2004 วิชาเครื+ องมือวัดไฟฟ้ าและอิเล็กทรอนิกส์
2105-2005 วิชาอุปกรณ์อิเล็กทรอนิกส์
2105-2006 วิชาวงจรพัลส์และสวิตชิง

ซึ+ งเป็ นวิชาที+ตอ้ งนํามาบูรณาการ เพื+อใช้ศึกษาและประยุกต์ใช้กบั วิชาวงจรดิจิตอล (2105-2006)


โดยทั=งนี= จะทําให้ผเู ้ รี ยนเกิดการเรี ยนรู ้ มีทกั ษะความสามารถและนําไปใช้สําหรับการประกอบอาชี พได้
อย่างแท้จริ ง
ความต้ องการเรียงลําดับก่ อนหลัง
การพิจารณาความต้องการเรี ยงลําดับก่อนหลังนั=นใช้ขอ้ มูลจากจุดประสงค์รายวิชามาตรฐาน
รายวิชาและคําอธิ บายรายวิชา ตามหลักสู ตรกําหนด ซึ+ งสามารถสรุ ปได้ดงั นี=
1. เรื+ องระบบตัวเลขและการคํานวณ
2. เรื+ องรหัสดิจิตอล
3. เรื+ ององค์ประกอบของระบบดิจิตอล
4. เรื+ องการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
5. เรื+ องวงจรบวกและวงจรลบเลขไบนารี
6. เรื+ องการเข้ารหัส ถอดรหัสและวงจรแสดงผล
7. เรื+ องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
8. เรื+ องฟลิปฟลอบและวงจรนับ
9. เรื+ องวงจรเลื+อนข้อมูลและหน่วยความจํา
5

การจําแนกรายการเนือ3 หาวิชา
จากจุดประสงค์รายวิชา มาตรฐานรายวิชาและคําอธิ บายรายวิชาของวิชาวงจรดิ จิตอล รหัสวิชา
2105-2007 สามารถจําแนกเนื= อหาวิชาแบ่งเป็ นหน่วยการเรี ยนการสอนทั=งสิ= น 9 หน่วยการเรี ยน โดยยึด
ตามแนวทางแผนการเรี ยนรู ้ วิชาวงจรดิ จิตอล รหัสวิชา 2105-2007 หลักสู ตรประกาศนี ย บัตรวิชาชี พ
พุทธศักราช 2556 รายละเอียดการจําแนกหน่วยการเรี ยนการสอนทั=ง 9 หน่วยมีดงั นี=
หน่วยที+ 1 เรื+ องระบบตัวเลขและการคํานวณ
หน่วยที+ 2 เรื+ องรหัสดิจิตอล
หน่วยที+ 3 เรื+ ององค์ประกอบของระบบดิจิตอล
หน่วยที+ 4 เรื+ องการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
หน่วยที+ 5 เรื+ องวงจรบวกและวงจรลบเลขไบนารี
หน่วยที+ 6 เรื+ องการเข้ารหัส ถอดรหัสและวงจรแสดงผล
หน่วยที+ 7 เรื+ องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
หน่วยที+ 8 เรื+ องฟลิปฟลอบและวงจรนับ
หน่วยที+ 9 เรื+ องวงจรเลื+อนข้อมูลและหน่วยความจํา
6
การจัดเรียงเนือ3 หารายวิชา
วิชาวงจรดิจติ อล รหัสวิชา 2105-2007
หน่ วยที หัวข้ อเรือง / รายการสอน
1. ระบบตัวเลขและการคํานวณ
1.1 ระบบตัวเลข
1.2 การแปลงเลขฐาน
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง
1.4 การบวกการลบเลขฐานสิ บหก
2. รหัสดิจิตอล
2.1 รหัสบีซีดี (BCD Code)
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code)
2.3 รหัสเกิน 3 (Excess-3 code)
2.4 รหัสเกรย์ (Gray code)
2.5 รหัสแอสกี (ASSCII)
2.6 ยูนิโค๊ด (Unicode)
3 องค์ ประกอบของระบบดิจิตอล
3.1 อุปกรณ์ลอจิกเกต (Logic gate)
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ
3.3 ตารางความจริ ง (Truth table)
3.4 ไทมิ+งไดอะแกรม (Timing diagram)
3.5 วงจรคอมบิเนชัน (Combination circuit)
3.6 ตระกูลของอุปกรณ์ลอจิกเกต
4. การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS
4.2 คณิ ตศาสตร์ ลอจิก
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map)
5. วงจรบวกและวงจรลบเลขไบนารี
5.1 การบวกเลขฐานสอง (Binary Addition)
5.2 การลบเลขฐานสอง (Binary Subtraction)
5.3 วงจรบวกเลขฐาน 2
7
หน่ วยที หัวข้ อเรือง / รายการสอน
5 วงจรบวกและวงจรลบเลขไบนารี
5.4 วงจรลบเลขไบนารี
6. การเข้ ารหัส ถอดรหัสและวงจรแสดงผล
6.1 วงจรเข้ารหัส (Encoder)
6.2 วงจรถอดรหัส (Decoder)
6.3 วงจรแสดงผล (Display circuit)
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer : MUX)
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer : DEMUX
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป
8.2 วงจรนับ (Countor)
8.3 ไอซี วงจรนับ
9. วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register)
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164
8
การประเมินค่ าความสามารถ
(Valuation of Abilities)
การประเมินค่าความสามารถดําเนิ นการวิเคราะห์ โดยใช้ตารางประเมินเพื+อเป็ นองค์ประกอบ ในการ
พิ จ ารณาตัด สิ นว่า รายการเนื= อ หาวิช าที+ จ ัดไว้ต ามหลัก สู ต รนั=น มี ค วามจํา เป็ นต่ อการเรี ย นรู ้ และมี
ความสําคัญที+สัมพันธ์กนั หรื อไม่ โดยมีเกณฑ์การประเมินค่าความสามารถดังนี=
เกณฑ์ การประเมินค่ า
1. ความสําคัญสําหรับอาชีพ (Importance for Vocation,IV)
X = สําคัญมาก
I = สําคัญปานกลาง
O = สําคัญน้อย
2. การกระทําบ่อยในการใช้งาน (Frequency of Perfomance,FP)
X = ใช้เป็ นประจํา
I = ใช้สัปดาห์ละครั=ง
O = ใช้เดือนละครั=งหรื อน้อยกว่า
3. ความสําคัญสําหรับโปรแกรมที+สัมพันธ์กนั (Importance for Related Program,IR)
X = มีความสําคัญต่อความก้าวหน้าในการเรี ยนหลักสู ตรวิชาที+สัมพันธ์กนั
ผูเ้ รี ยนไม่มีประสบการณ์หรื ออาจสอบตกในวิชาอื+นๆถ้าไม่มีความสามารถนี=
I = อาจจะช่วยให้เกิดความก้าวหน้าในการเรี ยนหลักสู ตรรายวิชาที+สัมพันธ์กนั
O = ไม่มีผลที+จะทําให้เกิดความก้าวหน้าในการเรี ยนหลักสู ตรรายวิชาที+มีความ
สัมพันธ์กนั
4. ความจําเป็ นสําหรับการสอน (Necessity of Stage,NS)
X = ความสามารถที+ทาํ การสอนหลักสู ตรนี= และไม่สามารถเปลี+ยนไปสอน
หลักสู ตรอื+นได้
I = ความสามารถอื+นๆ ซึ+ งยอมให้เปลี+ยนไปทําการสอนในหลักสู ตรอื+นได้
5. ความยากในการเรี ยน (Learning Difficulty,LD)
X = ความสามารถที+มีความยากในการเรี ยน
I = ความสามารถที+มีความยากปานกลางในการเรี ยน
O = ความสามารถที+ง่ายต่อการเรี ยน
*ผูเ้ รี ยนมีความสามารถเหล่านี=อยูแ่ ล้วและได้จากพื=นฐานความรู ้เดิม
9
ตารางที 1 การประเมินค่าความสามารถ วิชาวงจรดิจิตอล รหัสวิชา 2105-2007
การประเมินค่ า
หน่ วยที หัวข้ อเรือง / รายการสอน
IV FP IR NS LD
1 ระบบตัวเลขและการคํานวณ
1.1 ระบบตัวเลข X X I X X
1.2 การแปลงเลขฐาน X X I X X
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง X X I X X
1.4 การบวกการลบเลขฐานสิ บหก I I X X X
2 รหัสดิจิตอล
2.1 รหัสบีซีดี (BCD Code) I I X X X
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code) I I X X X
2.3 รหัสเกิน 3 (Excess-3 code) I I X X X
2.4 รหัสเกรย์ (Gray code) I I X X X
2.5 รหัสแอสกี (ASSCII) I I X X X
2.6 ยูนิโค๊ด (Unicode) I I X X X
3 องค์ ประกอบของระบบดิจิตอล
3.1 อุปกรณ์ลอจิกเกต (Logic gate) I X X X X
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ I X X X X
3.3 ตารางความจริ ง (Truth table) X X X X X
3.4 ไทมิ+งไดอะแกรม (Timing diagram) I I X X X
3.5 วงจรคอมบิเนชัน (Combination circuit) I I X X X
3.6 ตระกูลของอุปกรณ์ลอจิกเกต I I X X X
4 การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS I I X X X
4.2 คณิ ตศาสตร์ ลอจิก X X X X X
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map) X X X X X
5. วงจรบวกและวงจรลบเลขไบนารี
5.1 การบวกเลขฐานสอง (Binary Addition) X X X X X
5.2 การลบเลขฐานสอง (Binary Subtraction) X X X X X
5.3 วงจรบวกเลขฐาน 2 X X X X X
10
ตารางที 1 (ต่อ) การประเมินค่าความสามารถ วิชาวงจรดิจิตอล รหัสวิชา 2105-2006
การประเมินค่ า
หน่ วยที หัวข้ อเรือง / รายการสอน IV FP IR NS LD

5 5.4 วงจรลบเลขไบนารี X X X X X
6. การเข้ ารหัส ถอดรหัสและวงจรแสดงผล
6.1 วงจรเข้ารหัส (Encoder) X X X X X
6.2 วงจรถอดรหัส (Decoder) X X X X X
6.3 วงจรแสดงผล (Display circuit) I X X X X
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer) X X X X X
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer) X X X X I
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป X X X X I
8.2 วงจรนับ (Countor) X X X X I
8.3 ไอซี วงจรนับ X X X X I
9. วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register) X X X X I
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194 X X X X I
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164 X X X X I

IV = ความสําคัญสําหรับอาชีพ
FR = การกระทําบ่อยในการใช้งาน
IR = ความสําคัญสําหรับโปรแกรมที+สัมพันธ์กนั
NS = ความจําเป็ นสําหรับการสอน
LD = ความยากในการเรี ยน
11
การวิเคราะห์ วตั ถุประสงค์ เชิงพฤติกรรม

หลักสู ตรประกาศนี ยบัตรวิชาชี พ พุทธศักราช 2556 ได้กาํ หนดจุดประสงค์รายวิชา มาตรฐาน


รายวิชาและคําอธิ บายรายวิชาวงจรดิจิตอล ไว้เป็ นแนวทางในการสอนเพื+อแสดงถึงสิ+ งที+ผเู ้ รี ยนจะสามารถ
ทําได้หลังจากจบการเรี ยนวิชานี= แล้ว ในส่ วนของผูส้ อนจะต้องกําหนดวัตถุประสงค์เชิ งพฤติกรรม เพื+อ
ทราบขอบข่ายรายละเอียดเนื=อหาในการจัดการเรี ยนการสอนให้บรรลุตามที+จุดประสงค์รายวิชา มาตรฐาน
รายวิชาและคําอธิ บายรายวิชาที+กาํ หนดไว้ในหลักสู ตร
วัตถุ ประสงค์การเรี ยนการรู ้ ถื อเป็ นสิ+ งสําคัญของการเรี ยนการสอนเพราะจะเป็ นสิ+ งที+จะนําไป
กําหนดเนื=อหาวิชา กิจกรรมการเรี ยนการสอน สื+ อการสอน การวัดและประเมินผล Norman Gronlund ได้
แบ่งวัตถุประสงค์การเรี ยนการรู ้ เป็ น 2 ระดับ คือ
1.วัตถุประสงค์ทว+ั ไป (General Objectives) เป็ นวัตถุประสงค์ที+กล่าวอย่างกว้าง ๆ แต่มีขอบเขต
ความหมายเหมาะสมกับเนื=อหา
2.วัตถุ ประสงค์เฉพาะหรื อจุดประสงค์เชิ งพฤติกรรม (Behavioral Objectives) จุดประสงค์ที+
คาดหวังเห็นการเปลี+ยนแปลงพฤติกรรมของผูเ้ รี ยนที+สามารถสังเกตเห็นได้
ดังนั=นการวิเคราะห์วตั ถุ ประสงค์เชิ งพฤติกรรม จะเป็ นส่ วนในการกําหนดแนวทางการจัดการ
เรี ยนการสอนอย่างเป็ นรู ปธรรม โดยจะวิเคราะห์ตามพิสัยการเรี ยนรู ้ของ Benjamin S.Bloom เป็ น 3 พิสัย
คือ
- พุทธิ พิสัย (Cognitive Domain) เป็ นพฤติกรรมการเรี ยนรู้ทางด้านสติปัญญา 6 ด้าน คือ
ด้านที+ 1 ความรู ้ความจํา ( Knowledge )
ด้านที+ 2 ความเข้าใจ ( Comprehension )
ด้านที+ 3 การนําไปใช้ ( Application )
ด้านที+ 4 การวิเคราะห์ ( Analysis )
ด้านที+ 5 การสังเคราะห์ ( Synthesis )
ด้านที+ 6 การประเมินค่า ( Evaluation )
- ทักษะพิสัย (Psychomotor Domain) เป็ นพฤติกรรมการเรี ยนรู ้ทางทักษะการปฏิบตั ิ
- จิตพิสัย (Affective Domain) เป็ นพฤติกรรมการเรี ยนรู้ดา้ นจิตสํานึก ทัศนคติและอารมณ์
12
ตารางที 2 วิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม รู ้ดา้ นพุทธิ พิสัย วิชาวงจรดิจิตอล
ระดับการเรี ยนรู้ ด้านพุทธิพสิ ั ย

การสังเคราะห์
หน่ วยที หัวข้ อเรือง / รายการสอน

การวิเคราะห์
การนําไปใช้

การประเมิน
ความเข้าใจ
ความจํา
1 ระบบตัวเลขและการคํานวณ
1.1 ระบบตัวเลข X
1.2 การแปลงเลขฐาน X X
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง X X
1.4 การบวกการลบเลขฐานสิ บหก X
2 รหัสดิจิตอล
2.1 รหัสบีซีดี (BCD Code) X X
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code) X X X
2.3 รหัสเกิน 3 (Excess-3 code) X X
2.4 รหัสเกรย์ (Gray code) X X
2.5 รหัสแอสกี (ASSCII) X X X
2.6 ยูนิโค๊ด (Unicode) X X
3 องค์ ประกอบของระบบดิจิตอล
3.1 อุปกรณ์ลอจิกเกต (Logic gate) X X
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ X X
3.3 ตารางความจริ ง (Truth table) X X X
3.4 ไทมิ+งไดอะแกรม (Timing diagram) X X X
3.5 วงจรคอมบิเนชัน (Combination circuit) X X
3.6 ตระกูลของอุปกรณ์ลอจิกเกต X X X
4 การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS X X
4.2 คณิ ตศาสตร์ ลอจิก X X X
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map) X X X
13
ตารางที 2 (ต่ อ) วิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม รู ้ดา้ นพุทธิ พิสัย วิชาวงจรดิจิตอล

ระดับการเรี ยนรู้ ด้านพุทธิพสิ ั ย

การสังเคราะห์
หน่ วยที หัวข้ อเรือง / รายการสอน

การวิเคราะห์
การนําไปใช้

การประเมิน
ความเข้าใจ
ความจํา
5. วงจรบวกและวงจรลบเลขไบนารี
5.1 การบวกเลขฐานสอง (Binary Addition) X X X
5.2 การลบเลขฐานสอง (Binary Subtraction) X X X
5.3 วงจรบวกเลขฐาน 2 X X X
5.4 วงจรลบเลขไบนารี X X X
6. การเข้ ารหัส ถอดรหัสและวงจรแสดงผล
6.1 วงจรเข้ารหัส (Encoder) X X X X
6.2 วงจรถอดรหัส (Decoder) X X X X
6.3 วงจรแสดงผล (Display circuit) X X X X
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer) X X X
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer) X X X X X
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป X X
8.2 วงจรนับ (Countor) X X X
8.3 ไอซี วงจรนับ X X
9 วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register) X X
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194 X X
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164 X X
14
ตารางที 3 การวิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม ด้านทักษะพิสยั วิชาวงจรดิจิตอล

ระดับการเรียนรู้ ด้านทักษะพิสัย

การทําอย่างผสมผสาน
การทําถูกต้องแม่นยํา

การทําอย่างอัตโนมัติ
หน่ วยที หัวข้ อเรือง / รายการสอน

การทําตามแบบ
การเลียนแบบ
1 ระบบตัวเลขและการคํานวณ
1.1 ระบบตัวเลข X X
1.2 การแปลงเลขฐาน X X
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง X X
1.4 การบวกการลบเลขฐานสิ บหก X X
2. รหัสดิจิตอล
2.1 รหัสบีซีดี (BCD Code) X
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code) X
2.3 รหัสเกิน 3 (Excess-3 code) X
2.4 รหัสเกรย์ (Gray code) X
2.5 รหัสแอสกี (ASSCII) X
2.6 ยูนิโค๊ด (Unicode) X X
3. องค์ ประกอบของระบบดิจิตอล
3.1 อุปกรณ์ลอจิกเกต (Logic gate) X
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ X X
3.3 ตารางความจริ ง (Truth table) X X
3.4 ไทมิ+งไดอะแกรม (Timing diagram) X X
3.5 วงจรคอมบิเนชัน (Combination circuit) X X
3.6 ตระกูลของอุปกรณ์ลอจิกเกต X X
4 การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS X X
4.2 คณิ ตศาสตร์ ลอจิก X X
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map) X X
15
ตารางที 3 (ต่อ) การวิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม ด้านทักษะพิสยั วิชาวงจรดิจิตอล

ระดับการเรียนรู้ ด้านทักษะพิสัย

การทําอย่างผสมผสาน
การทําถูกต้องแม่นยํา

การทําอย่างอัตโนมัติ
หน่ วยที หัวข้ อเรือง / รายการสอน

การทําตามแบบ
การเลียนแบบ
5. วงจรบวกและวงจรลบเลขไบนารี
5.1 การบวกเลขฐานสอง (Binary Addition) X X
5.2 การลบเลขฐานสอง (Binary Subtraction) X X
5.3 วงจรบวกเลขฐาน 2 X X
5.4 วงจรลบเลขไบนารี X
6. การเข้ ารหัส ถอดรหัสและวงจรแสดงผล
6.1 วงจรเข้ารหัส (Encoder) X
6.2 วงจรถอดรหัส (Decoder) X
6.3 วงจรแสดงผล (Display circuit) X
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer) X X
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer) X X
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป X
8.2 วงจรนับ (Countor) X X X
8.3 ไอซี วงจรนับ X X X
9. วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register) X X
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194 X X
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164 X X
16
ตารางที 4 การวิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม ด้านจิตพิสัย วิชาวงจรดิจิตอล

ระดับการเรียนรู้ ด้านจิตพิสัย

การมีลกั ษณะเฉพาะตน
การจัดระบบการคิด
หน่ วยที หัวข้ อเรือง / รายการสอน

การตอบสนอง
การเห็นคุณค่า
การรับรู ้
1 ระบบตัวเลขและการคํานวณ
1.1 ระบบตัวเลข X
1.2 การแปลงเลขฐาน X X X
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง X X
1.4 การบวกการลบเลขฐานสิ บหก X
2. รหัสดิจิตอล
2.1 รหัสบีซีดี (BCD Code) X X
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code) X X X
2.3 รหัสเกิน 3 (Excess-3 code) X X
2.4 รหัสเกรย์ (Gray code) X X
2.5 รหัสแอสกี (ASSCII) X X
2.6 ยูนิโค๊ด (Unicode) X X
3. องค์ ประกอบของระบบดิจิตอล
3.1 อุปกรณ์ลอจิกเกต (Logic gate) X X X
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ X X
3.3 ตารางความจริ ง (Truth table) X X
3.4 ไทมิ+งไดอะแกรม (Timing diagram) X X X
3.5 วงจรคอมบิเนชัน (Combination circuit) X X X
3.6 ตระกูลของอุปกรณ์ลอจิกเกต X X
4 การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS X X X
4.2 คณิ ตศาสตร์ ลอจิก X X X
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map) X X X
17
ตารางที 3 (ต่อ) การวิเคราะห์วตั ถุประสงค์เชิงพฤติกรรม ด้านจิตพิสัย วิชาวงจรดิจิตอล
ระดับการเรียนรู้ ด้านทักษะพิสัย

การมีลกั ษณะเฉพาะตน
การจัดระบบการคิด
หน่ วยที หัวข้ อเรือง / รายการสอน

การตอบสนอง
การเห็นคุณค่า
การรับรู ้
5. วงจรบวกและวงจรลบเลขไบนารี
5.1 การบวกเลขฐานสอง (Binary Addition) X X
5.2 การลบเลขฐานสอง (Binary Subtraction) X X
5.3 วงจรบวกเลขฐาน 2 X X
5.4 วงจรลบเลขไบนารี X X
6. การเข้ ารหัส ถอดรหัสและวงจรแสดงผล
6.1 วงจรเข้ารหัส (Encoder) X X
6.2 วงจรถอดรหัส (Decoder) X X
6.3 วงจรแสดงผล (Display circuit) X X
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer) X X
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer) X X
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป X X
8.2 วงจรนับ (Countor) X X
8.3 ไอซี วงจรนับ X X
9. วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register) X X
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194 X X
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164 X X
18
โครงการสอนรายวิชาและแผนการสอนรายวิชา
การนําหลักสู ตรรายวิชาไปใช้ให้เกิดผลตามจุดประสงค์รายวิชา มาตรฐานรายวิชาและคําอธิ บาย
รายวิชาถือเป็ นหน้าที+อนั สําคัญยิ+งของผูส้ อน โดยเฉพาะผูส้ อนต้องมีความเข้าใจในหลักสู ตรรายวิชา เพื+อ
จะได้นาํ ไปวางแผนการสอนให้ผเู ้ รี ยนเกิดการเปลี+ยนแปลงพฤติกรรมบรรลุตามจุดประสงค์และเป้ าหมาย
ของหลักสู ตรที+มุ่งเป้ าให้ผเู ้ รี ยนคิดเป็ น ทําเป็ น และแก้ปัญหาเป็ น ดังนั=นในการเรี ยนการสอน ผูส้ อน
จะต้องจัดทําโครงการสอนรายวิชาให้เป็ นไปตามขั=นตอนเหมาะสมกับเวลาที+ใช้ในการเรี ยนการสอนและ
ผูเ้ รี ยนด้วย
การจัดทําโครงการสอนรายวิชาเปรี ยบเสมือนเป็ นปฏิทินการปฏิบตั ิงานของผูส้ อนในการกําหนด
ขอบข่ายการเรี ยนการสอน เป็ นการจัดเตรี ยมการสอนของผูส้ อนว่า จะต้องเตรี ยมเนื= อหาวิชา นั=น จะใช้
วิธีการสอนแบบไหน เลื อกชนิ ดของสื+ อการเรี ยนการสอนอย่างไร มี จุดใดที+ ตอ้ งทําการวัดผลและ
ประเมินผล ซึ+ งเป็ นการจัดเตรี ยมและวางแผนในภาพรวมวงกว้างของภาคเรี ยนนั=นๆ การจัดทําโครงการ
สอนรายวิชาที+จะก่อให้เกิดผลสมบูรณ์คุม้ ค่าที+สุด ควรจะต้องแจ้งให้กบั ผูเ้ รี ยนทุกคนได้ทราบ เพื+อเป็ นสิ+ ง
ที+จะใช้ในการตรวจปรับกันเองระหว่างผูเ้ รี ยนกับผูส้ อน ส่ วนผูเ้ รี ยนก็จะทราบว่าในรายวิชานั=นต้องเรี ยน
เกี+ ยวกับอะไรบ้าง ใช้เวลาเท่าไร ถื อเป็ นการเตรี ยมตัวสําหรับการเรี ยน อีกทั=งเป็ นการขจัดปั ญหาอัน
เนื+ องมาจากผูส้ อนสอนไม่ตรงตามหลักสู ตรและ/หรื อสอนไม่ครบตามหลักสู ตร สําหรับแผนการสอน
รายวิชา ซึ+ งจัดเป็ นเครื+ องมือสําคัญอย่างหนึ+ งที+จะช่วยให้ผูส้ อนสามารถดําเนิ นการจัดการเรี ยนการสอน
บรรลุเป้ าหมายตามหลักสู ตรรายวิชา กําหนดอย่างมีประสิ ทธิ ภาพ จะให้รายละเอียดมากกว่า โครงการ
สอนรายวิชาเพราะส่ วนประกอบต่างๆ จะประกอบด้วย
- จุดประสงค์การเรี ยนรู ้
- สาระสําคัญ
- กิจกรรมการเรี ยนการสอน
- สื+ อการเรี ยนการสอน
- การวัดผลและการประเมินผล
การจัดทําแผนการสอนรายวิชาอย่างมีระบบ ผูส้ อนจะนําผูเ้ รี ยนสู่ จุดหมายของการเรี ยนรู ้ และ
สําเร็ จประสงค์ตามจุดมุ่งหมายของหลักสู ตรรายวิชา ส่ งเสริ มให้ผเู ้ รี ยนเกิดการเรี ยนรู ้ครบถ้วนสอดคล้อง
กับเวลาที+ใช้อย่างเหมาพะสม ทั=งยังช่วยสนับสนุ นส่ งเสริ มให้ผสู ้ อนใฝ่ ศึกษาหาความรู ้ ในเรื+ องหลักสู ตร
การสอน ระบบการสอน การจัดทํา จัดหา และเลือกสื+ อประกอบการเรี ยนการสอน วิธีการวัดผลและ
ประเมินผล ช่วยให้ผสู ้ อนมีความมัน+ ใจในการสอนมากยิง+ ขึ=น
ดังนั=นการนําหลักสู ตรไปใช้เพื+อให้เกิ ดผลบรรลุ ตามจุดประสงค์รายวิชา มาตรฐานรายวิชาและ
คําอธิ บายรายวิชา โดยมีการวางแผนการสอน จัดทําโครงการสอน โดยยึดถือแนวทางตามขอบข่ายที+
19
หลักสู ตรรายวิชากําหนด ประโยชน์สูงสุ ดที+เกิ ดขึ=นจะส่ งผลโดยตรงแก่ผูเ้ รี ยนอย่างมีประสิ ทธิ ภาพ
การศึกษาที+มีมาตรฐานเป็ นรู ปธรรมด้วย
การดําเนิ นการจัดทําแผนการสอนวิชาวงจรดิจิตอล สําหรับครู ผสู ้ อนใช้ในการสอนกับนักเรี ยน/
นักศึกษาทั=งห้อง กิจกรรมและสื+ อการเรี ยน การสอนในการเรี ยนรู ้ นักเรี ยน / นักศึกษาจะต้องประกอบ
กิจกรรมไปพร้อม ๆ กัน โดยเนื=อหาวิชา จะประกอบด้วยแผนการสอนทั=งสิ= น 9 หน่วย คือ
แผนการสอนหน่วยที+ 1 เรื+ องระบบตัวเลขและการคํานวณ
แผนการสอนหน่วยที+ 2 เรื+ องรหัสดิจิตอล
แผนการสอนหน่วยที+ 3 เรื+ ององค์ประกอบของระบบดิจิตอล
แผนการสอนหน่วยที+ 4 เรื+ องการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
แผนการสอนหน่วยที+ 5 เรื+ องวงจรบวกและวงจรลบเลขไบนารี
แผนการสอนหน่วยที+ 6 เรื+ องการเข้ารหัส ถอดรหัสและวงจรแสดงผล
แผนการสอนหน่วยที+ 7 เรื+ องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
แผนการสอนหน่วยที+ 8 เรื+ องฟลิปฟลอบและวงจรนับ
แผนการสอนหน่วยที+ 9 เรื+ อวงจรเลื+อนข้อมูลและหน่วยความจํา

1. คําชี3แจงสํ าหรับผู้สอน
1.1 ผูส้ อนต้องศึกษาเนื= อหาวิชาและแผนการสอนให้เข้าใจ ก่อนทําการสอนและต้องเตรี ยม
วัสดุ อุปกรณ์ต่าง ๆ เพื+อใช้ในการเรี ยนการสอนตามระบุไว้ในแผนการสอนแต่ละหน่วยการเรี ยน
1.2 ผูส้ อนต้องดําเนินการสอนตามแผนการสอนอย่างเคร่ งครัด
1.3 ก่อนทําการสอนผูส้ อนต้องให้ผเู ้ รี ยนทําแบบประเมินก่อนเรี ยน ซึ+ งแบบประเมินนี= จะเป็ น
แบบประเมิ นถึ งเกณฑ์ที+ เขี ย นขึ= น เพื+อวัดวัตถุ ป ระสงค์เชิ ง พฤติ กรรมที+ ผูส้ อนหวังว่า ผูเ้ รี ย นจะเปลี+ ย น
พฤติกรรม
1.4 การจัดกิจกรรมการเรี ยนการสอน แบ่งออกเป็ นขั=นตอนดังนี=
ขั=นที+ 1 ประเมินก่อนเรี ยน
ขั=นที+ 2 นําเข้าสู่ บทเรี ยน
ขั=นที+ 3 ให้เนื=อหา
ขั=นที+ 4 ประกอบกิจกรรมการเรี ยน
ขั=นที+ 5 สรุ ปผล
ขั=นที+ 6 ประเมินหลังเรี ยน
โดยการจัดกิจกรรมการเรี ยนการสอน ผูส้ อนจะต้องมีทกั ษะและความชํานาญ ในการใช้คาํ ถาม
เป็ นอย่างดี จึงจะสามารถนําการอภิปรายให้นกั เรี ยน/นักศึกษาเกิดการเรี ยนรู ้ ได้อย่างมีประสิ ทธิ ผล
20
1.5 การสรุ ปบทเรี ยนเป็ นกิจกรรมร่ วมระหว่างผูส้ อนกับผูเ้ รี ยน หรื อจะเป็ นกิจกรรม ของ
ผูเ้ รี ยนทั=งหมดก็ได้
1.6 หลังจากเรี ยนครบหัวข้อเรื+ องในแต่ละแผนการสอน แล้วให้ผเู ้ รี ยนทําแบบประเมิน
หลังเรี ยน ซึ+ งเป็ นแผนเดียวกันกับแบบประเมินก่อนเรี ยน
1.7 หลังจากผูเ้ รี ยน เรี ยนจบครบทุกแผนการสอนแล้ว ผูส้ อนจะต้องเก็บข้อมูลผลการ เรี ยน
จัดทําประวัติการเรี ยนของผูเ้ รี ยน เพื+อดูการเปลี+ยนแปลงพฤติกรรมและความก้าวหน้าของผูเ้ รี ยน
2. บทบาทผู้เรียน
เนื+องจากแผนการสอนวิชานี=เป็ น แผนการสอนสําหรับครู ผสู ้ อนเป็ นผูด้ าํ เนิ นการโดยให้นกั เรี ยน-
นักศึกษาปฏิบตั ิกิจกรรมตามบทบาทผูเ้ รี ยนดังนี=
2.1 ผูเ้ รี ยนต้องปฏิบตั ิกิจกรรมคําแนะนําของผูส้ อนอย่างเคร่ งครัด
2.2 ผูเ้ รี ยนต้องพยายามทําแบบฝึ กหัดอย่างเต็มความสามารถ (คําถามที+ใช้เป็ นเพียง
ส่ วนหนึ+งของการเรี ยนเท่านั=น)
3. การจัดชั3 นเรียน
ใช้การจัดชั=นเรี ยนตามปกติ โดยจัดการเรี ยนการสอนแบบบรรยาย หรื อถามตอบสภาพการจัดชั=น
เรี ยนต้องจัด เพื+อที+ให้เหมาะสม สามารถทํากิจกรรมการเรี ยนและการสอน แก่นกั เรี ยน-นักศึกษาได้อย่าง
ทัว+ ถึง
4. โครงการสอนและแผนการสอน
จัดอยู่ในชุ ดการสอนโดยจัดแบ่งเป็ นโครงการสอนประจําหน่ วย ซึ+ งจะมีแผนการสอน แต่ละ
หน่วยประกอบอยูด่ ว้ ยทุกโครงการสอน
5. การประเมินผล
ประเมินผลจากการทําใบงาน การปฏิบตั ิงานที+มอบหมาย และการทําแบบประเมินสําหรับเฉลย
แบบประเมิน จะอยูท่ า้ ยโครงการสอน ประจําหน่วยแต่ละหน่วย
21
โครงการสอนวิชาวงจรดิจติ อล รหัสวิชา 2105-2007
จํานวนคาบ
หน่ วยที หัวข้ อเรือง / รายการสอน
ทฤษฏี ปฏิบัติ รวม
1. ระบบตัวเลขและการคํานวณ 1 3 4
1.1 ระบบตัวเลข
1.2 การแปลงเลขฐาน
1.3 การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง
1.4 การบวกการลบเลขฐานสิ บหก
2. รหัสดิจิตอล 2 6 8
2.1 รหัสบีซีดี (BCD Code)
2.2 รหัสเลขฐานสิ บหก (Hexadecimal Code)
2.3 รหัสเกิน 3 (Excess-3 code)
2.4 รหัสเกรย์ (Gray code)
2.5 รหัสแอสกี (ASSCII)
2.6 ยูนิโค๊ด (Unicode)
3. องค์ ประกอบของระบบดิจิตอล 2 6 8
3.1 อุปกรณ์ลอจิกเกต (Logic gate)
3.2 การเปลี+ยนแนนด์เกตเป็ นเกตชนิดอื+น ๆ
3.3 ตารางความจริ ง (Truth table)
3.4 ไทมิ+งไดอะแกรม (Timing diagram)
3.5 วงจรคอมบิเนชัน (Combination circuit)
3.6 ตระกูลของอุปกรณ์ลอจิกเกต
4. การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่ 2 6 8
4.1 การเขียนฟังก์ชน+ั แบบ SOP และ POS
4.2 คณิ ตศาสตร์ ลอจิก
4.3 แผนผังคาร์ นอ (Karnaugh maping : K-map)
22
โครงการสอนวิชาวงจรดิจติ อล รหัสวิชา 2105-2007 (ต่ อ)
จํานวนคาบ
หน่ วยที หัวข้ อเรือง / รายการสอน
ทฤษฏี ปฏิบัติ รวม
5. วงจรบวกและวงจรลบเลขไบนารี 2 6 8
5.1 การบวกเลขฐานสอง (Binary Addition)
5.2 การลบเลขฐานสอง (Binary Subtraction)
5.3 วงจรบวกเลขฐาน 2
5.4 วงจรลบเลขไบนารี
6 การเข้ ารหัส ถอดรหัสและวงจรแสดงผล 2 6 8
6.1 วงจรเข้ารหัส (Encoder)
6.2 วงจรถอดรหัส (Decoder)
6.3 วงจรแสดงผล (Display circuit)
7. วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์ 2 6 8
7.1 วงจรมัลติเพล็กเซอร์ (Multiplexer)
7.2 วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer)
8. ฟลิปฟลอบและวงจรนับ
8.1 ชนิดของฟลิปฟลอป
8.2 วงจรนับ (Countor)
8.3 ไอซี วงจรนับ
9. วงจรเลือนข้ อมูลและหน่ วยความจํา
9.1 วงจรรี จิสเตอร์ (Register)
9.2 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74194
9.3 ไอซี รีจิสเตอร์ เลื+อนข้อมูลเบอร์ 74164
สอบปลายภาค 4 4
รวม 18 54 72
แผนการจัดการเรี ยนรู้ ที 1 หน่วยที 1
ชือวิชา วงจรดิจิตอล สอนครังที 1 - 2
ชือหน่วย ระบบตัวเลขและการคํานวณ ชัวโมงรวม 8 ชัวโมง
ชือเรื อง ระบบตัวเลขและการคํานวณ จํานวนชัวโมง 8 ชัวโมง

หัวข้ อเรืองและงาน
1. ระบบตัวเลข
1.1 ระบบเลขฐานสิ บ (Decimal numbers)
1.2 ระบบเลขฐานสอง (Binary numbers)
1.3 ระบบเลขฐานแปด (Octal numbers)
1.4 ระบบเลขฐานสิ บหก (Hexadecimal numbers)
2. การแปลงเลขฐาน
2.1 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานสิ บ
2.2 การแปลงเลขฐานระหว่างเลขฐานสิ บหกกับเลขฐาน 8
2.3 การแปลงเลขฐานระหว่างเลขฐานสิ บหกกับเลขฐาน 10
2.4 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานแปด
2.5 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานสิ บหก
3. การคํานวณทางคณิตศาสตร์ ของเลขฐานสอง
3.1 การบวกเลขฐานสอง (Binary addition)
3.2 การลบเลขฐานสอง (Binary Subtraction)
3.3 การคูณเลขฐานสอง (Binary Multiplication)
3.4 การหารเลขฐานสอง (Binary division)
4. การบวกการลบเลขฐานสิ บหก

สาระการเรี ยนรู้
ระบบเลขจํานวนหรื อระบบตัวเลขทีใช้ในชีวติ ประจําวันของเราจะใช้ระบบเลขฐานสิ บคือเลข 0 ถึง 9
เช่ นวิทยาลัยฯ ของเรามีนกั ศึกษาจํานวน 8,032 คน มีครู อาจารย์จาํ นวน 228 คน มีคอมพิวเตอร์ จาํ นวน 596
เครื อง นอกจากนี เรายังใช้เลขฐานสิ บสอง เช่นสหกรณ์วิทยาลัยฯซื อนํามาจําหน่วยจํานวน 115 โหล สําหรับ
ระบบจํานวนในระบบดิ จิตอล ไมโครโพรเซสเซอร์ นิ ยมใช้ระบบเลขฐานสอง ระบบเลขฐานแปด ระบบ
เลขฐานสิ บ และระบบเลขฐานสิ บหก ในบทนีจะกล่าวถึงระบบเลขฐานต่าง ๆ
24
สมรรถนะทีพึงประสงค์

ความรู้ ทักษะ คุณธรรม/จริยธรรม


1. อธิบายระบบตัวเลขและการคํานวณทีใช้ 1. แสดงการแปลงเลขระหว่างฐาน 1. ตรงต่อเวลา
ในระบบดิจิตอลและคอมพิวเตอร์ได้ สองกับฐานสิ บได้ 2. มีความตระหนักในหน้าทีของ
2. อธิบายหลักการของระบบตัวเลขและ 2. แสดงการแปลงเลขระหว่างฐาน นักศึกษา
การคํานวณได้ สองกับฐานแปดได้ 3. มีความรับผิดชอบต่อตนเอง
3. เขียนเลขฐานต่าง ๆ ได้ 3. แสดงการแปลงเลขระหว่างฐาน และสังคม
4. แปลงเลขระหว่างฐานสองกับฐานสิ บได้ สองกับฐานสิ บหกได้ 4. แต่งกายถูกต้องตามระเบียบ
5. แปลงเลขระหว่างฐานสองกับฐานสิ บหกได้ 4. แสดงการแปลงเลขระหว่างฐาน 5. แสดงความเคารพด้วยท่าที
6. แปลงเลขระหว่างฐานสองกับฐานแปดได้ สิ บกับฐานสิ บหกได้ ทีสวยงาม
7. แปลงเลขระหว่างฐานสิ บกับฐานสิ บหกได้ 5. คํานวณการบวกเลขฐานสองได้ 6. ทํางานด้วยความเต็มใจ
8. คํานวณการบวกเลขฐานสองได้ 6. คํานวณการลบเลขฐานสองได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
9. คํานวณการลบเลขฐานสองได้ 7. คํานวณการคูณเลขฐานสองได้ อย่างประหยัดตระหนักถึง
10. คํานวณการคูณเลขฐานสองได้ 8. คํานวณการหารเลขฐานสองได้ ความปลอดภัย
11. คํานวณการหารเลขฐานสองได้ 9. คํานวณการบวกลบเลขฐานสิ บ
12. คํานวณการบวกลบเลขฐานสิบหกได้ หกได้

เนือ3 หาสาระ
1. ระบบตัวเลข
1.1 ระบบเลขฐานสิ บ (Decimal numbers)
หลักที หลักที n หลักที 7 หลักที 6 หลักที 5 หลักที 4 หลักที 3 หลักที 2 หลักที 1
ชื อประจําหลัก ล้าน แสน หมืน พัน ร้อย สิ บ หน่วย
เขียนเป็ นเลข
10n-1 106 105 104 103 102 101 100
ยกกําลัง
ค่าตัวเลข 10n-1 1,000,000 100,000 10,000 1,000 100 10 1

1.2 ระบบเลขฐานสอง (Binary numbers)


หลักที หลักที n หลักที 7 หลักที 6 หลักที 5 หลักที 4 หลักที 3 หลักที 2 หลักที 1
เขียนเป็ นเลข
ยกกําลัง
nn-1 26 25 24 23 22 21 20
ค่าประจําตําแหน่ง
คิดเป็ นเลขฐาน 10
2n-1 64 32 16 8 4 2 1

วงจรดิจิตอล
25
1.3 ระบบเลขฐานแปด (Octal numbers)
หลักที หลักที n หลักที 6 หลักที 5 หลักที 4 หลักที 3 หลักที 2 หลักที 1
เขียนเป็ นเลข
nn-1 85 84 83 82 81 80
ยกกําลัง
ค่าประจําตําแหน่ง
2n-1 32768 4096 512 64 8 1
คิดเป็ นเลขฐาน 10

1.4 ระบบเลขฐานสิ บหก (Hexadecimal numbers)


0 1 2 3 4 5 6 7 8 9 A B C D E F
10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F
20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F
30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F

2. การแปลงเลขฐาน
2.1 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานสิ บ
1) การแปลงเลขฐานสองเป็ นเลขฐานสิ บ
1× 2 5 + 0 × 2 4 + 1× 2 3 + 1× 2 2 + 0 × 21 + 1× 2 0 . + 0 × 2 -1 + 1× 2 -2 + 1× 2 -3
หรือ ค่าประจําหลัก 8 4 2 1 . 0.5 0.25 0.125
× × × × × × ×
1 1 0 1. 1 0 1
8 4 0 1 . 0.5 0 0.125
1101.101 = 8 + 4 + 0 + 1 . 0.5 + 0 + 0.125
= 13.625
2) การแปลงเลขฐานสิ บเป็ นเลขฐานสอง
59 = 29 เศษ 1
2
29 = 14 เศษ 1
2
14 = 7 เศษ 0
2
7 = 3 เศษ 1
2
3 = 1 เศษ 1
2

59 = 1 1 1 0 1 1 2
59 = 1110112

วงจรดิจิตอล
26
2.2 การแปลงเลขฐานระหว่างเลขฐานสิ บหกกับเลขฐาน 8
1) การแปลงเลขฐานแปดเป็ นเลขฐานสิ บ
ตัวอย่ าง 2578 = 2 × 8 2 + 5 × 81 + 7 × 80
= 2 × 64 + 5 × 8 + 7 ×1
= 128 + 40 + 7
= 175 ตอบ
หรือ ค่าประจําหลัก
× × ×

2) การแปลงเลขฐานแปดเป็ นเลขฐานสิ บ

∴ 82610 = 14728

2.3 การแปลงเลขฐานระหว่างเลขฐานสิ บหกกับเลขฐาน 10


1) การแปลงเลขฐานสิ บหกเป็ นเลขฐานสิ บ
A6316 = A ×16 2 + 6 ×161 + 3 ×160
= A × 256 + 6 ×16 + 3 ×1
= 10 × 256 + 6 ×16 + 3 ×1
= 2560 + 96 + 3
= 2659
หรือ ค่าประจําหลัก
× × ×

A6316 = 2560 + 96 + 3
= 2659

วงจรดิจิตอล
27
2) การแปลงเลขฐานสิ บเป็ นเลขฐานสิ บหก

∴ 438810 = 112 4 16
4388 = 112416
2.4 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานแปด
1) การแปลงเลขฐานสองเป็ นเลขฐานแปด
001 101 011 1102

1 5 3 68
ดังนั=น 11010111102 = 15368
2) การแปลงเลขฐานแปดเป็ นเลขฐานสอง
2 4 3 08

010 100 011 0002


∴ 2430 8 = 010100011000 2
2.5 การแปลงเลขฐานระหว่างเลขฐานสองกับเลขฐานสิ บหก
1) การแปลงเลขฐานสองเป็ นเลขฐานสิ บหก
1011 10012

B 916
101110012 = B916
2) การแปลงเลขฐานสิ บหกเป็ นเลขฐานสอง
4 F 216

0100 1111 00102


∴ 4F216 = 10011110010 2
= 10011110010 2

วงจรดิจิตอล
28
3. การคํานวณทางคณิตศาสตร์ ของเลขฐานสอง
3.1 การบวกเลขฐานสอง (Binary addition)
กฎการบวกเลขฐานสอง
0 + 0 = 0 ทด 0
0 + 1 = 1 ทด 0
1 + 0 = 1 ทด 0
1 + 1 = 0 ทด 1
3.2 การลบเลขฐานสอง (Binary Subtraction)
0 - 0 = 0
0 - 1 = 1 ยืมหลักทีมีค่ามากกว่า 1
1 - 0 = 1
1 - 1 = 0
3.3 การคูณเลขฐานสอง (Binary Multiplication)
0×0 = 0
0 ×1 = 0
1 ×0 = 0
1 ×1 = 1
3.4 การหารเลขฐานสอง (Binary division)
การหารเลขฐานสองมีหลายวิธี วิธีทีง่ายทีสุ ดได้แก่วิธีหารยาว
10002 ÷ 102
100
10 1000
10
00
∴ 1000 2 ÷ 10 2 = 100 2
4. การบวกการลบเลขฐานสิ บหก
การบวกการลบเลขฐานสิ บหกมีวิธีการเช่นเดียวกับการบวกลบเลขฐานสอง แต่มีขอ้ แตกต่างกันตรงทีการยืม
จากหลักทีสูงกว่าแต่ละครั=งนั=นจะมีค่าเท่ากับ 16
9A5C16 + 6D8916
11 1 ตัวทด
C = 12
+ 96 AD 58 C9 12 + 9 = 21
10 7 E 5 1
16 21
16
5
∴ 9A5C16 + 6D8916 = 107E516

วงจรดิจิตอล
29
กิจกรรมการเรียนการสอน
ขั3นตอนการสอน ขั3นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั3นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของระบบตัวเลข 1.2 นักเรี ยนบอกความสําคัญของของระบบ เรี ยนหน่วยที 1
และการคํานวณในงานดิจิตอลและ ตัวเลขและการคํานวณในงานดิจิตอลและ
คอมพิวเตอร์ คอมพิวเตอร์
1.3 ครู แจกแบบทดสอบก่อนเรี ยนหน่วยที 1 1.3 นักเรี ยนทําทดสอบก่อนเรี ยนหน่วยที 1
2. ขั3นสอนทฤษฎี
2.1 ครู อธิบายระบบตัวเลขและการคํานวณ 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 1
ในงานดิจิตอลและคอมพิวเตอร์ใช้สือ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 1
power point ประกอบ
2.2 ซักถามปั ญหาเกียวกับระบบตัวเลขและ
การคํานวณในงานดิจิตอล
3. ขั3นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 1
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั3นสอนปฎิบตั ิ
4.1 มอบให้นกั ศึกษาแสดงวิธีการคํานวณ 4.1 นักศึกษาแสดงวิธีการคํานวณ 1.ใบตรวจผลงาน
เกียวกับตัวเลขในระบบดิจิตอล โดยทํา เกียวกับตัวเลขในระบบดิจิตอล ตามใบมอบงานที 1
ตามใบมอบงานที 1

5. ขั3นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 1 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 1 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 1
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั3นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 1
ระบบตัวเลขและการคํานวณ และทํา
แบบฝึ กหัดท้ายหน่วยเรี ยนหน่วยที 1
ส่งในอาทิตย์ต่อไป
7. ขั3นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยและความเรี ยบร้อย 7.1 ช่วยกันจัดเก็บและทําความสะอาด 1.ใบตรวจสอบความ
ของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
30
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนบทที 1
ขณะเรียน
ให้นัก ศึ กษาอภิ ปรายเกี ยวกับ และสรุ ปเกี ยวกับ ระบบตัวเลขและการคํานวณที ใช้ใ นงาน
ดิจิตอลและคอมพิวเตอร์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับระบบตัวเลขและการคํานวณ และทําแบบฝึ กหัดท้าย
หน่วยเรี ยนหน่วยที 1 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล บทที 1 เรื องระบบตัวเลขและการคํานวณ
2. power point เรื องระบบตัวเลขและการคํานวณ
3. แบบฝึ กหัดท้ายหน่วยเรี ยนที 1
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 1 จํานวน 15 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 1 จํานวน 15 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 1 จํานวน 15 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
31
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน ระบบตัวเลขและการคํานวณ หน่วยที 1


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ3 หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั=งต่อไป
1. ระบบตัวเลข ในวันที….....เดือน…….........…..พ.ศ…….......
2. การแปลงเลขฐาน โดยจะดําเนิ นการดังนี=
3. การคํานวณทางคณิ ตศาสตร์ ของเลขฐานสอง
1. ………………………….……........……
4. การบวกการลบเลขฐานสิ บหก
2. …………………………….…........……
3. ……………………….…………............
4. ………………………….…………........
5. ………………………….……….........…

ลงชื อ…………………………….
( .................................. )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
32
แบบฝึ กหัดท้ ายหน่ วยที 1
จงอธิบาย/แสดงวิธีการคํานวณ

1. ระบบตัวเลขทีนิยมใช้งานในวงจรดิจิตอลใช้เลขฐานใดบ้าง และแต่ละเลขฐานมีจาํ นวนตัวเลขกีตัว


ประกอบด้วยตัวเลขอะไรบ้าง
2. จงแปลงเลขฐานสองต่อไปนีให้เป็ นเลขฐานสิ บ
2.1 101102
2.2 1101112
2.3 10101.12
2.4 11101.112
2.5 10001.1012
3. จงแปลงเลขฐานสิ บต่อไปนีให้เป็ นเลขฐานสอง
3.1 56
3.2 99
3.3 234
3.4 925
4. จงแปลงเลขฐานสิ บต่อไปนีให้เป็ นเลขฐานแปด
4.1 79
4.2 250
4.3 1089
5. จงแปลงเลขฐานแปดต่อไปนีให้เป็ นเลขฐานสิ บ
5.1 1058
5.2 2548
5.3 6428
6. จงแปลงเลขฐานสิ บต่อไปนีให้เป็ นเลขฐานสิ บหก
6.1 48
6.2 357
6.3 985
7. จงแปลงเลขฐานสิ บหกต่อไปนีให้เป็ นเลขฐานสิ บ
7.1 8216
7.2 B6316
7.3 D2B16

วงจรดิจิตอล
33
8. จงแปลงเลขฐานสองต่อไปนีให้เป็ นเลขฐานสิ บหก
8.1 101110101002
8.2 100101010.111010112
9. จงแปลงเลขฐานสิ บหกต่อไปนีให้เป็ นเลขฐานสอง
9.1 A5DF16
9.2 9C0616
10. จงคํานวณหาผลลัพธ์
10.1 1011012 + 1101012
10.2 1001012 - 11012
10.3 1111012 - 1100012 โดยวิธี One complement
10.4 1100012 - 1101012 โดยวิธี Two complement
10.5 1111012 × 110112
10.6 111101102 ÷ 1102
10.7 E65816 + BD7416
10.8 9A3F16 – 56C016

วงจรดิจิตอล
34
ใบประเมินผลหน่ วยที 1
วิชา วงจรดิจิตอล ชื อหน่ วย ระบบตัวเลขและการคํานวณ

คําชี3แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ


1. เราสามารถใช้ระบบตัวเลขทีใช้ในระบบดิจิตอลได้หลายเลขฐาน ยกเว้นเลขฐานใด
ก. เลขฐานสอง ข. เลขฐานแปด
ค. เลขฐานสิ บ ง. เลขฐานสิ บสอง
2. สัญลักษณ์ใดทีระบุวา่ เป็ นเลขฐานสิ บหก
ก. ฿ ข. $
ค. % ง. #
3. ข้อใดแปลงเลขฐานสองให้เป็ นเลขฐานสิ บได้ถกู ต้อง
ก. 101012 = 1× 24 +0 × 23 +1× 22 +0 × 21 +1× 20 ข. 100112 = 1× 24 +0 × 23 +1× 22 +0 × 21 +0 × 20
ค. 110012 = 1× 24 +1× 23 +1× 22 +0 × 21 +1× 20 ง. 111002 = 1× 24 +0 × 23 +1× 22 +1× 21 +1× 20
4. ข้อใดแปลงเลขฐานสิ บหกให้เป็ นเลขฐานสิ บได้ถูกต้อง
ก. 1A2F16 = 1×163 +A ×162 +2 ×161 +F ×160 ข. 1A2F16 = 1×163 +10 ×162 +2 ×161 +F ×160
ค. 1A2F16 = 1×163 +10 ×162 +2 ×161 +15 ×160 ง. 1A2F16 = 1×163 +A ×162 +2 ×161 +15 ×160
5. การแปลงเลข 11002 เป็ นเลขฐานสิ บตรงกับข้อใด
ก. 12 ข. 11
ค. 10 ง. 9
6. ข้อใดกล่าวได้ถูกต้อง
ก. 1012 = 510 ข. 1102 = 516
ค. 1112 = 72 ง. 1002 = 1010
7. เลข 9910 มีค่าเท่ากับเลขฐานสองข้อใด
ก. 10110012 ข. 11110012
ค. 11000112 ง. 10010012
8. เลข 110010012 แปลงให้เป็ นเลขฐานสิ บหกได้เท่าไร
ก. A216 ข. B616
ค. C916 ง. F416
9. เลข DC9A216 แปลงให้เป็ นเลขฐานสองได้เท่าไร
ก. 111010101001101000102 ข. 110110101001101000102
ค. 110111001001101000102 ง. 110111001001101100102

วงจรดิจิตอล
35
10. เลข 1010110001112 แปลงให้เป็ นเลขฐานแปดได้เท่าไร
ก. 53078 ข. 44228
ค. 62438 ง. 43078
11. เลข 276138 แปลงให้เป็ นเลขฐานสองได้เท่าไร
ก. 110111101010112 ข. 101111100010112
ค. 111101100010102 ง. 101111100010102
12. ผลลัพธ์ระหว่างเลข 1010112 บวกกับเลข 1101002 จะมีค่าเท่ากับเท่าไร
ก. 11100012 ข. 10010012
ค. 10111112 ง. 10110012
13. ผลลัพธ์ระหว่างเลข 111012 คูณกับเลข 11012 จะมีค่าเท่ากับเท่าไร
ก. 1111100012 ข. 1000110012
ค. 1011110012 ง. 1011111012
14. ผลหารระหว่างเลข 100012 หารด้วยเลข 112 จะมีค่าเท่ากับเท่าไร
ก. 1012 เศษ 102 ข. 1112 เศษ 112
ค. 1102 เศษ 102 ง. 1002 เศษ 012
15. ผลบวกระหว่างเลข 8DEF616 บวกด้วยเลข A25C416 จะมีค่าเท่ากับเท่าไร
ก. 1304BA16 ข. 1205CA16
ค. 1304AB16 ง. 1205BB16

วงจรดิจิตอล
36
เฉลยใบประเมินผลหน่ วยที 1
คําตอบของแบบประเมินผลหน่วยที 1

ข้อที 1 = ง
ข้อที 2 = ข
ข้อที 3 = ก
ข้อที 4 = ค
ข้อที 5 = ก
ข้อที 6 = ก
ข้อที 7 = ค
ข้อที 8 = ค
ข้อที 9 = ค
ข้อที 10 = ก
ข้อที 11 = ข
ข้อที 12 = ค
ข้อที 13 = ค
ข้อที 14 = ก
ข้อที 15 = ก

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 2 หน่วยที 2
ชือวิชา วงจรดิจิตอล สอนครังที 3
ชือหน่วย รหัสดิจิตอล ชัวโมงรวม 4 ชัวโมง
ชือเรื อง รหัสดิจิตอล จํานวนชัวโมง 4 ชัวโมง

หัวข้ อเรืองและงาน
1. รหัสบีซีดี (BCD Code)
2. รหัสเลขฐานสิ บหก (Hexadecimal Code)
3. รหัสเกิน 3 (Excess-3 code)
4. รหัสเกรย์ (Gray code)
4.1 การแปลงเลข Binary ให้เป็ น Gray code
4.2 การแปลงเลข Gray code ให้เป็ นเลข Binary
5. รหัสแอสกี (ASSCII)
5.1 รหัสแอสกีทีใช้ในอเมริ กา
5.2 รหัสแอสกีทีใช้ในประเทศไทย
6. ยูนิโค๊ด (Unicode)

สาระการเรี ยนรู้
การติดต่อสื อสารในระบบดิจิตอลจะใช้รหัส(Code) ซึ งรหัสทีใช้ตอ้ งให้เป็ นการสื อสารกันเฉพาะ
กิ จเท่านันเท่านัน มี ผูร้ ู ้ และเข้าใจในรหัสเฉพาะผูท้ ี เกี ยวข้อง สําหรั บในงานทางดิ จิตอลจะใช้อยู่ในระบบ
เลขฐานสองคือ ประกอบไปด้วย 0 กับ 1 มาใช้ในการกําหนดและวิเคราะห์ในการทํางาน ซึ งค่าเลขฐานสองที
เกิ ดขึนก็มีการกําหนดความหมายในการทํางานทีแตกต่างกันไป เราจึงเกิ ดรหัสทีนําเอาเลข 0 กับ 1 ทีมาใช้
ประกอบกันเป็ นรหัสในงานดิจิตอล รหัสทีนิ ยมใช้ประกอบด้วย BCD Code, Hexadecimal Code, Excess-3
code, Gray code รหัส ASSCII และรหัส Unicode เป็ นต้น
38
สมรรถนะทีพึงประสงค์

ความรู้ ทักษะ คุณธรรม/จริยธรรม


1. อธิบายรหัสดิจิตอลทีใช้ในระบบดิจิตอลและ 1. แสดงการเข้ารหัสของรหัสแบบ 1. ตรงต่อเวลา
คอมพิวเตอร์ได้ ต่าง ๆ ได้ 2. มีความตระหนักในหน้าทีของ
2. บอกความหมายของรหัสดิจิตอลได้ 2. แสดงการแปลงรหัสเลขไบนารี นักศึกษา
3. อธิบายรหัส BCD ชนิดต่าง ๆ ได้ เป็ นรหัสเกรย์ 3. มีความรับผิดชอบต่อตนเอง
4. คํานวณหารหัส BCD ได้ แปลงและ และสังคม
5. อธิบายรหัสเกิน 3 ได้ รหัสเกรย์เป็ นรหัสเลขไบนารี ได้ 4. แต่งกายถูกต้องตามระเบียบ
6. อธิบายรหัสเกรย์ได้ 3. แสดงการแปลงรหัสเกรย์ 5. แสดงความเคารพด้วยท่าที
7. แปลงรหัสเลขไบนารี เป็ นรหัสเกรย์และ เป็ นรหัสเลขไบนารี ได้ ทีสวยงาม
รหัสเกรย์เป็ นรหัสเลขไบนารี ได้ 4. แสดงการคํานวณรหัส ASCII 6. ทํางานด้วยความเต็มใจ
8. อธิบายรหัสแอสกีได้ ตามทีกําหนดได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
9. หาค่ารหัสแอสกีของข้อความทีกําหนดได้ อย่างประหยัดตระหนักถึง
10. อธิบายยูนิโค๊ดได้ ความปลอดภัย

เนือ, หาสาระ
1. รหัสบีซีดี (BCD Code)
รหัส BCD เป็ นรหัสทีนําเอาตัวเลขฐานสองคือ 0 และ1 มาประกอบกันเป็ นใช้แทนเลขฐานสิ บ ซึ งจะ
ประกอบด้วยเลขฐานสองจํานวน 4 บิต เพือทีจะแทนเลขฐานสิ บ 0 ถึง 9 รหัส BCD เป็ นรหัสทีมีนาหนั ํ กในการ
แทนค่า ซึ งมีหลายชนิ ดแต่ทีเป็ นทีนิ ยมและง่ายในการใช้งานทีสุ ดเห็นจะเป็ นรหัส BCD-8421 ตัวเลข 8421 นี
คือค่านําหนักประจําหลักแต่ละหลักทีบิต 0 ถึงบิต 3
2. รหัสเลขฐานสิ บหก (Hexadecimal Code)
รหัสเลขฐานสิ บหกนิ ยมใช้ในงานไมโครคอนโทรลเลอร์ ไมโครคอมพิวเตอร์ โดยใช้ตวั เลขและ
ตัวอักษรแทน เลขฐานสิ บตังแต่ 0 ถึง 9 จะตรงกับตัวเลข 0 ถึง 9 ของรหัสเลขฐานสิ บหก แต่ตงแต่
ั 10 ถึง 15
ของเลขฐานสิ บนันจะใช้ตวั อักษร A ถึง F แทนในรหัสเลขฐานสิ บหก
3. รหัสเกิน 3 (Excess-3 code)
รหัส Excess-3 เป็ นรหัสทีไม่มีนาหนั
ํ ก (Non weighted code) ปรับปรุ งจากรหัส BCD-8421 โดยจะ
มีค่าทีแปลงมาจากรหัส BCD-8421 บวกเพิมอีก 3 ใช้แทนเลขฐานสิ บเช่ นกัน คือใช้แทนเลข 0 ถึง 9 วิธีการ
เปลียนจากเลขฐานสิ บเป็ น Excess-3 นันให้เปลียนเลขฐานสิ บในแต่ละหลักให้เป็ น BCD-8421 ก่อนจากนัน
จึงบวกรหัส BCD ทีด้วยเลข 0011 ทุกชุด ก็จะได้คาํ ตอบเป็ นรหัส Excess-3

วงจรดิจิตอล
39
4. รหัสเกรย์ (Gray code)
ํ ก ซึ งลักษณะทีสําคัญของรหัสชนิ ดนี คือตัวเลขระหว่างกลุ่มรหัสที
รหัสเกรย์เป็ นรหัสทีไม่มีนาหนั
เรี ยงลําดับต่อเนืองกันไป รหัสทีอยูใ่ นตําแหน่งติดกันจะมีบิตทีแตกต่างกันเพียง 1 บิตเท่านัน เช่น 0000 ลําดับ
ต่อไปคื อ 0001 ลําดับต่อไปคือ 0011 ลําดับต่อไปคือ 0010 เป็ นต้น รหัสเกรย์จะนําไปใช้ประโยชน์มากใน
ระบบการตรวจจับสัญญาณด้วยแสงหรื อระบบการทํารหัสเพือบอกตําแหน่งของเพลาหมุน รหัสเกรย์
4.1 การแปลงเลข Binary ให้เป็ น Gray code

01102 = 0101GRAY code

4.2 การแปลงเลข Gray code ให้เป็ นเลข Binary

1101002 = 1001112

5. รหัสแอสกี (ASSCII)
รหัสแอสกี (ASSCII : American Standard Code for Information Interchange ) เป็ นรหัสมาตรฐานที
ยอมรับกันในวงการอุตสาหกรรมอย่างกว้างขวาง ซึ งใช่แพร่ หลายกันในระบบคอมพิวเตอร์ รวมทังในระบบ
อินพุทและเอาต์พุตเ์ ช่นเครื องพิมพ์ (printer) ระบบการสื อสารผ่านระบบคอมพิวเตอร์ รหัสชนิ ดนี ประกอบไป
ด้วยเลขฐานสองจํานวน 8 บิต ซึ งใช้แทนทังตัวเลขและตัวอักษรพร้อมทังตัวเครื องหมายต่าง ๆ
5.1 รหัสแอสกีทีใช้ในอเมริ กา
5.2 รหัสแอสกีทีใช้ในประเทศไทย
6. ยูนิโค๊ ด (Unicode)
ยูนิโค๊ดคือรหัสคอมพิวเตอร์ ใช้แทนตัวอักขระสามารถใช้แทนอักขระและสัญลักษณ์ต่างๆ ได้
มากกว่ารหัส ASCII ซึ งรหัส ASCII เก็บอักขระได้สูงสุ ดเพียง 256 ตัว เนื องจากรหัส ASCII เป็ นรหัสขนาด 1
ไบต์ (1 Byte = 8 bit) แต่ Unicode เป็ นโค๊ดขนาด 2 ไบต์ หรื อ 16 บิต จึงสามารถใช้แทนตัวอักษรและ
สัญลักษณ์ต่าง ๆ ได้เท่ากับ 216 หรื อเก็บได้สูงสุ ด 65,536 ตัวอักษร ดังนันยูนิโค๊ดจึงถูกนําไปใช้เป็ นโค๊ดของ
ภาษาต่าง ๆ ได้ทวโลก ั ภาษาไทยก็อยูใ่ น Unicode นีด้วยเหมือนกัน

วงจรดิจิตอล
40
กิจกรรมการเรียนการสอน
ขั,นตอนการสอน ขั,นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั,นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของรหัสดิจิตอล 1.2 นักเรี ยนบอกความสําคัญของรหัสดิจิตอล เรี ยนหน่วยที 2
ในงานดิจิตอลและคอมพิวเตอร์ ในงานดิจิตอลและคอมพิวเตอร์
1.3 ครู แจกแบบทดสอบก่อนเรี ยนหน่วยที 2 1.3 นักเรี ยนทําทดสอบก่อนเรี ยนหน่วยที 2

2. ขั,นสอนทฤษฎี
2.1 ครู อธิบายรหัสดิจิตอลในงานดิจิตอลและ 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 2
คอมพิวเตอร์ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 2
2.2 ซักถามปั ญหาเกียวกับรหัสดิจิตอลทีใช้
ในงานดิจิตอลและคอมพิวเตอร์
3. ขั,นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 2
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั,นสอนปฎิบตั ิ
4.1 มอบให้นกั ศึกษาแสดงวิธีการคํานวณ 4.1 นักศึกษาแสดงวิธีการคํานวณเกียวกับ 1.ใบตรวจผลงาน
เกียวกับรหัสดิจิตอล โดยทําตามใบมอบ รหัสดิจิตอล โดยทําตามใบมอบงานที 2 ตามใบมอบงานที 2
งานที 2

5. ขั,นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 2 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 2 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 2
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั,นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 2
รหัสดิจิตอล และทําแบบฝึ กหัดท้าย
หน่วยเรี ยนหน่วยที 2 ส่งในอาทิตย์ต่อไป
7. ขั,นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของของห้องเรี ยน 7.1 ช่วยกันจัดเก็บชุและทําความสะอาด 1.ใบตรวจสอบความ
ห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
41
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 2
ขณะเรียน
ให้ นั ก ศึ ก ษาอภิ ป รายเกี ยวกับ และสรุ ปเกี ยวกับ รหั ส ดิ จิ ต อลที ใช้ ใ นงานดิ จิ ต อลและ
คอมพิวเตอร์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับรหัสดิจิตอลและทําแบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 2 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล บทที 1 เรื องรหัสดิจิตอล
2. power point เรื องรหัสดิจิตอล
3. แบบฝึ กหัดท้ายหน่วยที 2
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 1 จํานวน 15 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 1 จํานวน 15 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 1 จํานวน 15 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
42
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน รหัสดิจิตอล หน่วยที 2


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ, หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั1งต่อไป
1. รหัสบีซีดี (BCD Code) ในวันที….....เดือน…….........…..พ.ศ…….......
2. รหัสเลขฐานสิ บหก (Hexadecimal Code) โดยจะดําเนิ นการดังนี1
3. รหัสเกิน 3 (Excess-3 code)
1. ………………………….……........……
4. รหัสเกรย์ (Gray code)
2. …………………………….…........……
4.1 การแปลงเลข Binary ให้เป็ น Gray code 3. ……………………….…………............
4.2 การแปลงเลข Gray code ให้เป็ นเลข Binary 4. ………………………….…………........
5. รหัสแอสกี (ASSCII) 5. ………………………….……….........…

5.1 รหัสแอสกีทีใช้ในอเมริ กา
ลงชื อ…………………………….
5.2 รหัสแอสกีทีใช้ในประเทศไทย ( ............................... )
6. ยูนิโค๊ด (Unicode) ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
43
แบบฝึ กหัดท้ ายหน่ วยที 2
จงอธิบาย/แสดงวิธีการคํานวณ

1. จงบอกความหมายของคําว่ารหัส (Code)
2. จงอธิ บายข้อแตกต่างของรหัส BCD-8421 กับ BCD-6311
3. จงแปลงเลขฐานสิ บต่อไปนีให้เป็ นรหัส BCD-8421
3.1 45210
3.2 798510
3.3 255710
4. จงแปลงรหัส BCD-8421 ต่อไปนีให้เป็ นเลขฐานสิ บ
4.1 0110 1101 0011 1110 1001 1101 0110 1110 BCD-8421
4.2 0011 1010 1001 1011 0101 1101 0111 0001 1111 1110 BCD-8421
5. จงแปลงรหัสต่อไปนีให้เป็ นรหัส Excess-3
4.1 0111 1011 0011 1010 1001 1111 0100 1111BCD-8421
4.3 254310
6. จงอธิ บายประโยชน์ของ Gray code
7. จงแปลงเลขไบนารี ต่อไปนีให้เป็ น Gray code
7.1 101110102
7.1 111001012
8. จงแปลง Gray code ต่อไปนีให้เป็ นเลขไบนารี
8.1 11011010 Gray code
8.2 10100101 Gray code
9. จงอธิ บายการใช้รหัส ASSCII
10. จงเขียนรหัส ASSCII ของชือตนเอง
10.1 เป็ นภาษาไทย
10.2 เป็ นภาษาอังกฤษ
11. จงอธิ บายประโยชน์ของรหัส Unicode

วงจรดิจิตอล
44
ใบประเมินผลหน่ วยที 2
วิชา วงจรดิจิตอล ชื อหน่ วย รหัสดิจิตอล

คําชี,แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ

1. ข้อใดไม่ใช่ความหมายความหมายของคําว่า “รหัส”
ก. การแปลงสารสนเทศหนึง ๆให้อยูใ่ นรู ปแบบหรื อลักษณะทีไม่เหมือนเดิม
ข. สิ งทีรับรู ้ในกลุ่มหนึง ๆ โดยผูเ้ ข้ารหัสและถอดรหัสเข้าใจกัน
ค. การแปลงข่าวสารให้เข้าใจจากเนื อหาให้เป็ นสิ งอืน เมือแปลกลับจะได้เนื อหาเหมือนเดิม
ง. สิ งทีคนทุกคนเข้าใจ
2. รหัส BCD มีความหมายว่าอย่างไร
ก. รหัสเลขไบนารี ทีสามารถแทนเลข 0 ถึงเลข 9 ในเลขฐานสิ บ
ข. รหัสเลขฐานสิ บทีสามารถแทนเลข 0 ถึงเลข 9 ในเลขฐานสิ บ
ค. รหัสเลขฐานสิ บหกทีสามารถแทนเลข 0 ถึงเลข 9 ในเลขฐานสิ บ
ง. รหัสเลขฐานแปดทีสามารถแทนเลข 0 ถึงเลข 9 ในเลขฐานสิ บ
3. เลข 1916 สามารถแปลงเป็ นรหัส BCD-8421ได้เท่าไร
ก. 0001 0101BCD-8412
ข. 0001 1101BCD-8412
ค. 0010 0001BCD-8412
ง. 0010 0101BCD-8412
4. เลข 25710 เมือแปลงเป็ นรหัส Excess-3 ได้ผลลัพธ์ตรงกับข้อใด
ก. 0010 0101 0111
ข. 0101 1001 1010
ค. 0100 0100 1011
ง. 0101 1000 1010
5. เลข 100102 เมือแปลงเป็ นรหัสเกรย์ได้ผลลัพธ์ตรงกับข้อใด
ก. 10110
ข. 10101
ค. 10001
ง. 11011

วงจรดิจิตอล
45

ตารางสํ าหรับข้ อ 6-9


รหัสแอสกีภาษาไทย/ภาษาอังกฤษ

6. จากตารางทีกําหนด ข้อใดแสดงรหัสแอสกีของอักขระทีกําหนดผิด
ก. รหัสแทนอักษร “ส” คือ 001110102
ข. รหัสแทนอักษร “G” คือ 010001112
ค. รหัสแทนอักษร “บ” คือ BA16
ง. รหัสแทนอักษร “B” คือ 4216
7. จากตารางทีกําหนด รหัสแอสกีของข้อความ “Danger” ในระบบเลขฐานสิ บหกตรงกับข้อใด
ก. 44616E67657216
ข. 45616E67657216
ค. 44626E67657216
ง. 44616E68657216
วงจรดิจิตอล
46
8. ข้อใดไม่ใช่รหัสแอสกีของ “A”
ก. 6510
ข. 728
ค. 010000012
ง. 4116
9. ข้อใดรหัสแอสกีของคําว่า “รถยนต์” ในระบบเลขฐานสิ บหก
ก. C3B6C3B9B5EC16
ข. C3B7C2B9B5EC16
ค. C3B6C2B9B5EC16
ง. C3B6C2B9B8EC16
10. รหัสยูนิโค๊ด (Unicode) ใช้รหัสเลขฐาน 2 จํานวนกีบิต
ก. 4
ข. 8
ค. 16
ง. 32

วงจรดิจิตอล
47
เฉลยใบประเมินผลหน่ วยที 2
คําตอบของแบบประเมินผลหน่วยที 2

ข้อที 1 = ง
ข้อที 2 = ก
ข้อที 3 = ง
ข้อที 4 = ง
ข้อที 5 = ง
ข้อที 6 = ก
ข้อที 7 = ก
ข้อที 8 = ข
ข้อที 9 = ค
ข้อที 10 = ค

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 3 หน่วยที 3
ชือวิชา วงจรดิจิตอล สอนครังที 4 - 5
ชือหน่วย องค์ ประกอบของระบบดิจิตอล ชัวโมงรวม 8 ชัวโมง
ชือเรื อง องค์ ประกอบของระบบดิจิตอล จํานวนชัวโมง 8 ชัวโมง

หัวข้ อเรืองและงาน
1. อุปกรณ์ลอจิกเกต (Logic gate)
2. การเปลียนแนนด์เกตเป็ นเกตชนิดอืน ๆ
3. ตารางความจริ ง (Truth table)
4. ไทมิงไดอะแกรม (Timing diagram)
5. วงจรคอมบิเนชัน (Combination circuit)
6. ตระกูลของอุปกรณ์ลอจิกเกต

สาระการเรี ยนรู้
1. อุปกรณ์ลอจิกเกต ประกอบด้วยเกตพืนฐานจํานวน 8 ชนิ ด ได้แก่ AND gate, OR gate, Not gate,
NAND gate, NOR gate, Exclusive OR gate, Exclusive NOR gate และ Bufferเกตแต่ละชนิ ดจะมีคุณลักษณะ
แตกต่างกัน เช่น AND gate จะคล้ายกับสวิตช์ต่ออนุ กรมกัน OR gate จะคล้ายกับสวิตช์ต่อขนานกัน NOT
gate จะคล้ายกับสวิตช์ต่อลงกราวด์ NAND gate จะเป็ นการนํา AND gate มาต่อกับ NOT gate สําหรับ NOR
gate เป็ นการนํา OR gate มาต่อกับ NOT gate เป็ นต้น
2. เพือประโยชน์ในการออกแบบวงจรพิมพ์เราสามารถเปลียนเกตชนิ ดอืนๆ แทนด้วย NAND gate
และ NOR gate ได้
3. ตารางความจริ งเป็ นสิ งที แจกแจงเงื อนไขการทํางานของวงจรดิ จิตอลนันๆ เพื อให้ผูอ้ อกแบบ
สามารถวิเคราะห์การทํางานของวงจรได้
4. ไทมิงไดอะแกรม เป็ นกราฟแสดงสภาวะการทํางานของวงจรทีจุดและเวลาต่างๆ
5. วงจรคอมบิเนชัน เป็ นการนําลอจิกเกตต่างๆ ต่อเป็ นวงจรเพือนําไปใช้ตามเงือนไขทีต้องการ
6. ไอซี ลอจิกเกตพืนฐานมีหลายตระกูล เช่นตระกูล RTLตระกูล DTL ตระกูล HTL ตระกูล TTL
ตระกูล NMOS ตระกูล CMOS และลอจิกเกตประเภท Chip
7. ลอจิกเกตตระกูล TTL ยังสามารถแยกเป็ นประเภทย่อยได้ดงั นี Standard TTL, High speed TTL,
Low power TTL, Schottky TTL, Low power Schottky TTL, Advanced Schottky TTL, Advanced Low
power Schottky TTL และ Fast TTL
8. วงจรเอาต์พุตของไอซี ลอจิกเกตสามารถแบ่งได้เป็ น 2 ชนิดได้แก่ แบบ Totem Pole และแบบ Open
Collector
49
สมรรถนะทีพึงประสงค์

ความรู้ ทักษะ คุณธรรม/จริยธรรม


1. อธิบายองค์ประกอบของระบบดิจิตอลได้ 1. ประกอบวงจรลอจิกเกต TTLได้ 1. ตรงต่อเวลา
2. บอกชือลอจิกเกตพืนฐานได้ 2. ใช้ดีซีโวลต์มิเตอร์วดั แรงดันไฟฟ้ า 2. มีความตระหนักในหน้าทีของ
3. อธิบายคุณลักษณะของลอจิกเกตแต่ละชนิดได้ ทีลอจิกเกต TTLได้ นักศึกษา
4. อธิบายวิธีการเปลียนแนนด์เกตและนอร์เกต 3. วิเคราะห์ลอจิกเกต TTL ว่าดี 3. มีความรับผิดชอบต่อตนเอง
เป็ นเกตชนิดอืนได้ หรื อเสี ยได้ และสังคม
5. เขียนตารางความจริ งตามเงือนไขทีกําหนดได้ 4. ประกอบวงจรลอจิกเกต CMOSได้ 4. แต่งกายถูกต้องตามระเบียบ
6. อธิบายการเขียนไทมิงไดอะแกรมได้ 5. ใช้ดีซีโวลต์มิเตอร์วดั แรงดันไฟฟ้ า 5. แสดงความเคารพด้วยท่าที
7. วิเคราะห์วงจรลอจิกด้วยไทมิงไดอะแกรมได้ ทีลอจิกเกต CMOSได้ ทีสวยงาม
8. บอกความหมายของวงจรคอมบิเนชันได้ 6. วิเคราะห์ลอจิกเกต CMOS ว่าดี 6. ทํางานด้วยความเต็มใจ
9. อธิบายลักษณะสมบัติของลอจิกเกตตระกูล หรื อเสี ยได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
TTL ได้ อย่างประหยัดตระหนักถึง
10. อธิบายลักษณะสมบัติของลอจิกเกตตระกูล ความปลอดภัย
CMOS ได้
11. ระบุขนาดของระดับแรงดันไฟฟ้ าทีใช้กบั
ลอจิกเกตตระกูล TTL และ CMOS ได้
12. บอกขนาดแรงดันทีอินพุตและเอาต์พตุ ของ
ลอจิกเกตตระกูล TTL และ CMOS ได้
13. ใช้งานไอซีลอจิกเกตพืนฐานตระกูล TTL
และ CMOS ได้

วงจรดิจิตอล
50
เนือ. หาสาระ
1. อุปกรณ์ ลอจิกเกต (Logic gate)
1.1 แอนด์เกต (AND gate)
1.2 ออร์ เกต (OR gate)
1.3 นอตเกต (Not gate)
1.4 แนนด์เกต (NAND gate)
1.5 นอร์ เกต (NOR gate)
1.6 เอ็กซ์คลูซีพออร์ เกต (Exclusive OR gate)
1.7 เอ็กซ์คลูซีพนอร์ เกต (Exclusive NOR gate)
1.8 บัฟเฟอร์ (Buffer)
2. การเปลียนแนนด์ เกตเป็ นเกตชนิดอืน ๆ
2.1 การเปลียนแนนด์เกตเป็ นนอตเกต
2.2 การเปลียนแนนด์เกตเป็ นแอนด์เกต
2.3 การเปลียนแนนด์เกตเป็ นออร์ เกต
2.4 การเปลียนแนนด์เกตเป็ นนอร์ เกต
2.5 การเปลียนแนนด์เกตเป็ นเอ็กซ์คลูซีพออร์ เกต
2.6 การเปลียนแนนด์เกตให้เป็ นเอ็กซ์คลูซีพนอร์ เกต
2.7 การเปลียนนอร์ เกตให้เป็ นนอตเกต
2.8 การเปลียนนอร์ เกตเป็ นออร์ เกต
2.9 การเปลียนนอร์ เกตเป็ นแอนด์เกต
2.10 การเปลียนนอร์ เกตเป็ นแนนด์เกต
2.11 การเปลียนนอร์ เกตเป็ นเอ็กซ์คลูซีพนอร์ เกต
2.12 การเปลียนนอร์ เกตให้เป็ นเอ็กซ์คลูซีพออร์ เกต
3. ตารางความจริง (Truth table)
ตารางความจริ ง เป็ นตารางที ใช้สํา หรั บ แจกแจงสภาวะทางลอจิ ก ที เป็ นไปได้จากสมการ หรื อ
ฟั ง ก์ชันหรื อเงื อนไขที กํา หนด ซึ งรวมถึ ง ตารางการทํา งานของอุ ป กรณ์ ล อจิ ก เกตในหัวข้อที ผ่า นมาด้วย
ตารางความจริ งจะสามารถเขียนแจกแจงจํานวนสภาวะทางลอจิกไม่ซากั ํ นได้เท่ากับ 2n จํานวน
4. ไทมิงไดอะแกรม (Timing diagram)
ไทมิงไดอะแกรมเป็ นการแสดงผลเอาต์พุตสภาวะต่าง ๆ ทีเวลาใด ๆ ออกมาเป็ นสัญญาณพัลส์ การ
เขียนไทมิงไดอะแกรม ให้คาํ นึงถึงการเปลียนแปลงอินพุตและคุณสมบัติของเกตตามเงือนไข

วงจรดิจิตอล
51
5. วงจรคอมบิเนชั น (Combination circuit)
วงจรคอมมิ เนชัน หมายถึ ง การนําอุ ปกรณ์ ล อจิ กเกตมาต่อกันเพือให้วงจรลอจิ ก ทํางานได้ตามที
ผูอ้ อกแบบกําหนดเงือนไขไว้ โดยวงจรไม่มีการป้ อนกลับ (Feedback) ค่าสัญญาณจากเอาต์พุตสู่ อินพุต
5.1 การเขียนสมการลอจิกจากวงจรลอจิกเกต
5.2 การหาค่าระดับลอจิกเอาต์พุตจากวงจร
5.3 การวิเคราะห์สัญญาณทีจุดต่างๆ ด้วยไทมิงไดอะแกรม
6. ตระกูลของอุปกรณ์ ลอจิกเกต
6.1 ลอจิกเกตตระกูล RTL
6.2 ลอจิกเกตตระกูล DTL
6.3 ลอจิกเกตตระกูล HTL
6.4 ลอจิกเกตตระกูล TTL
6.5 ลอจิกเกตตระกูล NMOS
6.6 ลอจิกเกตตระกูล CMOS
6.7 ลอจิกเกตประเภทชิป (Chip)
1) PLD (Programmable Logic Device)
2) CPLD (Complex Programmable Logic Device)
3) FPGA (Field Programmable Gate Array)

วงจรดิจิตอล
52
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั.นตอนการสอน ขั.นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั.นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญขององค์ประกอบ 1.2 นักเรี ยนบอกความสําคัญองค์ประกอบของ เรี ยนหน่วยที 3
ของระบบดิจิตอลในงานไฟฟ้ าและ ระบบดิจิตอลในงานไฟฟ้ าและ
อิเล็กทรอนิกส์ อิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 3 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 3
2. ขั.นสอนทฤษฎี
2.1 ครู อธิบายองค์ประกอบของระบบดิจิตอล 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 3
ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 3
2.2 ซักถามปั ญหาเกียวกับองค์ประกอบของ
ระบบดิจิตอลในงานไฟฟ้ าและ
อิเล็กทรอนิกส์
3. ขั.นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 3
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั.นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 1 และ 2 4.2 นักศึกษาปฏิบตั ิใบงานที 1 และ 2 หน่วยที 3
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั.นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 3 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 3 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 3
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั.นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 3
องค์ประกอบของระบบดิจิตอล และทํา
แบบฝึ กหัดท้ายหน่วยเรี ยนหน่วยที 3
ส่งในอาทิตย์ต่อไป
7. ขั.นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
53
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 3
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกียวกับและสรุ ปเกียวกับองค์ประกอบของระบบดิจิตอลทีใช้ในงาน
ดิจิตอลและคอมพิวเตอร์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับองค์ประกอบของระบบดิจิตอลและทําแบบฝึ กหัดท้าย
หน่วยเรี ยนหน่วยที 3 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 3 เรื ององค์ประกอบของระบบดิจิตอล
2. power point เรื ององค์ประกอบของระบบดิจิตอล
3. แบบฝึ กหัดท้ายหน่วยที 3
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 1, 2)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบหน่วยที 3 จํานวน 20 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 3 จํานวน 20 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 3 จํานวน 20 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
54
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน องค์ ประกอบของระบบดิจิตอล หน่วยที 3


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ. หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั(งต่อไป
1. อุปกรณ์ลอจิกเกต (Logic gate) ในวันที….....เดือน…….........…..พ.ศ…….......
2. การเปลียนแนนด์เกตเป็ นเกตชนิ ดอืน ๆ โดยจะดําเนิ นการดังนี(
3. ตารางความจริ ง (Truth table)
1. ………………………….……........……
4. ไทมิงไดอะแกรม (Timing diagram)
2. …………………………….…........……
5. วงจรคอมบิเนชัน (Combination circuit) 3. ……………………….…………............
6. ตระกูลของอุปกรณ์ลอจิกเกต 4. ………………………….…………........
5. ………………………….……….........…

ลงชื อ…………………………….
( .................................... )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
55
แบบฝึ กหัดท้ ายหน่ วยที 3
จงอธิบาย/แสดงวิธีการคํานวณ

1. องค์ประกอบของระบบดิจิตอลทีควรทราบประกอบด้วยอะไรบ้าง
2. ลอจิกเกตพืนฐานประกอบด้วยเกตชนิ ดใดบ้าง แต่ละชนิดมีลกั ษณะสมบัติอย่างไร
3. จงอธิ บายวิธีเปลียนแนนด์เกตให้เป็ นนอร์ เกต
4. จงเขียนตารางความจริ งจากเงือนไข “ มีอินพุต 3 อินพุต ถ้าอินพุตเหมือนกันให้
เอาต์พุตเป็ นลอจิก 1 ”
5. จากข้อ 4 จงเขียนวงจรลอจิกเกต
6. จงเขียนไทมิงไดอะแกรมจากสมการ Y = ACD+ABCD
7. จงเขียนวงจรคอมบิเนชันจากสมการ Y = ACB + AD + A(C+B+D)+CBD
8. จากวงจรรู ปที 1 จงวิเคราะห์หาระดับลอจิกเอาต์พุตเมืออินพุต ABCD = 0010
ตามลําดับ

รู ปที 1 วงจรของแบบฝึ กหัดข้อที 8

9. จากวงจรรู ปที 2 จงวิเคราะห์การทํางานของวงจรด้วยไทมิงไดอะแกรม

รู ปที 2 วงจรของแบบฝึ กหัดข้อที 9

10. จงบอกข้อแตกต่างของลักษณะสมบัติของลอจิกเกตตระกูล TTL กับลอจิกเกตตระกูล


CMOS
11. จงเขียนรู ปภาพของระดับแรงดันทีถือว่าเป็ น “0” และ “1” ทังด้านอินพุตและเอ๊าต์พุต
ของลอจิกเกตตระกูล TTL และ CMOS

วงจรดิจิตอล
56
12. จากวงจรรู ปที 3 จงเขียนวงจรนี ด้วยสัญลักษณ์รูปแบบอืนแทน

รู ปที 3 วงจรของแบบฝึ กหัดข้อที 12


13. จากวงจรรู ปที 4 จงเขียนสมการทีจุดเอ๊าต์พุต

รู ปที 4 วงจรของแบบฝึ กหัดข้อที 13


14. จากวงจรในรู ปที 5 และรู ปที 6 จงอธิ บายข้อแตกต่างของนอร์เกตตระกูล NMOS กับนอร์เกต
ตระกูล CMOS

รู ปที 5 โครงสร้างของนอร์เกตตระกูล NMOS


+VDD
A

B
Y
C

รู ปที 6 โครงสร้างของนอร์เกตตระกูล CMOS


วงจรดิจิตอล
57
ใบประเมินผลหน่ วยที 3
วิชา วงจรดิจิตอล ชื อหน่ วย องค์ ประกอบของระบบดิจิตอล

คําชี.แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ


1. ข้อใดไม่ใช่องค์ประกอบเบืองต้นของระบบดิจิตอล
ก. ลอจิกเกต ข. ตารางความจริ ง
ค. ไทมิงไดอะแกรม ง. วงจรนับ
ตัวเลือกหรับข้ อ 2-4

2. จากตัวเลือก สัญลักษณ์ขอ้ ใดคือ AND gate


3. จากตัวเลือก สัญลักษณ์ขอ้ ใดคือ NOR gate
4. จากตัวเลือก สัญลักษณ์ขอ้ ใดคือ NOT gate
5. คุณสมบัติของลอจิกเกต เมืออิ นพุตเป็ นลอจิ ก 0 ทังหมดจะทําให้ เอาต์ พุตเป็ นลอจิ ก 1
เป็ นคุณสมบัติของเกตชนิดใด
ก. AND gate ข. NOR gate
ค. NAND gate ง. OR gate
6. เกตชนิดใดทีสามารถเปลียนเป็ นคุณสมบัติของเกตชนิดอืนๆ ได้
ก. AND gate ข. Exclusive NOR gate
ค. NAND gate ง. OR gate
7. ตารางความจริ งของระบบดิจิตอลมีไว้เพือประโยชน์สิงใด
ก. คํานวณหาขนาดของสัญญาณเอาต์พุต
ข. วิเคราะห์ขนาดของสัญญาณเอาต์พุต
ค. แจกแจงสภาวะลอจิกทีเป็ นไปได้จากสมการลอจิก
ง. แสดงสภาวะต่าง ๆ ทีเวลาใด ๆ ออกมาเป็ นรู ปร่ างสัญญาณ
8. ไทมิงไดอะแกรมของระบบดิจิตอลมีไว้เพือประโยชน์สิงใด
ก. คํานวณหาขนาดของสัญญาณเอาต์พุต
ข. วิเคราะห์ขนาดของสัญญาณเอาต์พุต
ค. แจกแจงสภาวะลอจิกทีเป็ นไปได้จากสมการลอจิก
ง. แสดงสภาวะต่างๆ ทีเวลาใดๆ ออกมาเป็ นรู ปร่ างสัญญาณ
วงจรดิจิตอล
58
รู ปสํ าหรับข้ อ 9-10
ระดับลอจิก

A
เวลา
B
เวลา
C
เวลา
D
เวลา
A
เวลา
B
เวลา
C
เวลา
D
เวลา
BCD
เวลา
1
เวลา
2 เวลา

รู ปที 1
9. จากไทมิงไดอะแกรมในรู ปที 1 ตําแหน่งที 1 เป็ นไดอะแกรมของสมการใด
ก. ABCD ข. ABCD
ค. ABCD ง. ABCD
10. จากไทมิงไดอะแกรมในรู ปที 1 ตําแหน่งที 2 เป็ นไดอะแกรมของสมการใด
ก. BCD+ABCD ข. BCD+ABCD
ค. BCD+ABCD ง. BCD+ABCD
11. ข้อใดเป็ นความหมายของวงจรคอมบิเนชัน
ก. วงจรทีนําสัญญาณเอาต์พุตมาควบคุมอินพุต
ข. วงจรทีนําสัญญาณอินพุตเข้ามาสู่ วงจรลอจิกเกตเพือควบคุมเอาต์พุต
ค. วงจรทีมีหน่วยความจําและหน่วยประมวลผล
ง. วงจรทีมีสัญญาณอินพุตมาควบคุมหน่วยประมวลผล
12. ลอจิกเกตตระกูล TTL สามารถใช้แหล่งจ่ายไฟฟ้ ากระแสตรงค่าเท่าไร
ก. 3V ± 0.25V ข. 5V ± 0.25V
ค. 3V ± 0.5V ง. 5V ± 0.5V
13. ขนาดของสัญญาณทีเอาต์พุต 0 ของลอจิกเกตตระกูล TTL มีค่าเท่าไร
ก. 1.8 V ข. 1.5 V
ค. 1.2 V ง. 0.6 V
14. ขนาดของสัญญาณอินพุตทีสามารถใช้กบั ไอซี ลอจิกเกตตระกูล TTL สามารถใช้แรงดันไม่เกินเท่าไร
ก. 5.5 V ข. 7.5 V
ค. 9.5 V ง. 11.5 V

วงจรดิจิตอล
59

15. ลอจิกเกตตระกูล CMOS มีขอ้ ดีมากกว่าไอซี ตระกูล TTL ในเรื องใด


ก. ใช้กระแสไฟฟ้ าตํากว่า ข. ทํางานได้เร็ วกว่า
ค. ใช้กบั สัญญาณอินพุตทีความถีได้สูงกว่า ง. สามารถใช้กบั สัญญาณรู ปไซน์ได้
16. ข้อใดเป็ นระดับแรงดันไฟฟ้ าทีแสดงค่าเป็ นลอจิก 0 ของลอจิกเกตตระกูล TTL
ก. 0 – 0.2 V ข. 0 – 0.4 V
ค. 0 – 0.8 V ง. 0 – 1.2 V
17. ข้อใดเป็ นระดับแรงดันไฟฟ้ าทีแสดงค่าเป็ นลอจิก 1 ของลอจิกเกตตระกูล CMOS
ก. 1.8 – 5 V ข. 2.2 – 5.5 V
ค. 3.6 – 12 V ง. 4.9 – VDD
วงจรสํ าหรับข้ อ 18-19

รู ปที 2
18. จากวงจรรู ปที 2 เป็ นลอจิกเกตชนิดใด และเป็ นลอจิกเกตตระกูลใด
ก. AND gate, ตระกูล TTL ข. NOR gate, ตระกูล TTL
ค. AND gate, ตระกูล CMOS ง. NAND gate, ตระกูล CMOS
19. จากรู ปที 2 ถ้า A = “0” และ B = “1” เอาต์พุตมีค่าใด
ก. 0.2 V ข. 1.2 V
ค. 1.8 V ง. 4.5 V
20. ไอซี เบอร์ 4075 เป็ นเกตชนิดใด และอยูใ่ นตระกูลใด
ก. ชนิด AND gate 3 input ตระกูล TTL ข. ชนิด OR gate 3 input ตระกูล TTL
ค. ชนิด AND gate 3 input ตระกูล CMOS ง. ชนิด OR gate 3 input ตระกูล CMOS

วงจรดิจิตอล
60
เฉลยใบประเมินผลหน่ วยที 3
คําตอบของแบบประเมินผลหน่วยที 3

ข้อที 1 = ง
ข้อที 2 = ก
ข้อที 3 = ข
ข้อที 4 = ง
ข้อที 5 = ข
ข้อที 6 = ค
ข้อที 7 = ค
ข้อที 8 = ง
ข้อที 9 = ก
ข้อที 10 = ก
ข้อที 11 = ข
ข้อที 12 = ข
ข้อที 13 = ง
ข้อที 14 = ก
ข้อที 15 = ก
ข้อที 16 = ค
ข้อที 17 = ง
ข้อที 18 = ข
ข้อที 19 = ง
ข้อที 20 = ง

วงจรดิจิตอล
61
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 3
วิชา วงจรดิจิตอล
ชื อหน่ วย องค์ประกอบของระบบดิจิตอล
เรือง องค์ประกอบของระบบดิจิตอล

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 1 เรื องลอจิกเกตตระกูล TTL 6
ใบงานที 2 เรื องลอจิกเกตตระกูล CMOS 6
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 20

ลงชือ ผูป้ ระเมิน


(.................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 4 หน่วยที 4
ชือวิชา วงจรดิจิตอล สอนครังที 6 - 7
ชือหน่วย การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่ ชัวโมงรวม 8 ชัวโมง
ชือเรื อง การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่ จํานวนชัวโมง 8 ชัวโมง

หัวข้ อเรืองและงาน
1. การเขียนฟังก์ชนแบบ
ั SOP และ POS
1.1 การเขียนฟังก์ชนแบบการรวมของผลคู
ั ณ (SOP)
1.2 การเขียนฟังก์ชนแบบการคู
ั ณของผลรวม (POS)
2. คณิ ตศาสตร์ ลอจิก
3. แผนผังคาร์ นอ (Karnaugh maping : K-map)
สาระการเรี ยนรู้
การออกแบบวงจรลอจิกเชิ งจัดหมู่ (Designing combination logic circuits) หมายถึง การออกแบบ
วงจรลอจิ กเพื อให้ระดับ ลอจิ กของเอาต์พุ ตตามต้องการ โดยอาศัย การจัดหมู่ ข องสัญญาณอิ นพุ ตและใช้
วงจรลอจิกเกต ซึ งนักศึกษาจะต้องเข้าใจวิธีการเขียนฟั งก์ชนแบบ
ั SOP หรื อ POS ทฤษฎีพีชคณิ ตบูลีนและ
การใช้แผนผังคาร์ นอ (Karnaugh mapping)
สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. บอกความหมายของวงจรลอจิกเชิงจัดหมู่ได้ 1. ประกอบวงจรคอมบิเนชันได้ 1. ตรงต่อเวลา
2. เขียนฟังก์ชนจากตารางความจริ
ั งได้ 2. ใช้ดีซีโวลต์มิเตอร์วดั แรงดันไฟฟ้ า 2. มีความตระหนักในหน้าทีของ
3. เขียนวงจรลอจิกจากตารางความจริ งได้ จากวงจรคอมบิเนชันได้ นักศึกษา
4. อธิบายการใช้งานพีชคณิ ตบูลีนสําหรับการ 3. วิเคราะห์วงจรคอมบิเนชันได้ 3. มีความรับผิดชอบต่อตนเอง
ออกแบบวงจรดิจิตอลได้ 4. แสดงความสามารถออกแบบ และสังคม
5. บอกกฎของพีชคณิ ตบูลีนได้ วงจรดิจิตอลลอจิกเชิงจัดหมู่ได้ 4. แต่งกายถูกต้องตามระเบียบ
6. ใช้พีชคณิ ตบูลีนสําหรับลดขนาดวงจรดิจิตอล 5. แสดงความเคารพด้วยท่าที
ได้ ทีสวยงาม
7. อธิบายวิธีการใช้ผงั คาร์นอ(K-map) สําหรับ 6. ทํางานด้วยความเต็มใจ
งานดิจิตอลได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
8. ใช้ผงั คาร์นอสําหรับลดขนาดวงจรดิจิตอลได้ อย่างประหยัดตระหนักถึง
9. อธิบายการใช้ประโยชน์จาก Don’t care term ความปลอดภัย
ในการออกแบบวงจรดิจิตอลได้
63
เนือ1 หาสาระ
1. การเขียนฟังก์ชนแบบ
ั SOP และ POS
1.1 การเขียนฟังก์ชนแบบการรวมของผลคู
ั ณ (SOP)
INPUT OUTPUT
เงือนไขที Minterm
C B A Y
1 0 0 0 1 CBA
2 0 0 1 1 CBA
3 0 1 0 0
4 0 1 1 0
5 1 0 0 1 CBA
6 1 0 1 1 CBA
7 1 1 0 1 CBA
8 1 1 1 0

1.2 การเขียนฟังก์ชนแบบการคู
ั ณของผลรวม (POS)
INPUT OUTPUT
เงือนไขที Maxterm
C B A Y
1 0 0 0 1
2 0 0 1 1
3 0 1 0 0 C+B+A
4 0 1 1 0 C+B+A
5 1 0 0 1
6 1 0 1 1
7 1 1 0 1
8 1 1 1 0 C+B+A

2. คณิตศาสตร์ ลอจิก
2.1 กฎการสลับที (Commutative law)
2.1.1 A + B = B + A กฎข้ อที 1
2.1.2 A ⋅ B = B ⋅ A กฎข้ อที 2
2.2 กฎการเปลียนกลุ่ม (Associative law)
2.2.1 (A+B)+C = A+(B+C) กฎข้ อที 3
2.2.2 (A ⋅ B) ⋅ C = A ⋅ (B ⋅ C) กฎข้ อที 4
2.3 กฎการกระจาย (Distributive law)
วงจรดิจิตอล
64
2.3.1 A ⋅ (B+C) = (A ⋅ B)+(A ⋅ C) กฎข้ อที 5
2.3.2 A+(B ⋅ C) = (A+B) ⋅ (A+C) กฎข้ อที 6
2.4 กฎการเท่ากัน
2.4.1 A+A = A กฎข้ อที 8
2.4.2 A ⋅ A = A กฎข้ อที 9
2.5 กฎการกลับค่า (Negation law)
2.5.1 A = A กฎข้ อที 10
2.6 กฎการเอกลักษณ์
2.6.1 0 ⋅ A = 0 กฎข้ อที 11
2.6.2 1⋅ A = A กฎข้ อที 12
2.6.3 A ⋅ A= 0 กฎข้ อที 13
2.6.4 0+A = A กฎข้ อที 14
2.6.5 1+A = 1 กฎข้ อที 15
2.6.6 A+A= 1 กฎข้ อที 16
2.7 กฎการลดรู ป
2.7.1 A+AB = A กฎข้ อที 17
2.7.2 A ⋅ (A+B) = A กฎข้ อที 18
2.7.3 A+(AB) = A+B กฎข้ อที 19
2.7.4 A(A+B) = A ⋅ B กฎข้ อที 20
2.8 ทฤษฎี De Morgan
2.8.1 A+B = A ⋅ B กฎข้ อที 21
2.8.2 A ⋅ B = A+B กฎข้ อที 22
3. แผนผังคาร์ นอ (Karnaugh maping : K-map)
3.1 จํานวน cell ของ k-map
3.2 การสร้างแผนผังและใช้งาน K-map แก้ฟังก์ชนเอาต์
ั พุ ต
3.3 การใช้งาน K-map
1) ผัง K- map หรับ 3 ตัวแปร
CBA = 1 CBA = 1

CBA = 1 CBA = 1
CBA = 1 CBA = 1

CBA = 1 CBA = 1

วงจรดิจิตอล
65
2) ผัง K- map หรับ 4 ตัวแปร
y = DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA
นํามาลงในผังคาร์นอได้ดงั นี$
DC
BA 00 01 11 10
00 1 1 1 = DBA
01 1 1 = CB
= DCA
11 1 1 1
10 1 1 = CB

∴ y = CB+CB+DBA+DCA

3.4 เทอมทีไม่ สนใจ (Don’t care term)


เป็ นเงื อนไขทีไม่สําคัญในตารางความจริ ง หมายถึ ง เอาต์พุตทีจุดนี จะเป็ น “0” หรื อ “1” ก็ได้ แต่มี
ประโยชน์ในแผนผังคาร์ นอถ้าหากตําแหน่ง don’t car นันตัดกับ 1 ของช่ องอืน และถ้าเป็ น “1” จะทําให้
สามารถวงได้หลายช่อง เพือให้สามารถลดรู ปวงจรให้เล็กลง ให้ใส่ 1 แต่ถา้ ไม่มีประโยชน์ให้ใส่ 0

วงจรดิจิตอล
66
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั1นตอนการสอน ขั1นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั1นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของการออกแบบ 1.2 นักเรี ยนบอกความสําคัญการออกแบบวงจร เรี ยนหน่วยที 4
วงจรดิจิตอลลอจิกเชิงจัดหมู่ในงานดิจิตอล ดิจิตอลลอจิกเชิงจัดหมูใ่ นงานดิจิตอลและ
และอิเล็กทรอนิกส์ อิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 4 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 4
2. ขั1นสอนทฤษฎี
2.1 ครู อธิบายการออกแบบวงจรดิจิตอลลอจิก 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 4
เชิงจัดหมู่ ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 4
2.2 ซักถามปั ญหาเกียวกับการออกแบบวงจร
ดิจิตอลลอจิกเชิงจัดหมู่ ในงานดิจิตอลและ
อิเล็กทรอนิกส์
3. ขั1นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 4
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั1นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 3 4.2 นักศึกษาปฏิบตั ิใบงานที 3 หน่วยที 4
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั1นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 4 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 4 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 4
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั1นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 4
การออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
และทํา แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 4 ส่งในอาทิตย์ต่อไป
7. ขั1นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
67
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 4
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกี ยวกับและสรุ ปเกี ยวกับการออกแบบวงจรดิ จิตอลลอจิกเชิ งจัดหมู่ที
ใช้ในงานดิจิตอลและอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่และทํา
แบบฝึ กหัดท้ายหน่วยเรี ยนหน่วยที 4 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 4 เรื องการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
2. power point เรื องการออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
3. แบบฝึ กหัดท้ายหน่วยที 4
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 3)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 4 จํานวน 20 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 4 จํานวน 20 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 4 จํานวน 20 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
68
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่ หน่วยที 4


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ1 หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั$งต่อไป
1. การเขียนฟังก์ชนแบบ
ั SOP และ POS ในวันที….....เดือน…….........…..พ.ศ…….......
1.1 การเขียนฟังก์ชนแบบการรวมของผลคู
ั ณ โดยจะดําเนิ นการดังนี$
(SOP)
1.2 การเขียนฟังก์ชนแบบการคู
ั ณของผลรวม
1. ………………………….……........……
(POS)
2. คณิ ตศาสตร์ ลอจิก
2. …………………………….…........……
3. แผนผังคาร์ นอ (Karnaugh maping : K-map) 3. ……………………….…………............
4. ………………………….…………........
5. ………………………….……….........…

ลงชื อ…………………………….
(.............................. )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
69
แบบฝึ กหัดท้ ายหน่ วยที 4
จงอธิบาย/แสดงวิธีการคํานวณ

1. จงบอกความหมายของวงจรลอจิกเชิงจัดหมู่ในงานดิจิตอล
2. การเขียนฟังก์ชนแบบ
ั SOP กับแบบ POS มีขอ้ แตกต่างกันอย่างไร

ตารางที 1 ตารางความจริ งสําหรับแบบฝึ กหัดข้อ 3-5


INPUT OUTPUT
C B A Y
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1

3. จากตารางด้านล่าง จงเขียนฟังก์ชนแบบ
ั SOP และ POS
4. จากตาราที 1 จงเขียนฟังชันแบบ SOP หรื อแบบ POS แล้วใช้พีชคณิ ตบูลีนสําหรับการออกแบบ
วงจรให้เหลือวงจรขนาดเล็กสุ ด
5. จากตารางที 1 จงเขียนฟังชันแบบ SOP หรื อแบบ POS แล้วใช้ผงั คาร์ นอสําหรับการออกแบบวงจร
ให้เหลือวงจรขนาดเล็กสุ ด
6. จากผังคาร์ นอในรู ปที 1 จงเขียนสมการให้มีขนาดเล็กสุ ด แต่วงจรสามารถทํางานได้ปกติ

รู ปที 1 ผังคาร์ นอสําหรับแบบฝึ กหัดข้อ 6

วงจรดิจิตอล
70
ใบประเมินผลหน่ วยที 4
วิชา วงจรดิจิตอล ชื อหน่ วย การออกแบบวงจรดิจิตอลลอจิกเชิ งจัดหมู่

คําชี1แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ


1. ข้อใดเป็ นความหมายของวงจรคอมบิเนชัน
ก. การนําอุปกรณ์ลอจิกเกตพื$นฐานมาต่อกันประกอบเป็ นวงจรดิจิตอล
ข. การนําอุปกรณ์ลอจิกเกตพื$นฐานมาต่อกันเพือให้ทาํ งานตามเงือนไขผูอ้ อกแบบต้องการ
ค. การนําอุปกรณ์ลอจิกเกตพื$นฐานมาต่อกัน การทํางานถูกควบคุมด้วยอินพุต
ง. การนําอุปกรณ์ดิจิตอลมาต่อกัน การทํางานถูกควบคุมด้วยอินพุตและย้อนกลับจากเอาต์พุต
ตารางสํ าหรับข้ อ 2 - 5
INPUT OUTPUT
เงือนไขที
C B A Y
1 0 0 0
2 0 0 1
3 0 1 0
4 0 1 1
5 1 0 0
6 1 0 1
7 1 1 0
8 1 1 1

2. จากตารางที 1 สมการของ y = ∑ m (1, 3, 5, 8) มีค่าเท่าไร


ก. CBA + CBA + CBA + CBA ข. CBA + CBA + CBA + CBA
ค. CBA + CBA + CBA + CBA ง. CBA + CBA + CBA + CBA
3. จากตารางที 1 สมการของ y = π m (2, 3, 5, 6) มีค่าเท่าไร
ก. (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ข. (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A)
ค. (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ง. (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A) ⋅ (C+B+A)
วงจรสํ าหรับข้ อ 4 - 5

รู ปที 1
วงจรดิจิตอล
71
4. จากตารางที 1 และรู ปที 1 เป็ นวงจรดิจิตอลทีได้จากสมการใด
ก. y = π m (1, 3, 5) ข. y = π m (2, 5, 6)
ค. y = π m (1, 2, 6) ง. y = π m (2, 4, 8)
5. จากรู ปที 1 สมการของวงจรตรงกับข้อใด
ก. (A+B+C) ⋅ (A+B+C) ⋅ (A+B+C) ข. (A+B+C) ⋅ (A+B+C) ⋅ (A+B+C)
ค. (A+B+C) ⋅ (A+B+C) ⋅ (A+B+C) ง. (A+B+C) ⋅ (A+B+C) ⋅ (A+B+C)
6. ฟังก์ชนั y = AB + AB + AB ใช้พีชคณิ ตบูลีนลดรู ปแล้วได้ค่าใด
ก. y = A+B ข. y = AB+B
ค. y = A+AB ง. y = A+B
7. ฟังก์ชนั y = ABC+ABC+ABC+ABC ใช้พีชคณิ ตบูลีนลดรู ปแล้วได้ค่าใด
ก. y = AB+C ข. y = AB+C
ค. y = AB+C ง. y = (AB+C)+AC
8. ฟังก์ชนั y = (C+B+A) (C+B+A) (C+B+A) ใช้พีชคณิ ตบูลีนลดรู ปแล้วได้ค่าใด
ก. y = CA+B ข. y = CA+B
ค. y = CA+BC ง. y = CA+B
วงจรสํ าหรับข้ อ 9 – 10
C B A

รู ปที 2
9. จากวงจรรู ปที 2 สามารถเขียนสมการเอาต์พุตได้ตรงกับข้อใด
ก. (AB)C+ABC+ABC+ABC ข. (AB)C+ABC+ABC+ABC
ค. (AB)C+ABC+ABC+ABC ง. (AB)C+ABC+ABC+ABC
10. จากรู ปที 2 เงือนไขใดถูกต้อง
ก. A = 0 , B = 0 , C = 0 เอาต์พุต Y = 1 ข. A = 1 , B = 1 , C = 1 เอาต์พุต Y = 1
ค. A = 0 , B = 1 , C = 1 เอาต์พุต Y = 0 ง. A = 0 , B = 0 , C = 1 เอาต์พุต Y = 0
11. ข้อใดเป็ นประโยชน์ของ K-map
ก. ลดขนาดของวงจรให้เล็กลง ข. วงจรทํางานได้เร็ วขึ$น
ค. จํานวนชนิดของลอจิกเกตลดลง ง. ใช้ลอจิกเกตชนิดเดียวได้
12. ถ้าหากอินพุตมี 5 ตัวแปร จํานวน Cell ของ K-map มีกี Cell
ก. 64 ข. 32
ค. 16 ง. 8
วงจรดิจิตอล
72
13. ฟังก์ชนั Y = ABC+ABC+ABC+ABC ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. Y = B(A+C)+ABC ข. Y = B(A+C)+ABC
ค. Y = A(B+C)+ABC ง. Y = A(B+C)+ABC
14. ฟังก์ชนั Y = ABC+ABC+ABC+ABC ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. Y = BC+BC ข. Y = BC+BC
ค. Y = BC+BC ง. Y = ABC+BC
15. ฟังก์ชนั Y = ABC+ABC+ABC+ABC+ABC+ABC ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. Y = B+BC ข. Y = B+BC
ค. Y = C+BC ง. Y = B+C
16. ฟังก์ชนั Y = ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. Y = ABC+BCD ข. Y = A(D+BC)+AD
ค. Y = DC+ABC ง. Y = BC+BC
17. ฟังก์ชนั Y(A,B,C,D) = ∑ m(0,2,4,5,6,7,8,10,12,14,15) ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. Y = D+AB ข. Y = AD+ACB
ค. Y = ABCD+BCD ง. Y = (AB+BD)ACD
18. ฟังก์ชนั Y = CBA+CBA+CBA+CBA+CBA ถ้าใช้ K– map ลดรู ปแล้วได้ค่าใด
ก. y = AB+CA ข. y = BC+CA
ค. y = A+BC ง. y = AC+B
19. y = DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA+DCBA ถ้าใช้ K– map ลดรู ป
แล้วได้ค่าใด
ก. y = DCA+DBA+CB+CB ข. y = DCA+DBA+CB+CB
ค. y = DCA+DBA+CB+CB ง. y = DCA+DBA+CB+CB
20. ข้อใดเป็ นประโยชน์ของ Don’t care term
ก. ลดขนาดของวงจรให้เล็กลง ข. วงจรทํางานได้เร็ วขึ$น
ค. การออกแบบวงจรทําได้ง่ายขึ$น ง. ใช้ลอจิกเกตชนิดเดียวได้

วงจรดิจิตอล
73
เฉลยใบประเมินผลหน่ วยที 4
คําตอบของแบบประเมินผลหน่วยที 4

ข้อที 1 = ค
ข้อที 2 = ค
ข้อที 3 = ง
ข้อที 4 = ง
ข้อที 5 = ง
ข้อที 6 = ก
ข้อที 7 = ค
ข้อที 8 = ค
ข้อที 9 = ข
ข้อที 10 = ก
ข้อที 11 = ก
ข้อที 12 = ข
ข้อที 13 = ค
ข้อที 14 = ก
ข้อที 15 = ข
ข้อที 16 = ก
ข้อที 17 = ก
ข้อที 18 = ค
ข้อที 19 = ข
ข้อที 20 = ค

วงจรดิจิตอล
74
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 4
วิชา วงจรดิจิตอล
ชื อหน่ วย การออกแบบวงจรดิจิตอลลอจิกเชิงจัดหมู่
เรือง วงจรคอมบิเนชัน

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 3 เรื องวงจรคอมบิเนชัน
2.1 การปฏิบตั ิตามวงจรรู ปที 1 2
2.2 การปฏิบตั ิตามวงจรรู ปที 2 2
2.3 การปฏิบตั ิตามวงจรรู ปที 3 2
2.4 การปฏิบตั ิตามวงจรรู ปที 4 2
2.5 การออกแบบวงจรโดยใช้ K-map 2
2.6 การปฏิบตั ิตามวงจรรู ปที 5 2
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 20

ลงชือ ผูป้ ระเมิน


(.................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 5 หน่วยที 5
ชือวิชา วงจรดิจิตอล สอนครังที 8 - 9
ชือหน่วย วงจรบวกและวงจรลบเลขไบนารี ชัวโมงรวม 8 ชัวโมง
ชือเรื อง วงจรบวกและวงจรลบเลขไบนารี จํานวนชัวโมง 8 ชัวโมง
หัวข้ อเรืองและงาน
1. การบวกเลขฐานสอง (Binary Addition)
2. การลบเลขฐานสอง (Binary Subtraction)
3. วงจรบวกเลขฐาน 2
3.1 วงจรบวกเลขฐานสอง จํานวน 1 หลัก 2 ตัว (Hall Adder)
3.2. วงจรบวกเลขฐานสอง จํานวน 1 หลัก 3 จํานวน (Full Adder)
3.3 วงจรบวกเลขฐานสอง 4 หลัก 2 จํานวน
4. วงจรลบเลขไบนารี
4.1 วงจรลบเลขฐานสอง จํานวน 1 หลัก 2 ตัว
4.2 วงจรลบเลขฐานสอง จํานวน 1 หลัก 3 จํานวน
4.3 วงจรลบเลขฐานสอง จํานวน 4 หลัก 2 จํานวน
สาระการเรี ยนรู้
การบวกและการลบเลขฐานสองเป็ นพืนฐานการคํานวณทางคณิ ตศาสตร์ ลอจิก(Arithmetic logic)
ของระบบดิจิตอล กล่าวคือ เมือเข้าใจวิธีการบวกและการลบแล้ว สามารถเข้าใจวิธีการหารและวิธีการคูณ ซึ ง
วิธีการคุณ คือการบวกเข้าไปหลาย ๆ ครัง วิธีการหาร คือการลบออกหลาย ๆ ครังนันเอง
สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. อธิบายการออกแบบวงจรคอมบิเนชันได้ 1. ประกอบวงจรบวกเลขไบนารี ได้ 1. ตรงต่อเวลา
2. อธิบายวิธีการบวกเลขไบนารี 1 หลักได้ 2. ประกอบวงจรลบเลขไบนารี ได้ 2. มีความตระหนักในหน้าทีของ
3. อธิบายวิธีลบเลขไบนารี 1 หลัก 2 จํานวนได้ 3. ใช้ดีซีโวลต์มิเตอร์วดั แรงดันไฟฟ้ า นักศึกษา
4. อธิบายวิธีลบเลขไบนารี 1 หลัก 3 จํานวนได้ จากวงจรบวก-ลบเลขไบนารี ได้ 3. มีความรับผิดชอบต่อตนเอง
5. อธิบายการทํางานวงจร Half adder ได้ 3. วิเคราะห์วงจรบวก-ลบเลข และสังคม
6. อธิบายการทํางานวงจร Full adder ได้ ไบนารี ได้ 4. แต่งกายถูกต้องตามระเบียบ
7. ออกแบบวงจร Half adder ได้ 4. แสดงความสามารถออกแบบ 5. แสดงความเคารพด้วยท่าที
8. ออกแบบวงจร Full subtractor ได้ วงจรบวก-ลบเลขไบนารี ได้ ทีสวยงาม
9. อธิบายการบวกเลขไบนารี 4 บิตได้ 6. ทํางานด้วยความเต็มใจ
10. อธิบายการบวก/ลบเลขไบนารี 4 บิตได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
อย่างประหยัดตระหนักถึง
ความปลอดภัย
76

เนือ/ หาสาระ
1. การบวกเลขฐานสอง (Binary Addition)
การบวกเลขฐานสอง มีวธิ ี การเช่นเดียวกับการบวกเลขฐานสิ บที*เราเคยใช้งาน โดยการเริ* มบวก
ตั/งแต่หลักตํ*าสุ ดทางขวามือไปหาหลักสู งสุ ดทางซ้ายมือ ผลของการบวกกันของเลขฐานสองมีผลดังนี/
0+0 = 0
0+1 = 1
1+0 = 1
1 + 1 = 210 = 102 ดังนั/น 1+1 = 0 ทด 1

2. การลบเลขฐานสอง (Binary Subtraction)


การลบเลขฐานสอง มีหลักการเช่นเดียวกับการลบกันในระบบเลขฐานสิ บ โดยเริ* มต้นตั/งแต่หลัก
ตํ*าสุ ดก่อนแล้วจึงลบหลักที*สูงขึ/นไปเรื* อยๆ การลบกันของเลขฐานสองนั/น ถ้าหากตัวตั/งมีค่ามากกว่าตัว
ลบ ให้ ล บกัน ปกติ แต่ ถ้า หากตัว ตั/ง มี ค่ า น้ อ ยกว่ า ตัว ลบ จะต้อ งยื ม มาจากหลัก ที* สู ง กว่ า ในระบบ
เลขฐานสิ บการยืมแต่ละครั/งจะมีค่าเท่ากับ 10 แต่การยืมกันในแต่ครั/งในเลขฐานสองจะมีค่าเท่ากับ 2
0-0 = 0
0 - 1 = 1 และยืมมาจากหลักที*สูงกว่า 1
1-0 = 1
1-1 = 0

วงจรดิจิตอล
77
3. วงจรบวกเลขฐาน 2
3.1 วงจรบวกเลขฐานสอง จํานวน 1 หลัก 2 ตัว (Hall Adder)

Co

A Sum
B
Half adder
Co
A
HA Sum
B

3.2. วงจรบวกเลขฐานสอง จํานวน 1 หลัก 3 จํานวน (Full Adder)

3.3 วงจรบวกเลขฐานสอง 4 หลัก 2 จํานวน

A4 A Co C4
B4 B
C3 Ci Sum

A3 A C3
Co
B3 B
C2 Ci Sum

A2 A C2
Co
B2 B
C1 Ci Sum

A1 A C1
Co
B1 B
C0 Ci Sum
ตั วทดจากชุดอืน*

วงจรดิจิตอล
78
4. วงจรลบเลขไบนารี
4.1 วงจรลบเลขฐานสอง จํานวน 1 หลัก 2 ตัว

Bo

A Diff
B
Half subtractor
Bo
A
HS Diff
B

4.2 วงจรลบเลขฐานสอง จํานวน 1 หลัก 3 จํานวน

Bi
Bo

A Diff
B Full subtactor

4.3 วงจรลบเลขฐานสอง จํานวน 4 หลัก 2 จํานวน

วงจรดิจิตอล
79
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั/นตอนการสอน ขั/นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั/นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของวงจรบวกและ 1.2 นักเรี ยนบอกความสําคัญวงจรบวกและ เรี ยนหน่วยที 5
วงจรลบเลขไบนารี ในงานดิจิตอล วงจรลบเลขไบนารี ในงานดิจิตอลและ
และอิเล็กทรอนิกส์ อิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 5 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 5
2. ขั/นสอนทฤษฎี
2.1 ครู อธิบายวงจรบวกและวงจรลบเลขไบนารี 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 5
ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 5
2.2 ซักถามปั ญหาเกียวกับวงจรบวกและวงจร
ลบเลขไบนารี ในงานดิจิตอลและ
อิเล็กทรอนิกส์
3. ขั/นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 5
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั/นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 4 4.2 นักศึกษาปฏิบตั ิใบงานที 4 หน่วยที 5
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั/นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 5 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 5 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 5
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั/นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 5
วงจรบวกและวงจรลบเลขไบนารี และ
ทํา แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 5 ส่งในอาทิตย์ต่อไป
7. ขั/นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
80
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 5
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกียวกับและสรุ ปเกียวกับวงจรบวกและวงจรลบเลขไบนารี ทีใช้ในงาน
ดิจิตอลและอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับวงจรบวกและวงจรลบเลขไบนารี และทําแบบฝึ กหัด
ท้ายหน่วยเรี ยนหน่วยที 5 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 5 เรื องวงจรบวกและวงจรลบเลขไบนารี
2. power point เรื องวงจรบวกและวงจรลบเลขไบนารี
3. แบบฝึ กหัดท้ายหน่วยที 5
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 4)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 5 จํานวน 10 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 5 จํานวน 10 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 5 จํานวน 10 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
81
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน วงจรบวกและวงจรลบเลขไบนารี หน่วยที 5


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาที*ไม่เข้าใจหรื อ
เนือ/ หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั/งต่อไป
1. การบวกเลขฐานสอง (Binary Addition) ในวันที*….....เดือน…….........…..พ.ศ…….......
2. การลบเลขฐานสอง (Binary Subtraction) โดยจะดําเนิ นการดังนี/
3. วงจรบวกเลขฐาน 2
1. ………………………….……........……
3.1 วงจรบวกเลขฐานสอง จํานวน 1 หลัก 2 ตัว
2. …………………………….…........……
3.2 วงจรบวกเลขฐานสอง จํานวน 1 หลัก 3 จํานวน 3. ……………………….…………............
3.3 วงจรบวกเลขฐานสอง 4 หลัก 2 จํานวน 4. ………………………….…………........
4. วงจรลบเลขไบนารี 5. ………………………….……….........…

4.1 วงจรลบเลขฐานสอง จํานวน 1 หลัก 2 ตัว


ลงชื* อ…………………………….
4.2 วงจรลบเลขฐานสอง จํานวน 1 หลัก 3 จํานวน ( .................................... )
4.3 วงจรลบเลขฐานสอง จํานวน 4 หลัก 2 จํานวน ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
82
แบบฝึ กหัดท้ ายหน่ วยที 5
จงอธิบาย/แสดงวิธีการคํานวณ

1. จงบวกเลขไบนารี
1.1 110012 + 11102
1.2 110112 + 111012
1.3 1010112 + 1101112
1.4 1101112 + 1011102
2. จงลบเลขไบนารี
2.1 1102 - 1002
2.2 11002 - 10102
2.3 101012 - 100012
2.4 1101112 - 1110002
3. จงอธิ บายการทํางานของวงจรรู ปที* 1

รู ปที* 1
4. จงอธิ บายการทํางานของวงจรรู ปที* 2

Bi
Bo

A Diff
B Full subtactor

รู ปที* 2

5. จงอธิ บายการทํางานของวงจรรู ปที* 3

วงจรดิจิตอล
83

7483
รู ปที* 3

วงจรดิจิตอล
84

ใบประเมินผลหน่ วยที 5
วิชา วงจรดิจิตอล ชื อหน่ วย วงจรบวกและวงจรลบเลขไบนารี

คําชี/แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ


1. ผลบวกของเลข 101112 กับ 10002 มีค่าเท่าไร
ก. 101102 ข. 111112
ค. 111012 ง. 101102
2. ผลลบของเลข 111112 กับ 101012 มีค่าเท่าไร
ก. 10102 ข. 11002
ค. 111012 ง. 101102
3. ผลลบของเลข 10112 กับ 11112 มีค่าเท่าไร
ก. 1012 ข. 1002
ค. - 1012 ง. - 1002
4. จากรู ปที 1 สมการทีเอาต์พุต 1 ตรงกับข้อใด

รู ปที 1
ก. M+N ข. M ⊕ N
ค. M ⋅ N ง. M ⊕ N
5. จากรู ปที 1 เมือวงจรนีใช้เป็ นวงจรบวกเลขฐานสอง ข้อใดกล่าวผิด
ก. เป็ นวงจร Half adder ข. ทีเอาต์พุต 1 เป็ นผลบวก
ค. ทีเอาต์พุต 2 เป็ นตัวทดออก ง. ข้อ ข และข้อ ค
วงจรสํ าหรับข้ อ 6-7

รู ปที 2

วงจรดิจิตอล
85
6. จากรู ปที 2 ถ้าหาก Ci = 1, A = 0, B = 1 ระดับลอจิกที Y1 และ Y2 มีค่าเท่าไร
ก. Y1 = 0 และ Y2 = 0 ข. Y1 = 0 และ Y2 = 1
ค. Y1 = 1 และ Y2 = 0 ง. Y1 = 1 และ Y2 = 1
7. เมือนําวงจรในรู ปที 2 ไปใช้ในการคํานวณเลขไบนารี วงจรนี เรี ยกว่าวงจรใด
ก. Half subtractor ข. Full subtractor
ค. Half adder ง. Full adder
8. จากรู ปที 2 ถ้าหาก Ci = 1, A = 1, B = 1 ระดับลอจิกที Y1 และ Y2 มีค่าเท่าไร
ก. Y1 = 0 และ Y2 = 0 ข. Y1 = 0 และ Y2 = 1
ค. Y1 = 1 และ Y2 = 0 ง. Y1 = 1 และ Y2 = 1
9. จากวงจรในรู ปที 3 ถ้าหาก Bi = 0, A = 1, B = 0 ระดับลอจิกที Y1 และ Y2 มีค่าเท่าไร

รู ปที 3
ก. Y1 = 0 และ Y2 = 0 ข. Y1 = 0 และ Y2 = 1
ค. Y1 = 1 และ Y2 = 0 ง. Y1 = 1 และ Y2 = 1
10. จากวงจรในรู ปด้านล่างข้อใดกล่าวผิด
7483

ก. เป็ นวงจรบวกเลข 4 บิต 2 จํานวน


ข. เป็ นวงจรลบเลข 4 บิต 2 จํานวน
ค. SW1 ใช้เป็ นสวิตซ์ควบคุมให้เป็ นการบวกหรื อการลบ
ง. ถ้าหาก Σ5 เป็ น 1 แสดงว่าวงจรนีทําหน้าทีเป็ นวงจรบวก

วงจรดิจิตอล
86
เฉลยใบประเมินผลหน่ วยที 5
คําตอบของแบบประเมินผลหน่วยที 4

ข้อที 1 = ข
ข้อที 2 = ก
ข้อที 3 = ง
ข้อที 4 = ค
ข้อที 5 = ง
ข้อที 6 = ค
ข้อที 7 = ง
ข้อที 8 = ง
ข้อที 9 = ข
ข้อที 10 = ง

วงจรดิจิตอล
87
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 5
วิชา วงจรดิจิตอล
ชื อหน่ วย วงจรบวกและวงจรลบเลขไบนารี
เรือง วงจรบวกและวงจรลบเลขไบนารี

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 4 เรื องวงจรบวกและวงจรลบเลขไบนารี
2.1 ปฏิบตั ิตามวงจรรู ปที 1 วงจรบวกเลขดิจิตอล 1 หลัก 2 ตัว 2
2.2 ปฏิบตั ิตามวงจรรู ปที 2 วงจรบวกเลขดิจิตอล 1 หลัก 3 ตัว 2
2.3 ปฏิบตั ิตามวงจรรู ปที 3 วงจรลบเลขดิจิตอล 1 หลัก 2 ตัว 2
2.4 ปฏิบตั ิตามวงจรรู ปที 4 วงจรลบเลขดิจิตอล 1 หลัก 3 ตัว 2
2.5 ปฏิบตั ิตามวงจรรู ปที 5 วงจร Full Adder ใช้ไอซี 7480 2
2.6 ปฏิบตั ิตามวงจรรู ปที 6 วงจรบวกเลข 4 บิต ใช้ไอซี 7483 3
2.7 ปฏิบตั ิตามวงจรรู ปที 7 วงจรบวก/ลบ ใช้ไอซี 7483 4
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 25

ลงชือ ผูป้ ระเมิน


(..................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 6 หน่วยที 6
ชือวิชา วงจรดิจิตอล สอนครังที 10 - 11
ชือหน่วย การเข้ ารหัส ถอดรหัสและวงจรแสดงผล ชัวโมงรวม 8 ชัวโมง
ชือเรื อง การเข้ ารหัส ถอดรหัสและวงจรแสดงผล จํานวนชัวโมง 8 ชัวโมง
หัวข้ อเรืองและงาน
1. วงจรเข้ารหัส (Encoder)
1.1 วงจรเข้ารหัส 8 to 3 line
1.2 วงจรเข้ารหัสเลขฐานสิ บเป็ นรหัสบีซีดี
1.3 ไอซี เบอร์ 74148
1.4 ไอซี เบอร์ 74147
2. วงจรถอดรหัส (Decoder)
2.1 หลักการของวงจรถอดรหัส
2.2 วงจรถอดรหัส BCD เป็ นเลขฐาน 10
2.3 วงจรถอดรหัส 4 บิต เป็ นข้อมูล 16 ข้อมูล
2.4 ไอซี ถอดรหัสเบอร์ 74138
2.5 ไอซี ถอดรหัสเบอร์ 74139
2.6 วงจรถอดรหัสจาก BCD แสดงผลด้วย LED 7 Segment
3. วงจรแสดงผล (Display circuit)
3.1 การแสดงผลด้วยไดโอดเปล่งแสง
3.2 วงจรแสดงผลด้วยหลอดไฟ (Lamp)
3.3 วงจรแสดงผลแบบแอลอีดี 7 ส่ วน
3.4 แผงแสดงผลแบบผลึกเหลว (LCD : Liquid Crystal Display)
3.5 วงจรแสดงผลทางออดเปี ยโซ
3.6 แสดงผลผ่านรี เลย์
3.7 แสดงผลผ่านอุปกรณ์เชื อมต่อทางแสง
สาระการเรี ยนรู้
วงจรเข้ารหัสเป็ นวงจรลอจิกทีทําหน้าทีเปลี ยนจากข้อมูลข่าวสารทีมีจาํ นวนมาก ให้อยูใ่ นรู ปทีง่าย
แต่สามารถรั บรู ้ ได้ วงจรถอดรหัสทําหน้าที ตรงกันข้ามกับวงจรเข้ารหัส ส่ วนวงจรแสดงผลจะทําหน้า ที
เปลียนข้อมูลข่าวสารในระบบดิจิตอล ให้เป็ นตัวเลข ตัวอักษร สัญลักษณ์ หรื อรู ปภาพทีเข้าใจได้
89
สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. อธิบายหลักการเข้ารหัสและถอดรหัสได้ 1. ประกอบวงจรเข้ารหัสได้ได้ 1. ตรงต่อเวลา
2. เขียนวงจรเข้ารหัส 8 ข้อมูลเป็ น 3 บิตได้ 2. ประกอบวงจรถอดรหัสได้ได้ 2. มีความตระหนักในหน้าทีของ
3. เขียนวงจรเข้ารหัส 10 ข้อมูลเป็ น 4 บิตได้ 3. ประกอบวงจรแสดงผลได้ นักศึกษา
4. อธิบายวงจรเข้ารหัส 16 ข้อมูลเป็ น 4 บิตได้ 4. ใช้ดีซีโวลต์มิเตอร์วดั แรงดันไฟฟ้ า 3. มีความรับผิดชอบต่อตนเอง
5. อธิบายการถอดรหัสได้ จากวงจรเข้ารหัส ถอดรหัสและ และสังคม
6. ใช้งานไอซีเข้ารหัสและถอดรหัสได้ วงจรแสดงผลได้ 4. แต่งกายถูกต้องตามระเบียบ
7. อธิบายการทํางานของวงจรแสดงผลด้วย 5. วิเคราะห์วงจรเข้ารหัส ถอดรหัส 5. แสดงความเคารพด้วยท่าที
LED ได้ และวงจรแสดงผลได้ ทีสวยงาม
8. อธิบายการทํางานของวงจรแสดงผลด้วย 6. แสดงความสามารถออกแบบ 6. ทํางานด้วยความเต็มใจ
LED 7-segment ได้ วงจรเข้ารหัส ถอดรหัสและ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
9. อธิบายการทํางานของวงจรแสดงผลด้วย วงจรแสดงผลได้ อย่างประหยัดตระหนักถึง
LCD ได้ ความปลอดภัย
10. อธิบายการใช้หลอดไฟแสดงผลได้
11. ออกแบบวงจรและอธิบายการแสดงผลด้วย
เสี ยงได้
12. ออกแบบวงจรและอธิบายการแสดงผลด้วย
หลอดไฟฟ้ าแรงดัน 220 VAC ได้

เนือ. หาสาระ
1. วงจรเข้ารหัส (Encoder)
1.1 วงจรเข้ารหัส 8 to 3 line

1.2 วงจรเข้ารหัสเลขฐานสิ บเป็ นรหัสบีซีดี


ทําหน้าทีเข้ารหัสจากข้อมูล 10 ข้อมูล เป็ นข้อมูลดิจิตอลขนาด 4 บิต

วงจรดิจิตอล
90

1.3 ไอซี เบอร์ 74148

1.4 ไอซี เบอร์ 74147

2. วงจรถอดรหัส (Decoder)

2.1 หลักการของวงจรถอดรหัส
2.2 วงจรถอดรหัส BCD เป็ นเลขฐาน 10
2.3 วงจรถอดรหัส 4 บิต เป็ นข้อมูล 16 ข้อมูล

วงจรดิจิตอล
91

2.4 ไอซี ถอดรหัสเบอร์ 74138

2.5 ไอซี ถอดรหัสเบอร์ 74139

1A 2
4 1Y0
3
1B
1
74139 5
6
1Y1
1Y2
1G 7
Dual 1Y3
14
2 to 4 Line 12 2Y0
2A Decoder/ 11
13 2Y1
2B Demultipexr 10 2Y2
2G 15 9 2Y3

2.6 วงจรถอดรหัสจาก BCD แสดงผลด้วย LED 7 Segment


+Vcc
common anode
MSB common
D 6 D a 13 a
2 b a
BCD C C 7447 12 b f b
INPUT B 1 c 11 c
B g
A 7 A BCD
d 10 d
LSB to e 9 e c
15 f e
7 segment gf 14 g d
LT 3 LT
dp
RBI 5 RBI 4
BI/RBO
BI/RBO

3. วงจรแสดงผล (Display circuit)


3.1 การแสดงผลด้วยไดโอดเปล่งแสง
3.2 วงจรแสดงผลด้วยหลอดไฟ (Lamp)
3.3 วงจรแสดงผลแบบแอลอีดี 7 ส่ วน
3.4 แผงแสดงผลแบบผลึกเหลว (LCD : Liquid Crystal Display)
3.5 วงจรแสดงผลทางออดเปี ยโซ
3.6 แสดงผลผ่านรี เลย์
3.7 แสดงผลผ่านอุปกรณ์เชื อมต่อทางแสง
วงจรดิจิตอล
92
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั.นตอนการสอน ขั.นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั.นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของการเข้ารหัส 1.2 นักเรี ยนบอกความสําคัญการเข้ารหัส เรี ยนหน่วยที 6
ถอดรหัสและวงจรแสดงผลในงานดิจิตอล ถอดรหัสและวงจรแสดงผลในงานดิจิตอล
และอิเล็กทรอนิกส์ และอิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 6 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 6
2. ขั.นสอนทฤษฎี
2.1 ครู อธิบายการเข้ารหัส ถอดรหัสและวงจร 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 6
แสดงผล ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 6
2.2 ซักถามปั ญหาเกียวกับการเข้ารหัส ถอดรหัส
และวงจรแสดงผล ในงานดิจิตอลและ
อิเล็กทรอนิกส์
3. ขั.นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 6
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั.นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 5 4.2 นักศึกษาปฏิบตั ิใบงานที 5 หน่วยที 6
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั.นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 6 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 6 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 6
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั.นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 6
การเข้ารหัส ถอดรหัสและวงจรแสดงผล
และทํา แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 6 ส่งในอาทิตย์ต่อไป
7. ขั.นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
93
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 6
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกียวกับและสรุ ปเกียวกับการเข้ารหัส ถอดรหัสและวงจรแสดงผลทีใช้
ในงานดิจิตอลและอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับการเข้ารหัส ถอดรหัสและวงจรแสดงผลและทํา
แบบฝึ กหัดท้ายหน่วยเรี ยนหน่วยที 6 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 6 เรื องการเข้ารหัส ถอดรหัสและวงจรแสดงผล
2. power point เรื องการเข้ารหัส ถอดรหัสและวงจรแสดงผล
3. แบบฝึ กหัดท้ายหน่วยที 6
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 5)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 6 จํานวน 20 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 6 จํานวน 20 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 6 จํานวน 20 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
94
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน การเข้ ารหัส ถอดรหัสและวงจรแสดงผล หน่วยที 6


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ. หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครัXงต่อไป
1. วงจรเข้ารหัส (Encoder) ในวันที….....เดือน…….........…..พ.ศ…….......
2. วงจรถอดรหัส (Decoder) โดยจะดําเนิ นการดังนีX
3. วงจรแสดงผล (Display circuit)
1. ………………………….……........……
2. …………………………….…........……
3. ……………………….…………............
4. ………………………….…………........
5. ………………………….……….........…

ลงชื อ…………………………….
( ................................. )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
95
แบบฝึ กหัดท้ ายหน่ วยที 6
จงอธิบาย/แสดงวิธีการคํานวณ

1. จงอธิ บายหลักการเข้ารหัสและถอดรหัสในระบบดิจิตอล
2. จงเขียนวงจรเข้ารหัสต่อไปนี
2.1 วงจรเข้ารหัส 8 ข้อมูลเป็ น 3 บิต
2.2 วงจรเข้ารหัส 10 ข้อมูลเป็ น 4 บิต
2.3 วงจรเข้ารหัส 16 ข้อมูลเป็ น 4 บิต
3. จากวงจรข้างล่าง จงอธิ บายวิธีใช้งานไอซี ถอดรหัสเบอร์ 74138

MSB
C 3 C
7 7 D7
SELECT B 2
INPUT B
1 A
74138 6
5
9
10
D6
D5
A
LSB 4 11 D4
3 to 8 Line 3 12 D3 OUTPUT
6 G2A
Decoder 2 13 D2
ENABLE 1 14 D1
5 G2B 0
INPUT 15 D0
4 G1

รู ปที 1 วงจรสําหรับข้อ 3

4. จากวงจรข้างล่างจงอธิ บายการทํางานของวงจร

รู ปที 2 วงจรสําหรับข้อ 4

วงจรดิจิตอล
96
5. จงอธิ บายการทํางานของวงจรแสดงผลด้วย LED

รู ปที 3 วงจรสําหรับข้อ 5

6. จงอธิ บายการทํางานของวงจรแสดงผลด้วย LED 7 segment

รู ปที 4 วงจรสําหรับข้อ 6

7. จงอธิ บายการใช้งานตัวแสดงผลด้วย LCD ในรู ปที 5


BRIGHTNESS

รู ปที 5 วงจรสําหรับข้อ 7

8. จงเขียนวงจรและอธิ บายการทํางานของวงจรต่อไปนี
8.1 แสดงผลด้วยเสี ยง
8.2 แสดงผลด้วยหลอดไฟทีใช้กบั 220 VAC

วงจรดิจิตอล
97
ใบประเมินผลหน่ วยที 6
วิชา วงจรดิจิตอล ชื อหน่ วย การเข้ ารหัส ถอดรหัสและวงจรแสดงผล

คําชี.แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ


1. ข้อใดเป็ นหลักการเข้ารหัสในระบบดิจิตอล
ก. สร้างข้อมูลเพือไม่ให้คนอืนเข้าถึงได้
ข. ลดขนาดของข้อมูลให้มีขนาดลดลง
ค. เปลียนข้อมูลดิบต่าง ๆ ให้เป็ นข้อมูลระบบใดระบบหนึง
ง. เปลียนข้อมูลให้ง่ายต่อการใช้งาน
2. ข้อใดเป็ นหลักการถอดรหัสในระบบดิจิตอล
ก. เปลียนข้อมูลให้ง่ายต่อการใช้งาน
ข. ลดขนาดของข้อมูลให้มีขนาดลดลง
ค. นําข้อมูลเพือมาประมวลผล
ง. เปลียนข้อมูลให้กลับเป็ นข้อมูลดิบ

วงจรสํ าหรับข้ อ 3 – 4

3. จากรู ปทีกําหนด เป็ นวงจรอะไร


ก. Encoder ข. Decoder
ค. Multiplex ง. Demultiplex
4. จากรู ปทีกําหนด ถ้าหาต้องการนําข้อมูล D7 ไปใช้งาน ข้อมูลเอ๊าต์พุตตรงกับข้อใด
ก. A = 0, B = 0, C = 0 ข. A = 0, B = 0, C = 1
ค. A = 1, B = 1, C = 0 ง. A = 1, B = 1, C = 1

วงจรดิจิตอล
98
วงจรสํ าหรับข้ อ 5 - 6
+5V

D
C
รหัส BCD
B

A
SW8
SW7
SW9

SW3
SW6
SW5
SW4

SW2
SW1
SW0
5. จากรู ปทีทีกําหนด ถ้าหาต้องการนําข้อมูล SW9 ไปใช้งาน ข้อมูลเอาต์พุตตรงกับข้อใด
ก. A = 1, B = 1, C = 0, D = 1 ข. A = 1, B = 0, C = 1, D = 1
ค. A = 1, B = 0, C = 0, D = 1 ง. A = 1, B = 1, C = 1, D = 0
6. จากวงจรในรู ปทีกําหนด ข้อมูลใดเป็ นข้อมูล MSB
ก. A ข. B
ค. C ง. D

วงจรสํ าหรับข้ อ 7 – 8

7. จากรู ปทีกําหนด เป็ นวงจรอะไร


ก. Encoder ข. Decoder
ค. Multiplex ง. Demultiplex
8. ถ้าต้องการให้ D8 เป็ น “0” นอกนันให้เป็ น “1” สัญญาณอินพุตตรงกับข้อใด
ก. A = 1, B = 1, C = 0 , D = 1 ข. A = 1, B = 0, C = 1 , D = 0
ค. A = 1, B = 0, C = 0 , D = 0 ง. A = 1, B = 1, C = 1 , D = 0

วงจรดิจิตอล
99
วงจรสํ าหรับข้ อ 9 - 11

9. จากรู ปทีกําหนด ไอซี 74148 ทําหน้าทีอะไร


ก. 7 Line to 3 Line Encode ข. 8 Line to 3 Line Encode
ค. 9 Line to 3 Line Encode ง. 10 Line to 5 Line Encode
10. จากรู ปทีกําหนด ขา Ei มีไว้เพือสิ งใด
ก. ควบคุมจังหวะการทํางานของไอซี ข. ควบคุมขนาดของข้อมูลทีจะนําเข้ามายังไอซี
ค. ควบคุมอินพุตให้ส่งผ่านข้อมูลพร้อมกัน ง. ควบคุมให้ไอซี 74148 ทํางานหรื อหยุดทํางาน
11. จากรู ปทีกําหนด ถ้าหากข้อมูลอินพุต D7-D0 = 01110101 ข้อมูลเอาต์พุตจะตรงกับข้อใด
ก. A = 0, B = 0, C = 0 ข. A = 0, B = 0, C = 1
ค. A = 1, B = 1, C = 0 ง. ไม่สามารถทํางานได้
วงจรสํ าหรับข้ อ 12 -13

12. จากรู ปทีกําหนด ข้อใดกล่าวผิด


ก. เป็ นไอซี ถอดรหัส ข. เป็ นไอซี มลั ติเพล็กซ์
ค. เป็ นไอซี เลือกแหล่งจ่ายไฟ ง. ภายในไอซี มีโครงสร้างเหมือนกันสองชุ ด
13. ถ้าข้อมูล 1A = 1, 1B = 1, 1G = 0 ข้อมูลทีเอาต์พุตตรงกับข้อใด
ก. 1Y0 = 1, 1Y1 = 1, 1Y2 = 1, 1Y3 = 0 ข. 1Y0 = 0, 1Y1 = 0, 1Y2 = 1, 1Y3 = 0
ค. 1Y0 = 1, 1Y1 = 1, 1Y2 = 0, 1Y3 = 1 ง. 1Y0 = 0, 1Y1 = 1, 1Y2 = 1, 1Y3 = 1
14. การใช้งานวงจรแสดงผลด้วย LED จะนิยมไปใช้งานลักษณะใด
ก. Number monitor ข. Logic monitor
ค. Picture monitor ง. Level monitor
15. ประมาณกระแสทีให้ผา่ น LED (ขนาด 3 mm) ทีเหมาะสมควรมีค่าเท่าไร
ก. 5 mA ข. 10 mA
ค. 15 mA ง. 20 mA
วงจรดิจิตอล
100
วงจรสํ าหรับข้ อ 16 - 18

16. จากรู ปทีกําหนด ขา LT ของไอซี 7447 มีไว้เพือสิ งใด


ก. ควบคุมการทํางานของไอซี ข. ทดสอบหลอดของ LED 7 Segment
ค. กําหนดความสว่างของ LED 7 Segment ง. กําหนดจังหวังการส่ งสัญญาณ
17. ถ้ารหัส BCD Input = 0110 จะทําให้ LED 7 segment แสดงเป็ นเลขอะไร
ก. 4 ข. 6
ค. 8 ง. 9
18. จากรู ปทีกําหนด ถ้าต้องการใช้ LED 7 segment ชนิด Common cathode จะเปลียนไอซี 7447
เป็ นไอซี เบอร์ ใด
ก. 74139 ข. 74138
ค. 74147 ง. 7448
วงจรสํ าหรับข้ อ 19 - 20

19. จากรู ปทีกําหนด ความเร็ วในการกระพริ บของหลอดไฟขึนอยูก่ บั อุปกรณ์ใด


ก. IC 555 ข. IC MOC3010
ค. RA, RB, C1 ง. Q1
20. จากรู ปทีกําหนด ประโยชน์ของไอซี MOC3010 คือข้อใด
ก. แยกกราวด์ของวงจร ข. ลดกระแสของวงจร
ค. ลดแรงดันของวงจร ง. ยืดอายุการใช้งานของหลอดไฟ

วงจรดิจิตอล
101
เฉลยใบประเมินผลหน่ วยที 6
คําตอบของแบบประเมินผลหน่วยที 6

ข้อที 1 = ค
ข้อที 2 = ง
ข้อที 3 = ก
ข้อที 4 = ง
ข้อที 5 = ค
ข้อที 6 = ง
ข้อที 7 = ข
ข้อที 8 = ค
ข้อที 9 = ข
ข้อที 10 = ง
ข้อที 11 = ค
ข้อที 12 = ข
ข้อที 13 = ก
ข้อที 14 = ข
ข้อที 15 = ข
ข้อที 16 = ข
ข้อที 17 = ข
ข้อที 18 = ง
ข้อที 19 = ค
ข้อที 20 = ก

วงจรดิจิตอล
102
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 6
วิชา วงจรดิจิตอล
ชื อหน่ วย การเข้ารหัส ถอดรหัสและวงจรแสดงผล
เรือง การเข้ารหัส ถอดรหัสและวงจรแสดงผล

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 5 เรื องวงจรเข้ารหัสวงจรถอดรหัสและแสดงผล
2.1 ปฏิบตั ิตามวงจรรู ปที 1 ไอซี 74148 2
2.2 ปฏิบตั ิตามวงจรรู ปที 2 ไอซี 74147 2
2.3 ปฏิบตั ิตามวงจรรู ปที 3 ไอซี 74138 2
2.4 ปฏิบตั ิตามวงจรรู ปที 4 ไอซี 7447 3
2.5 ปฏิบตั ิตามวงจรรู ปที 5 ไอซี 7448 3
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 20

ลงชือ ผูป้ ระเมิน


(..................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 7 หน่วยที 7
ชือวิชา วงจรดิจิตอล สอนครังที 12 - 13
ชือหน่วย วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์ ชัวโมงรวม 8 ชัวโมง
ชือเรื อง วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์ จํานวนชัวโมง 8 ชัวโมง
หัวข้ อเรืองและงาน
1. วงจรมัลติเพล็กเซอร์ (Multiplexer : MUX)
1.1 หลักการของวงจรมัลติเพล็กซ์
1.2 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74157
1.3 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74153
1.4 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74151
1.5 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74150
2. วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer : DEMUX)
2.1 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74155
22 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74154

สาระการเรี ยนรู้
การมัลติ เพล็กซ์ คื อการแบ่งเวลาว่าจะให้ขอ้ มู ลตัวใดส่ งเรี ยงต่อกันไปในสายตัวนํา ส่ วนการดี
มัลติเพล็กซ์คือการกระจายข้อมูลทีเรี ยงต่อกันมาในสายตัวนําให้ไปปรากฏทีเอาต์พุตตัวใดของผูร้ ับแต่ละตัว

สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. อธิบายการทํางานของวงจรมัลติเพล็กซ์ได้ 1. ต่อวงจรมัลติเพล็กซ์ได้ถูกต้อง 1. ตรงต่อเวลา
2. เขียนไดอะแกรมของการมัลติเพล็กซ์และดี 2. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ 2. มีความตระหนักในหน้าทีของ
มัลติเพล็กซ์ได้ วงจรมัลติเพล็กซ์ได้ถูกต้อง นักศึกษา
3. เขียนวงจรมัลติเพล็กซ์ขนาด 8 บิตให้เป็ น 3. ต่อดีมลั ติเพล็กซ์ได้ถูกต้อง 3. มีความรับผิดชอบต่อตนเอง
ข้อมูลแบบอนุกรมได้ 4. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ และสังคม
4. อธิบายการทํางานของไอซีมลั ติเพล็กซ์ได้ วงจรดีมลั ติเพล็กซ์ได้ถูกต้อง 4. แต่งกายถูกต้องตามระเบียบ
5. อธิบายการดีมลั ติเพล็กซ์ได้ 5. แสดงความสามารถออกแบบ 5. แสดงความเคารพด้วยท่าที
6. เขียนวงจรดีมลั ติเพล็กซ์ให้เป็ นข้อมูล วงจรเข้ารหัส ถอดรหัสและ ทีสวยงาม
ขนาด 8 บิตได้ วงจรแสดงผลได้ 6. ทํางานด้วยความเต็มใจ
7. อธิบายการทํางานของไอซีดีมลั ติเพล็กซ์ได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
8. อธิบายการใช้มลั ติเพล็กซ์และดีมลั ติเพล็กซ์ อย่างประหยัดตระหนักถึง
ในระบบสื อสารข้อมูลได้ ความปลอดภัย
104
เนือ1 หาสาระ
1. วงจรมัลติเพล็กเซอร์ (Multiplexer : MUX)

1.1 หลักการของวงจรมัลติเพล็กซ์

1.2 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74157


(2)
1A
(4)
(3) 1Y
1B
(5)
2A
(7)
(6) 2Y
2B
(11)
3A
(9)
(10) 3Y
3B
(14)
4A
(12)
(13) 4Y
4B
(1)
SELECT S
(15)
STROBE G

วงจรดิจิตอล
105
1.3 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74153
STROBE 1G (1)
(ENABLE) (6)
1C0
(5)
1C1 (7) OUTPUT
DATA 1 1Y
(4)
1C2
(3)
1C3
(2)
B
SELECT (14)
A
(10)
2C0
(11)
2C1
DATA 2 (9) OUTPUT
(12) 2Y
2C2
(13)
2C3
STROBE 2G (15)
(ENABLE)

1.4 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74151


STROBE (7)
S
D0 (4) 000

D1 (3)
001

D2 (2)
010

D3 (1) (5)
011 Y
(6)
W
D4 (15) 100

D5 (14) 101

D6 (13) 110

D7 (12) 111
(11)
A
(10)
B
(9)
C

1.5 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74150


(8) E0
(7) E1
(6) E2
(5) E3
(4) E4
(3) E5
(2) E6
(1) E7 74150
(23) E8
(22) E9
(21) E10 (10)
(20) E11 W
(19) E12
(18) E13
(17) E14
(16) E15
(15) A
(14) B
(13) C
(11) D
(9) G

วงจรดิจิตอล
106
2. วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer : DEMUX)

2.1 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74155

STROBE (2) (7)


1Y0
1G
DATA (1) (6)
1Y1
1C
(5)
1Y2
SELECT (3)
B (4)
1Y3

(9)
2Y0
SELECT (13)
A (10)
2Y1

DATA (15) (11)


2Y2
2C
STROBE (14) (12)
2Y3
2G

22 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74154

วงจรดิจิตอล
107
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั1นตอนการสอน ขั1นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั1นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของวงจร 1.2 นักเรี ยนบอกความสําคัญของวงจร เรี ยนหน่วยที 7
มัลติเพล็กซ์และดีมลั ติเพล็กซ์ในงาน มัลติเพล็กซ์และดีมลั ติเพล็กซ์ ในงาน
ดิจิตอลและอิเล็กทรอนิกส์ ดิจิตอลและอิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 7 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 7
2. ขั1นสอนทฤษฎี
2.1 ครู อธิบายวงจรมัลติเพล็กซ์และ 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 7
ดีมลั ติเพล็กซ์ ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 7
2.2 ซักถามปั ญหาเกียวกับวงจรมัลติเพล็กซ์และ
ดีมลั ติเพล็กซ์ ในงานดิจิตอลและ
อิเล็กทรอนิกส์
3. ขั1นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 7
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั1นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 6 4.2 นักศึกษาปฏิบตั ิใบงานที 6 หน่วยที 7
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั1นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 7 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 7 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 7
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั1นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 7
วงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
และทํา แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 7 ส่งในอาทิตย์ต่อไป
7. ขั1นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
108
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 7
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกี ยวกับและสรุ ปเกี ยวกับวงจรมัลติเพล็กซ์และดี มลั ติเพล็กซ์ทีใช้ใน
งานดิจิตอลและอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์และทําแบบฝึ กหัด
ท้ายหน่วยเรี ยนหน่วยที 7 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 7 เรื องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
2. power point เรื องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
3. แบบฝึ กหัดท้ายหน่วยที 7
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 6)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 7 จํานวน 10 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 7 จํานวน 10 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 7 จํานวน 10 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
109
บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์ หน่วยที 7


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาที5ไม่เข้าใจหรื อ
เนือ1 หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครั>งต่อไป
1. วงจรมัลติเพล็กเซอร์ (Multiplexer : MUX) ในวันที5….....เดือน…….........…..พ.ศ…….......
1.1 หลักการของวงจรมัลติเพล็กซ์ โดยจะดําเนิ นการดังนี>
1.2 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74157
1. ………………………….……........……
1.3 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74153
2. …………………………….…........……
1.4 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74151 3. ……………………….…………............
1.5 ไอซี มลั ติเพล็กเซอร์ เบอร์ 74150 4. ………………………….…………........
2. วงจรดีมลั ติเพล็กเซอร์ (Demultiplexer : DEMUX) 5. ………………………….……….........…

2.1 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74155


ลงชื5 อ…………………………….
2.2 ไอซี ดีมลั ติเพล็กเซอร์ เบอร์ 74154 (....................................... )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………

วงจรดิจิตอล
110
แบบฝึ กหัดท้ ายหน่ วยที 7
จงอธิบาย/แสดงวิธีการคํานวณ

1. จากรู ปด้านล่าง จงอธิ บายการทํางานของระบบมัลติเพล็กซ์และดีมลั ติเพล็กซ์


มัลติเพล็กซ์ ดีมลั ติเพล็กซ์
A A
A ข้อมูล A
B B
B D C B A สายตัวนํา B
ผู้ส่ง C C ผู้รบั
C C
D D
D D

รู ปที5 1 สําหรับคําถามข้อที5 1
2. จงอธิ บายการทํางานของวงจรมัลติเพล็กซ์ในรู ปที5 2

รู ปที5 2 สําหรับคําถามข้อที5 2
3. จงอธิ บายการทํางานของวงจรดีมลั ติเพล็กซ์ในรู ปที5 3

รู ปที5 3 สําหรับคําถามข้อที5 3

วงจรดิจิตอล
111
ใบประเมินผลหน่ วยที 7
วิชา วงจรดิจิตอล ชื อหน่ วย วงจรมัลติเพล็กซ์ และดีมัลติเพล็กซ์

คําชี1แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ

1. ข้อใดเป็ นหลักการทํางานของวงจรมัลติเพล็กซ์
ก. นําสัญญาณหลาย ๆ สัญญาณมาเข้ารหัส
ข. นําข้อมูลมาแยกเป็ นหมวดหมู่
ค. แยกสัญญาณต่าง ๆ ออกจากตัวนําเดียว ด้วยการสวิตช์ความเร็ วสู ง
ง. เลือกสัญญาณหลาย ๆ สัญญาณส่ งไปด้วยตัวนําเดียวด้วยการสวิตช์ความเร็ วสู ง
2. ข้อใดเป็ นหลักการทํางานของวงจรดีมลั ติเพล็กซ์
ก. นําสัญญาณหลาย ๆ สัญญาณมาเข้ารหัส
ข. นําข้อมูลมาแยกเป็ นหมวดหมู่
ค. แยกสัญญาณต่าง ๆ ออกจากตัวนําเดียว ด้วยการสวิตช์ความเร็ วสู ง
ง. เลือกสัญญาณหลาย ๆ สัญญาณส่ งไปด้วยตัวนําเดียวด้วยการสวิตช์ความเร็ วสู ง
วงจรสํ าหรับข้ อ 3 - 4

รู ปที 1
3. จากรู ปที 1 วงจรทางด้านผูส้ ่ งเรี ยกว่าอะไร
ก. วงจรมัลติเพล็กซ์ ข. วงจรดีมลั ติเพล็กซ์
ค. วงจรเข้ารหัส ง. วงจรถอดรหัส
4. จากรู ปที 1 วงจรทางด้านผูร้ ับเรี ยกว่าอะไร
ก. วงจรมัลติเพล็กซ์ ข. วงจรดีมลั ติเพล็กซ์
ค. วงจรเข้ารหัส ง. วงจรถอดรหัส

วงจรดิจิตอล
112
วงจรสํ าหรับข้ อ 5 - 6
STROBE (7)
S
D0 (4) 000

D1 (3) 001

D2 (2)
010
D3 (1) 011
(5)
Y
(6)
W
D4 (15) 100

D5 (14) 101

D6 (13) 110

D7 (12) 111
(11)
A
(10)
B
(9)
C

รู ปที 2
5. จากวงจรรู ปที 2 วงจรนีทําหน้าทีอะไร
ก. 16 line to 1 line multiplexer ข. 8 line to 1 line multiplexer
ค. 1 line to 16 line demultiplexer ง. 1 line to 8 line demultiplexer
6. ขา A , B ,C ของวงจรมีไว้เพือสิ งใด
ก. เลือกข้อมูล ข. เลือกความถี
ค. เพิมความเร็ ว ง. กําหนดจังหวะการทํางาน
7. การสื อสารข้อมูลดิจิตอลผ่านวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์ จะช่วยประหยัดในเรื องใด
ก. สื อนําสัญญาณ ข. จํานวนข้อมูล
ค. การเก็บรักษา ง. ความปลอดภัย
8. ตัวควบคุมในวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์ทาํ หน้าทีใด
ก. เลือกข้อมูลเข้า ข. เลือกข้อมูลออก
ค. เลือกข้อมูลเข้าและเลือกข้อมูลออก ง. เลือกช่องทางในการสื อสาร
วงจรสํ าหรับข้ อ 9 -10
DEMULTIPLEX
0 D0
1 D1
2 D2
3 D3
4 D4
S0 A 5 D5
Data S 6 D6
Select 1 B 7 D7
8 D8 OUTPUT
Lines S 2 C 9 D9
S3 D 10 D10
11 D11
12 D12
13 D13
Data In G1 14 D14
G2 15 D15

รู ปที 3

วงจรดิจิตอล
113
9. จากรู ปที 3 ไอซี 74154 ทําหน้าทีใด
ก. 4 line to 16 line multiplexer ข. 8 line to 1 line multiplexer
ค. 4 line to 16 line demultiplexer ง. 8 line to 16 line demultiplexer
10. ข้อมูลป้ อนเข้าทีขาใดของไอซี 74154
ก. G1 ข. G2
ค. A ง. B

วงจรดิจิตอล
114
เฉลยใบประเมินผลหน่ วยที 7
คําตอบของแบบประเมินผลหน่วยที 7

ข้อที 1 = ง
ข้อที 2 = ค
ข้อที 3 = ก
ข้อที 4 = ข
ข้อที 5 = ข
ข้อที 6 = ก
ข้อที 7 = ก
ข้อที 8 = ค
ข้อที 9 = ง
ข้อที 10 = ก

วงจรดิจิตอล
115
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 7
วิชา วงจรดิจิตอล
ชื อหน่ วย วงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
เรือง วงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 6 เรื องวงจรมัลติเพล็กซ์และดีมลั ติเพล็กซ์
2.1 ปฏิบตั ิตามวงจรรู ปที 1 ไอซี 74153 4
2.2 ปฏิบตั ิตามวงจรรู ปที 2 ไอซี 74151 4
2.3 ปฏิบตั ิตามวงจรรู ปที 3 ไอซี 74155 4
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 20

ลงชือ ผูป้ ระเมิน


(..................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 8 หน่วยที 8
ชือวิชา วงจรดิจิตอล สอนครังที 14 - 15
ชือหน่วย ฟลิปฟลอบและวงจรนับ ชัวโมงรวม 8 ชัวโมง
ชือเรื อง ฟลิปฟลอบและวงจรนับ จํานวนชัวโมง 8 ชัวโมง
หัวข้ อเรืองและงาน
1. ชนิดของฟลิปฟลอบ
1.1 อาร์ เอสแลตช์
1.2 ฟลิปฟลอปแบบอาร์ เอส
1.3 ดีฟลิปฟลอบ
1.4 เจเคฟลิปฟลอบ
1.5 ทีฟลิปฟลอบ
2. วงจรนับ (Countor)
2.1 วงจรนับแบบอะซิ งโครนัส (Asynchronous counter)
2.2 วงจรนับแบบซิ งโครนัส (Synchronous counter)
3. ไอซี วงจรนับ
3.1 ไอซี วงจรนับเบอร์ 7493
3.2 ไอซี วงจรนับเบอร์ 7490
3.3 ไอซี วงจรนับเบอร์ 74193

สาระการเรี ยนรู้
ฟลิบฟลอป (Flip Flop) เป็ นวงจรอิเล็กทรอนิ กส์ ทีทําหน้าที ในลักษณะไบสเตเบิลมัลติไวเบรเตอร์
ให้เอาต์พุต 2 สถานะคือ Q และ Q สถานะทางลอจิกจะตรงกันข้ามกัน ฟลิ ปฟลอบเป็ นหน่ วยความจําทีมี
ขนาดเล็กที สุ ด สามารถจดจําข้อมูลได้เพียง 1 บิต การจําแนกประเภทของ ฟลิ ปฟลอบสามารถจําแนกได้
หลายชนิ ด เช่น RS F/F, JK F/F, D F/F, T F/F สามารถใช้งานในวงจรนับ (Counter) วงจรหน่วยความจํา
วงจรเลือนข้อมูลในระบบดิจิตอล เป็ นต้น
117
สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. อธิบายการทํางานของฟลิปฟลอบได้ 1. ต่อวงจรฟลิปฟลอบถูกต้อง 1. ตรงต่อเวลา
2. แปลงฟลิปฟลอบจากชนิดอืนเป็ นเป็ น D Flip 2. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ 2. มีความตระหนักในหน้าทีของ
Flop ได้ วงจรฟลิปฟลอบได้ถูกต้อง นักศึกษา
3. อธิบายการทํางานของวงจรนับได้ 3. ต่อวงจรนับได้ถูกต้อง 3. มีความรับผิดชอบต่อตนเอง
4. อธิบายการทํางานของวงจรนับแบบอะ 4. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ และสังคม
ซิงโครนัสได้ วงจรนับได้ถูกต้อง 4. แต่งกายถูกต้องตามระเบียบ
5. ออกแบบวงจรนับถึงค่าทีกําหนดได้ 5. แสดงความสามารถออกแบบ 5. แสดงความเคารพด้วยท่าที
6. ออกแบบวงจรนับแบบซิงโครนัสได้ วงจรฟลิปฟลอบและวงจรนับได้ ทีสวยงาม
7. ใช้งานไอซีทีใช้ในวงจรนับได้ 6. ทํางานด้วยความเต็มใจ
8. ออกแบบวงจรนับโดยใช้ไอซีวงจรนับได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
9. แก้ไขวงจรนับให้สามารถทํางานตามที อย่างประหยัดตระหนักถึง
กําหนดได้ ความปลอดภัย

เนือ0 หาสาระ
1. ชนิดของฟลิปฟลอบ
1.1 อาร์ เอสแลตช์
อินพุต เอาต์พุต
สรุ ปสภาวะเอาต์พุต
R S Q Q
0 0 Qn Qn คงเดิม
0 1 1 0 เซต (Q = 1 )
1 0 0 1 รี เซต (Q = 0 )
1 1 0 0 ไม่ให้ใช้งาน

1.2 ฟลิปฟลอบแบบอาร์ เอส

วงจรดิจิตอล
118
1.3 ดีฟลิปฟลอบ

1.4 เจเคฟลิปฟลอบ

1.5 ทีฟลิปฟลอบ

D Q
T CLK
Q

"1"

J Q J Q
T CLK T CLK
K Q K Q

วงจรดิจิตอล
119
2. วงจรนับ (Countor)
2.1 วงจรนับแบบอะซิ งโครนัส (Asynchronous counter)

2.2 วงจรนับแบบซิ งโครนัส (Synchronous counter)

3. ไอซี วงจรนับ
3.1 ไอซี วงจรนับเบอร์ 7493
Input A NC QA Q D GND QB QC Input A J Q
ck QA
14 13 12 11 10 9 8
K Q
QA Q D QB QC
A
J Q QB
B Input B
ck
R 0(1) R 0(2)
K Q

1 2 3 4 5 6 7
Input B R 0(1) R 0(2) NC VCC NC NC J Q
QC
ck
K Q

อินพุต เอ๊าต์พุต
R0(1) R0(2) Q D QC QB QA J Q
QD
1 1 0 0 0 0 ck
0 X นับ K Q
X 0 นับ R 0(1)
R 0(2)

วงจรดิจิตอล
120
3.2 ไอซี วงจรนับเบอร์ 7490
Input A NC QA Q D GND QB QC R 9(1)
R 9(2)
14 13 12 11 10 9 8 J Q QA
Input A
ck
QA Q D QB QC
A K Q
B
R 0(1) R 0(2) R 9(1) R9(2) QB
J Q
Input B
ck
1 2 3 4 5 6 7
K Q
Input B R0(1) R 0(2) NC VCC R 9(1) R9(2)

QC
อินพุต เอ๊าต์พุต J Q

R 0(2) R 0(2) R 9(1) ck


R9(1) Q D QC QB QA
K Q
H H L X L L L L
H H X L L L L L
X X H H H L L H
L X X L Count J Q QD
X L L X Count ck
K Q
L X L X Count R 0(1)
X L X L Count R 0(2)

3.3 ไอซี วงจรนับเบอร์ 74193

CPU : Count Up Clock Pulse Input


CPD : Count Down Clock Pulse Input
MR : Asynchronous Master Reset (Clear) Input
PL : Asynchronous Parallel Load (Active LOW) Input
TCU : Terminal Count Up (Carry) Output
TCD : Terminal Count Down (Borrow) Output
QN : Flip-Flop Outputs

วงจรดิจิตอล
121
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั0นตอนการสอน ขั0นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั0นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของวงจร 1.2 นักเรี ยนบอกความสําคัญของวงจร เรี ยนหน่วยที 8
ฟลิปฟลอบและวงจรนับในงานดิจิตอล ฟลิปฟลอบและวงจรนับในงาน
และอิเล็กทรอนิกส์ ดิจิตอลและอิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 8 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 8
2. ขั0นสอนทฤษฎี
2.1 ครู อธิบายวงจรฟลิปฟลอบและวงจรนับ 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 8
ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 8
2.2 ซักถามปั ญหาเกียวกับวงจรฟลิปฟลอบและ
วงจรนับ ในงานดิจิตอลและอิเล็กทรอนิกส์
3. ขั0นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 8
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั0นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 7 4.2 นักศึกษาปฏิบตั ิใบงานที 7 หน่วยที 8
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั0นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 8 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 8 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 8
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั0นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 8
ฟลิปฟลอบและวงจรนับและทํา
แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 8 ส่งในอาทิตย์ต่อไป
7. ขั0นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
122
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 8
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกียวกับและสรุ ปเกียวกับฟลิปฟลอบและวงจรนับทีใช้ในงานดิ จิตอล
และอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับฟลิปฟลอบและวงจรนับและทําแบบฝึ กหัดท้ายหน่วย
เรี ยนหน่วยที 8 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 8 เรื องฟลิปฟลอบและวงจรนับ
2. power point เรื องฟลิปฟลอบและวงจรนับ
3. แบบฝึ กหัดท้ายหน่วยที 8
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 7)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 8 จํานวน 10 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 8 จํานวน 10 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 8 จํานวน 10 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
123

บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน ฟลิปฟลอบและวงจรนับ หน่วยที 8


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาทีไม่เข้าใจหรื อ
เนือ0 หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครัZงต่อไป
1. ฟลิปฟลอบ ในวันที….....เดือน…….........…..พ.ศ…….......
2. วงจรนับ (Countor) โดยจะดําเนิ นการดังนีZ
2.1 วงจรนับแบบอะซิ งโครนัส (Asynchronous
1. ………………………….……........……
counter)
2.2 วงจรนับแบบซิ งโครนัส (Synchronous
2. …………………………….…........……
counter)
3. ไอซี วงจรนับ 3. ……………………….…………............
3.1 ไอซี วงจรนับเบอร์ 7493 4. ………………………….…………........
3.2 ไอซี วงจรนับเบอร์ 7490 5. ………………………….……….........…

3.3 ไอซี วงจรนับเบอร์ 74193


ลงชื อ…………………………….
( ............................... )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
วงจรดิจิตอล
124
แบบฝึ กหัดท้ ายหน่ วยที 8
จงอธิบาย/แสดงวิธีการคํานวณ

1. จงอธิ บายการทํางานของฟลิปฟลอบต่อไปนี
1.1 RS Flip Flop
1.2 JK Flip Flop
1.3 D Flip Flop
1.4 T Flip Flop
2. จงออกแบบวงจรนับ 6 (0-5) แบบอะซิ งโครนัส โดยใช้ JK F/F
3. จงออกแบบวงจรนับ 6 (0-7) แบบซิ งโครนัส JK F/F
4. จงออกแบบวงจรนับ 13(0-12) แบบซิ งโครนัส JK F/F
5. จากรู ปที 1 เป็ นวงจรนับใด

รู ปที 1
5. จงออกแบบวงจรนับ 100(0-99) แบบโดยใช้ไอซี สาํ เร็ จรู เบอร์ 7493
6. จงออกแบบวงจรนับ 00 ถึง 49 โดยช้ไอซี เบอร์ 7490
7. จงออกแบบวงจรนับ 00 ถึง 49 โดยช้ไอซี เบอร์ 7490

8. จงออกแบวงจรนับ 00 ถึง 99 โดยสามารถควบคุมให้นบั ขึนและนับลงได้ โดยใช้ไอซี วงจรนับ


เบอร์ 74193

วงจรดิจิตอล
125
9. จากวงจรรู ปที 2 จงแก้ไขวงจรให้เป็ นวงจรนับ 57 (นับ 00 – 56)

รู ปที 2 สําหรับคําถามข้อที 6

วงจรดิจิตอล
126
ใบประเมินผลหน่ วยที 8
วิชา วงจรดิจิตอล ชื อหน่ วย ฟลิปฟลอบและวงจรนับ

คําชี0แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ

1. ข้อใดไม่ใช่คุณสมบัติของฟลิปฟลอบ
ก. สามารถจําข้อมูลได้ 1 บิต
ข. ทํางานคล้ายกับไบสเตเบิลมัลติไวเบรเตอร์
ค. สภาวะเอาต์พุตจะตรงกันข้ามเมือมีสัญญาณนาฬิกา
ง. เอาต์พุตประกอบด้วย Q และ Q

วงจรสํ าหรับข้ อ 2 – 3

2. จากรู ปที 1 เป็ นโครงสร้างของฟลิปฟลอบชนิดใด


ก. RS F/F ข. RS F/F width Clock
ค. JK F/F ง. JK F/F width Clock
3. จากวงจรรู ปที 1 ถ้าหากต้องการให้ Q = 1 และ Q = 0
ก. INPUT 1 = 0 , INPUT 2 = 1 , Clock = 0
ข. INPUT 1 = 1 , INPUT 2 = 0 , Clock = 1
ค. INPUT 1 = 0 , INPUT 2 = 1 , Clock =
ง. INPUT 1 = 1 , INPUT 2 = 0 , Clock =
4. วงจรนับในระบบดิจิตอลนิยมใช้อุปกรณ์ชนิ ดใดในการทํางาน
ก. ออร์ เกต ข. แอนด์เกต
ค. ฟลิปฟลอบ ง. หน่วยความจํา

วงจรดิจิตอล
127
5. จากวงจรด้านล่าง ข้อใดกล่าวผิด

ก. เป็ นวงจรนับแบบอะซิ งโครนัส


ข. เป็ นวงจรนับขนาด 3 บิต
ค. เป็ นวงจรนับ 8
ง. เอาต์พุตจะเปลียนแปลงเมือขอบขาขึนของสัญญาณพัลส์
6. จากวงจรด้านล่าง ข้อใดกล่าวผิด

ก. เป็ นวงจรนับแบบซิ งโครนัส


ข. เป็ นวงจรนับขนาด 3 บิต
ค. เป็ นวงจรนับ 8
ง. เอ๊าต์พุตจะเปลียนแปลงเมือขอบขาลงของสัญญาณพัลส์

วงจรสํ าหรับข้ อ 7 – 8

7. จากวงจรในรู ปทีกําหนด เป็ นวงจรนับชนิ ดใด


ก. วงจรนับ 10 ข. วงจรนับ 12
ค. วงจรนับ 14 ง. วงจรนับ 16
วงจรดิจิตอล
128
8. จากวงจรในรู ปที 4 ถ้าต้องการให้เป็ นวงจรนับ 9 (0 – 8) สามารถทําได้โดยวิธีใด
ก. ย้ายจุดต่อ RO(1) จาก QC ไป QD และ ย้ายจุดต่อ RO(2) จาก QB ไป QC
ข. ย้ายจุดต่อ RO(1) จาก QC ไป QD และ ย้ายจุดต่อ RO(2) จาก QB ไป QA
ค. ย้ายจุดต่อ RO(1) จาก QB ไป QA
ง. ย้ายจุดต่อ RO(1) จาก QD ไป QA
9. ไอซี เบอร์ ใดเป็ นไอซี นบั สิ บ
ก. 7493 ข. 7490
ค. 7486 ง. 74193
10 จากวงจรด้านล่าง เมือวงจรนับถึงค่าสู งสุ ดแล้ว ข้อไดกล่าวผิด

ก. LED1 สว่าง LED2 ดับ


ข. LED1 ดับ LED2 สว่าง
ค. LED1 สว่าง LED2 สว่าง
ง. LED1 ดับ LED2 ดับ

วงจรดิจิตอล
129
เฉลยใบประเมินผลหน่ วยที 8
คําตอบของแบบประเมินผลหน่วยที 8

ข้อที 1 = ค
ข้อที 2 = ข
ข้อที 3 = ค
ข้อที 4 = ค
ข้อที 5 = ง
ข้อที 6 = ค
ข้อที 7 = ก
ข้อที 8 = ค
ข้อที 9 = ข
ข้อที 10 = ข

วงจรดิจิตอล
130
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 8
วิชา วงจรดิจิตอล
ชื อหน่ วย ฟลิปฟลอบและวงจรนับ
เรือง ฟลิปฟลอบและวงจรนับ

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 7 เรื องฟลิปฟลอบและวงจรนับ
2.1 ปฏิบตั ิตามวงจรรู ปที 1 ไอซี 7474 2
2.2 ปฏิบตั ิตามวงจรรู ปที 2 ไอซี 7476 2
2.3 ปฏิบตั ิตามวงจรรู ปที 3,4 ไอซี 7476 จํานวน 2 ตัว 3
2.4 ปฏิบตั ิตามวงจรรู ปที 5 ไอซี 7493,7447 2
2.5 ปฏิบตั ิตามวงจรรู ปที 6 ไอซี 7493,7448 2
2.6 ปฏิบตั ิตามวงจรรู ปที 7,8 ไอซี 7490,7448 3
2.7 ปฏิบตั ิตามวงจรรู ปที 9 ไอซี 74193 3
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 25

ลงชือ ผูป้ ระเมิน


(.................................)

วงจรดิจิตอล
แผนการจัดการเรี ยนรู้ ที 9 หน่วยที 8
ชือวิชา วงจรดิจิตอล สอนครังที 16 - 17
ชือหน่วย วงจรเลือนข้ อมูลและหน่ วยความจํา ชัวโมงรวม 8 ชัวโมง
ชือเรื อง วงจรเลือนข้ อมูลและหน่ วยความจํา จํานวนชัวโมง 8 ชัวโมง
หัวข้ อเรืองและงาน
1. วงจรรี จิสเตอร์ (Register)
1.1 รี จิสเตอร์ เลือนข้อมูลเข้าแบบอนุกรม-ออกแบบอนุกรม
1.2 รี จิสเตอร์ เลือนข้อมูลเข้าแบบอนุกรม - ออกแบบขนาน
1.3 รี จิสเตอร์ เลือนข้อมูลเข้าแบบขนาน - ออกแบบนุกรม
1.4 รี จิสเตอร์ เลือนข้อมูลเข้าแบบขนาน - ออกแบบนุกรม
2. ไอซี รีจิสเตอร์ เลือนข้อมูล
2.1 ไอซี เบอร์ 74194
2.2 ไอซี เบอร์ 74164
3. หน่วยความจํา
3.1 หน่วยความจํารอม
3.2 หน่วยความจําแรม
3.3 หน่วยความจําสํารอง

สาระการเรี ยนรู้
รี จิสเตอร์ เป็ นกลุ่มของฟลิปฟลอปทีต่อทํางานร่ วมกัน จุดมุ่งหมายในการเก็บข้อมูล หรื อเลือนข้อมูล
ในรู ปของเลขฐานสองเรี ยงตามฟลิปฟลอปแต่ละตัวตามจังหวะของสัญญาณนาฬิกาในทิศทางทีต้องการ โดย
ใช้ฟลิปฟลอป 1 ตัว
หน่วยความจําเป็ นอุปกรณ์ทีใช้สาํ หรับเก็บข้อมูลทีเป็ นรหัสเลขฐานสอง เพือให้สามารถนําข้อมูลมา
ใช้งานได้ต่อไป หน่ วยความจําทีสร้างจากสารกึงตัวนําทีใช้งานกันอยู่ในปั จจุบนั นี สามารถแบ่งออกได้เป็ น
2 ชนิดได้แก่ หน่วยความจํารอมและหน่วยความจําแรม
หน่ วยความจําสํารองเป็ นหน่ วยความจําที สามารถเก็บข้อมูลดิ จิตอลได้จาํ นวนมากๆ และสามารถ
อ่ า นเขี ย นข้ อ มู ล ได้ ร วดเร็ ว ปั จ จุ บ ัน จํา เป็ นต้ อ งใช้ ใ นเครื องใช้ ใ นระบบดิ จิ ต อลเช่ น กล้ อ งดิ จิ ต อล
โทรศัพท์เคลือนที อุปกรณ์เก็บข้อมูลดิจิตอลทีใช้ในงานบันเทิง ระบบมัลติมิเดียร์ ระบบการสื อสารต่างๆ
132
สมรรถนะทีพึงประสงค์
ความรู้ ทักษะ คุณธรรม/จริยธรรม
1. อธิบายการทํางานของฟลิปฟลอบได้ 1. ต่อวงจรฟลิปฟลอบถูกต้อง 1. ตรงต่อเวลา
2. แปลงฟลิปฟลอบจากชนิดอืนเป็ นเป็ น D Flip 2. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ 2. มีความตระหนักในหน้าทีของ
Flop ได้ วงจรฟลิปฟลอบได้ถูกต้อง นักศึกษา
3. อธิบายการทํางานของวงจรนับได้ 3. ต่อวงจรนับได้ถูกต้อง 3. มีความรับผิดชอบต่อตนเอง
4. อธิบายการทํางานของวงจรนับแบบอะ 4. ใช้เครื องมือวัดค่าทางไฟฟ้ าของ และสังคม
ซิงโครนัสได้ วงจรนับได้ถูกต้อง 4. แต่งกายถูกต้องตามระเบียบ
5. ออกแบบวงจรนับถึงค่าทีกําหนดได้ 5. แสดงความสามารถออกแบบ 5. แสดงความเคารพด้วยท่าที
6. ออกแบบวงจรนับแบบซิงโครนัสได้ วงจรฟลิปฟลอบและวงจรนับได้ ทีสวยงาม
7. ใช้งานไอซีทีใช้ในวงจรนับได้ 6. ทํางานด้วยความเต็มใจ
8. ออกแบบวงจรนับโดยใช้ไอซีวงจรนับได้ 7. ใช้วสั ดุอุปกรณ์และเครื องมือ
9. แก้ไขวงจรนับให้สามารถทํางานตามที อย่างประหยัดตระหนักถึง
กําหนดได้ ความปลอดภัย

เนือ/ หาสาระ
1. วงจรรี จิสเตอร์ (Register)
1.1 รี จิสเตอร์ เลือนข้อมูลเข้าแบบอนุกรม-ออกแบบอนุกรม

1.2 รี จิสเตอร์ เลือนข้อมูลเข้าแบบขนาน - ออกแบบอนุกรม

วงจรดิจิตอล
133
1.3 รี จิสเตอร์ เลือนข้อมูลเข้าแบบอนุกรม- ออกแบบขนาน

1.4 รี จิสเตอร์ เลือนข้อมูลเข้าแบบขนาน - ออกแบบขนาน

2. ไอซี รีจิสเตอร์ เลือนข้อมูล


2.1 ไอซี เบอร์ 74194

Clear 1 16 Vcc
Serial input (Shift right) 2 15 QA
A 3 14 QB
B 4 13 QC Output
Parallel input
C 5
74194 12 QD
D 6 11 Clock
Serial input (Shift left) 7 10 S1
Mode
GND 8 9 S0

วงจรดิจิตอล
134
2.2 ไอซี เบอร์ 74164

3. หน่วยความจํา
3.1 หน่วยความจํารอม
3.2 หน่วยความจําแรม
3.3 หน่วยความจําสํารอง

วงจรดิจิตอล
135
กิจกรรมการเรียนการสอนกิจกรรมการเรียนการสอน
ขั/นตอนการสอน ขั/นตอนการเรียน เครืองมือ/การวัดผล
(กิจกรรมของครู ) (กิจกรรมผู้เรียน) ประเมินผล
1.ขั/นนําเข้ าสู่ บทเรียน
1.1 ครู บอกจุดประสงค์ของการเรี ยนใน 1.1 นักเรี ยนรับฟังจุดประสงค์ของการเรี ยนใน 1. คําถามประจําหน่วย
บทเรี ยนนี บทเรี ยนนี 2. แบบทดสอบก่อน
1.2 ครู สอบถามความสําคัญของวงจร 1.2 นักเรี ยนบอกความสําคัญของวงจรเลื.อน เรี ยนหน่วยที 9
เลื.อนข้อมูลและหน่วยความจํา ในงาน ข้อมูลและหน่วยความจําในงานดิจิตอล
ดิจิตอลและอิเล็กทรอนิกส์ และอิเล็กทรอนิกส์
1.3 ครู แจกแบบทดสอบก่อนเรี ยน หน่วยที 8 1.3 นักเรี ยนทําทดสอบก่อนเรี ยน หน่วยที 9
2. ขั/นสอนทฤษฎี
2.1 ครู อธิบายวงจรวงจรเลื.อนข้อมูลและ 2.1 รับฟังคําบรรยาย 1. power point หน่วยที 9
หน่วยความจํา ใช้สือ power point ประกอบ 2.2 ตอบคําถามและแสดงความคิดเห็น 2. คําถามหน่วยที 9
2.2 ซักถามปั ญหาเกียวกับวงจรเลื.อนข้อมูลและ
หน่วยความจําในงานดิจิตอลและ
อิเล็กทรอนิกส์
3. ขั/นสรุป
3.1 ครู และนักเรี ยนช่วยกันสรุ ปและครู ซกั ถาม 3.1 นักเรี ยนช่วยครู สรุ ปและตอบคําถาม 1. ใบสรุ ปหน่วยที 9
ปั ญหาข้อสงสัย 3.2 จดบททึกย่อ
4. ขั/นสอนปฏิบัติ
4.1 แบ่งกลุ่มนักเรี ยนเป็ นกลุ่ม ๆ ละ 3 คน 4.1 แบ่งกลุ่มเป็ นกลุ่ม ๆ ละ 3 คน 1.ใบตรวจการปฏิบตั ิงาน
4.2 ครู ให้นกั ศึกษาปฏิบตั ิใบงานที 8 4.2 นักศึกษาปฏิบตั ิใบงานที 8 หน่วยที 9
4.3 ควบคุมการปฏิบตั ิงาน 4.3 ปฏิบตั ิงานตามใบงาน
4.4 ตรวจผลงานของนักศึกษา 4.4 ส่งผลงานการปฏิบตั ิ
5. ขั/นการประเมินผล
5.1 ครู แจกใบประเมินผลหลังเรี ยนหน่วยที 8 5.1 รับใบประเมินผลหลังเรี ยนหน่วยที 9 1. แบบทดสอบหลังเรี ยน
5.2 ดูแลนักเรี ยนไม่ให้ทุจริ ต 5.2 ทําแบบทดสอบหลังเรี ยน หน่วยที 9
5.3 เมือครบเวลาทีกําหนดรับแบบทดสอบคืน 5.3 เมือครบเวลาทีกําหนดส่งแบบทดสอบคืน
6. ขั/นมอบหมายงาน
6.1 ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับ 6.1 รับมอบหมายงาน 1. ใบมอบงานหน่วยที 9
วงจรเลื.อนข้อมูลและหน่วยความจํา และ
ทํา แบบฝึ กหัดท้ายหน่วยเรี ยน
หน่วยที 9 ส่งในอาทิตย์ต่อไป
7. ขั/นตรวจสอบความเรียบร้ อย
7.1 ตรวจความเรี ยบร้อยของชุดฝึ กและความ 7.1 ช่วยกันจัดเก็บชุดฝึ กและทําความสะอาด 1.ใบตรวจสอบความ
เรี ยบร้อยของห้องเรี ยนห้องปฏิบตั ิงาน ห้องเรี ยนห้องปฏิบตั ิงานให้เรี ยบร้อย เรี ยบร้อย

วงจรดิจิตอล
136
งานทีมอบหมายหรือกิจกรรม
ก่ อนเรี ยน
- นักศึกษาทําแบบทดสอบก่อนเรี ยนหน่วยที 9
ขณะเรียน
ให้นกั ศึกษาอภิปรายเกียวกับและสรุ ปเกียวกับวงจรเลื. อนข้อมูลและหน่วยความจําทีใช้ใน
งานดิจิตอลและอิเล็กทรอนิกส์
หลังเรียน
ให้นกั เรี ยนไปค้นคว้าเพิมเติมเกียวกับวงจรเลื.อนข้อมูลและหน่วยความจําและทําแบบฝึ กหัด
ท้ายหน่วยเรี ยนหน่วยที 8 ส่ งในอาทิตย์ต่อไป
สื อการเรี ยนการสอน
1. หนังสื อเรี ยนวงจรดิจิตอล หน่วยที 9 เรื องวงจรเลื.อนข้อมูลและหน่วยความจํา
2. power point เรื องวงจรเลื.อนข้อมูลและหน่วยความจํา
3. แบบฝึ กหัดท้ายหน่วยที 9
4. ของจริ ง (เครื องมือวัด, อาร์ , ซี , ทรานซิ สเตอร์ , ไอซี ตามวงจรใบงานการทดลองที 8)
การวัดผลการเรียน
ก่ อนเรี ยน
ทดสอบก่อนเรี ยน (Pre-test) โดยใช้ขอ้ สอบบทที 9 จํานวน 10 ข้อ
ขณะเรียน
ถาม – ตอบปั ญหา , ความสนใจ , ความตังใจ , การอภิปราย
หลังเรียน
ทดสอบหลังเรี ยน (Post-test) โดยใช้ขอ้ สอบหน่วยที 9 จํานวน 10 ข้อ
การประเมินผล
1. การประเมินผลโดยใช้แบบประเมินผลหลังการเรี ยนหน่วยที 9 จํานวน 10 ข้อ (แบบเลือกตอบ )
2. สังเกตการมีส่วนร่ วมในการเรี ยน
3. สังเกตจากการตอบคําถาม / การอภิปราย
เอกสารอ้ างอิง
1. สุ ชิน ชินสี ห์. (2557). วงจรดิจิตอล
นนทบุรี : โรงพิมพ์ บริ ษทั ศูนย์หนังสื อเมืองไทย จํากัด.

วงจรดิจิตอล
137

บันทึกหลังการจัดการเรียนรู้ ของครู
วันที……….… เดือน……………..…...………. พ.ศ………….…
รหัสวิชา 2105-2007 ชือรายวิชา วงจรดิจิตอล
สาขาวิชา ช่ างอิเล็กทรอนิกส์ ระดับชัน ปวช. ปี ที 2 กลุ่มที 1,2

1. หัวข้อเนือหาทีสอน วงจรเลือนข้ อมูลและหน่ วยความจํา หน่วยที 9


2. จํานวนนักศึกษาเข้าเรี ยน ……… คน
รายละเอียดการสอน
รายละเอียด/หัวข้ อ เข้ าใจ/ ไม่ เข้ าใจ ปฏิบัติ หมายเหตุ สําหรับนักศึกษาที.ไม่เข้าใจหรื อ
เนือ/ หาทีสอน ปฏิบัติได้ (คน) ไม่ ได้ (คน) ปฏิบตั ิไม่ได้จะแก้ไขในการสอนครัQงต่อไป
1. วงจรรี จิสเตอร์ (Register) ในวันที.….....เดือน…….........…..พ.ศ…….......
1.1 รี จิสเตอร์ เลือนข้อมูล SISO โดยจะดําเนิ นการดังนีQ
1.2 รี จิสเตอร์ เลือนข้อมูล SIPO
1. ………………………….……........……
1.3 รี จิสเตอร์ เลือนข้อมูล PISO
2. …………………………….…........……
1.4 รี จิสเตอร์ เลือนข้อมูล PIPO 3. ……………………….…………............
2. ไอซี รีจิสเตอร์ เลือนข้อมูล 4. ………………………….…………........
2.1 ไอซี เบอร์ 74194 5. ………………………….……….........…

2.2 ไอซี เบอร์ 74164


ลงชื. อ…………………………….
3. หน่วยความจํา ( ....................................... )
ครู ผสู้ อน

สรุป
ผลการใช้แผนการสอน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการเรี ยนของนักเรี ยน
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
ผลการสอนของครู
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………
วงจรดิจิตอล
138

แบบฝึ กหัดท้ ายหน่ วยที 9


จงอธิบาย/แสดงวิธีการคํานวณ

1. จากรู ปที 1 จงอธิ บายหลักการทํางานของวงจรเลือนข้อมูลแบบ SISO

รู ปที 1 สําหรับคําถามข้อที 1
2. จากรู ปที 2 จงอธิ บายการทํางานของวงจร

รู ปที 2 สําหรับคําถามข้อที 2

3. จากวงจรในรู ปที 3 จงอธิ บายการเลือนข้อมูลโดยใช้ JK Flip Flop

รู ปที 3

วงจรดิจิตอล
139

4. จงอธิ บายข้อแตกต่างของหน่วยความจําแรมชนิด SRAM กับ DRAM


5. จงอธิ บายคุณลักษณะของรอมต่อไปนี
5.1 ROM
5.2 PROM
5.3 EPROM
5.4 EEPROM
6. จงอธิ บายคุณลักษณะของหน่วยความจําต่อไปนี
6.1 Hard disk
6.2 CD ROM
6.3 DVD
6.4 BD
6.5 SD card
7. จงบอกหน้าทีของพอร์ ตต่าง ๆ ของไอซี 74194 ในรู ปที 4

รู ปที 4

วงจรดิจิตอล
140
ใบประเมินผลหน่ วยที 9
วิชา วงจรดิจิตอล ชื อหน่ วย วงจรเลือนข้ อมูลและหน่ วยความจํา

คําชี/แจง ให้เลือกคําตอบทีถูกทีสุ ดแล้วทําเครื องหมาย X ลงในกระดาษคําตอบ

1. รี จิสเตอร์ ทาํ หน้าทีอะไร


ก. เก็บข้อมูลหรื อเลือนข้อมูลในระบบดิจิตอล
ข. เก็บข้อมูลหรื อนับข้อมูลในระบบดิจิตอล
ค. นับข้อมูลหรื อเลือนข้อมูลในระบบดิจิตอล
ง. เก็บข้อมูล เลือนข้อมูลและนับข้อมูลในระบบดิจิตอล
2. วงจรเลือนข้อมูลแบบ PISO มีคุณสมบัติอย่างไร
ก. ข้อมูลเข้าแบบขนาน ข้อมูลออกแบบอนุกรม
ข. ข้อมูลเข้าแบบอนุกรม ข้อมูลออกแบบขนาน
ค. ข้อมูลเข้าแบบอนุกรม ข้อมูลออกแบบอนุกรม
ง. ข้อมูลเข้าแบบขนาน ข้อมูลออกแบบขนาน
3. จากรู ปที 1 สามารถใช้ไอซี เบอร์ 74194 เป็ นวงจรเลือนข้อมูลแบบใด
Clear 1 16 Vcc
Serial input (Shift right) 2 15 QA
A 3 14 QB
B 4 13 QC Output
Parallel input
C 5
74194 12 QD
D 6 11 Clock
Serial input (Shift left) 7 10 S1
Mode
GND 8 9 S0

รู ปที 1 วงจรสําหรับข้อ 3
ก. SISO ข. SIPO
ค. PISO ง. ถูกทุกข้อ
4. หน่วยความจําหลักในคอมพิวเตอร์ หมายถึงหน่วยความจําชนิดใด
ก. ROM ข. RAM
ค. Hard disk ง. SD card
5. หน่วยความจําทีมีหลักการทํางานจากโครงสร้างของ Flip Flop ได้แก่ชนิดใด
ก. EEPROM ข. SD RAM
ค. DDR RAM ง. MMC card

วงจรดิจิตอล
141
6. ปั จจุบนั หน่วยความจําทีมีหลักทีนิยมใช้ในระบบคอมพิวเตอร์ คือหน่วยความจําชนิ ดใด
ก. EEPROM ข. SD RAM
ค. DDR RAM ง. MMC card
7. ฮาร์ ดดิสก์ถูกคิดค้นขึนครังแรกเมือใด
ก. พ.ศ. 2510 ข. พ.ศ. 2523
ค. พ.ศ. 2529 ง. พ.ศ. 2535
8. หน่วยความจําต่อไปนีชนิ ดใดทีสามารถเก็บข้อมูลได้มากทีสุ ด
ก. CD ข. BD
ค. DVD ง. DVD DL
9. หน่วยความจําต่อไปนีชนิ ดใดทีนิยมใช้ในเครื องใช้ดิจิตอลแบบพกพามากทีสุ ด
ก. SD card ข. MMC card
ค. BD ง. DVD
10. แผ่น DVD ชนิดใดทีสามารถบันทึกข้อมูลได้มากทีสุ ด
ก. DVD-R ข. DVD+R
ค. DVD+RW ง. DVD R DL

วงจรดิจิตอล
142
เฉลยใบประเมินผลหน่ วยที 9
คําตอบของแบบประเมินผลหน่วยที 9

ข้อที 1 = ก
ข้อที 2 = ก
ข้อที 3 = ง
ข้อที 4 = ค
ข้อที 5 = ข
ข้อที 6 = ค
ข้อที 7 = ข
ข้อที 8 = ข
ข้อที 9 = ก
ข้อที 10 = ง

วงจรดิจิตอล
143
แบบให้ คะแนนการปฏิบัตงิ านหน่ วยที 9
วิชา วงจรดิจิตอล
ชื อหน่ วย วงจรเลื.อนข้อมูลและหน่วยความจํา
เรือง วงจรเลื.อนข้อมูลและหน่วยความจํา

คะแนน
รายการทีประเมิน คะแนน คะแนน หมายเหตุ
เต็ม ทีได้
1. กระบวนการปฏิบัติงาน
1.1 การจัดเตรี ยมวัสดุอุปกรณ์ และเครื องมือ 1
1.2 การใช้เครื องมือได้ถูกต้อง 1
1.3 ปฏิบตั ิงานถูกต้องตามขันตอน 1
1.4 เก็บรักษาเครื องมือ และชุดทดลอง 1
2. ผลงาน
ใบงานที 8 เรื องวงจรเลื.อนข้อมูลและหน่วยความจํา
2.1 ปฏิบตั ิตามวงจรรู ปที 1 SIPO 3
2.2 ปฏิบตั ิตามวงจรรู ปที 2 SIPO 3
2.3 ปฏิบตั ิตามวงจรรู ปที 3 PIPO 3
2.4 ปฏิบตั ิตามวงจรรู ปที 4 PIPO (74194) 3
3. กิจนิสัยในการปฏิบัติงาน
3.1 การให้ความสนใจในการปฏิบตั ิงาน 1
3.2 ความปลอดภัยในการปฏิบตั ิงาน 1
3.3 ความเรี ยบร้อยหลังปฏิบตั ิงาน 1
3.4 ความร่ วมมือในกลุ่ม 1
รวม 20

ลงชือ ผูป้ ระเมิน


(.................................)

วงจรดิจิตอล
ใบตรวจแผนการสอน
วิชา วงจรดิจิตอล รหัสวิชา 2105-2007 หลักสู ตร ประกาศนียบัตรวิชาชี พ (ปวช.)
ภาคเรียนที.* ......... ปี การศึกษา .................

1. ความเห็นของผูเ้ ขียนแผนการจัดการเรี ยนรู ้


...........................................................................................................................................................................
...........................................................................................................................................................................

( ................................ )
ตําแหน่ง ครู วิทยฐานะ ...........................
2. ความเห็นของหัวหน้าแผนกวิชาอิเล็กทรอนิกส์
...........................................................................................................................................................................
...........................................................................................................................................................................

( .................................. )
หัวหน้าแผนกวิชาอิเล็กทรอนิกส์
3. ความเห็นของหัวหน้างานหลักสู ตรและการสอน
...........................................................................................................................................................................
...........................................................................................................................................................................

( ..................................... )
หัวหน้างานหลักสู ตรและการสอน
4. ความเห็นของรองผูอ้ าํ นวยการฝ่ ายวิชาการ
...........................................................................................................................................................................
...........................................................................................................................................................................

( ......................................)
รองผูอ้ าํ นวยการฝ่ ายวิชาการ
5. ความเห็นของผูอ้ าํ นวยการวิทยาลัย......................................
...........................................................................................................................................................................
...........................................................................................................................................................................

( ........................................... )
ผูอ้ าํ นวยการวิทยาลัย..........................................

You might also like