Professional Documents
Culture Documents
16X16 Vedic
16X16 Vedic
16X16 Vedic
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity vedic2 is
Port ( a,b : in STD_LOGIC_VECTOR (1 downto 0);
q : out STD_LOGIC_VECTOR (3 downto 0));
end vedic2;
begin
c1: vedic2 port map ( a(1 downto 0), b( 1 downto 0), iq1);
c2: vedic2 port map ( a(3 downto 2), b( 1 downto 0), iq2);
c3: vedic2 port map ( a(1 downto 0), b( 3 downto 2), iq3);
c4: vedic2 port map ( a(3 downto 2), b( 3 downto 2), iq4);
q( 1 downto 0)<= iq1( 1 downto 0);
iq5<= iq2+ ("00"& iq1(3 downto 2));
iq10<= "00"&iq3;
iq11<= iq4& "00";
iq13<= iq10+iq11;
iq14<= "00"& iq5;
iq15<= iq13+iq14;
q( 7 downto 2)<= iq15;
end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity vedic8 is
Port ( a,b : in STD_LOGIC_VECTOR (7 downto 0);
q : out STD_LOGIC_VECTOR (15 downto 0));
end vedic8;
begin
c1: vedic4 port map ( a(3 downto 0), b( 3 downto 0), iq1);
c2: vedic4 port map ( a(7 downto 4), b( 3 downto 0), iq2);
c3: vedic4 port map ( a(3 downto 0), b( 7 downto 4), iq3);
c4: vedic4 port map ( a(7 downto 4), b( 7 downto 4), iq4);
q( 3 downto 0)<= iq1( 3 downto 0);
iq5<= iq2+ ("0000"& iq1(7 downto 4));
iq10<= "0000"&iq3;
iq11<= iq4& "0000";
iq13<= iq10+iq11;
iq14<= "0000"& iq5;
iq15<= iq13+iq14;
q( 15 downto 4)<= iq15;
end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity vedic16 is
Port ( a,b : in STD_LOGIC_VECTOR (15 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end vedic16;
iq10<= "00000000"&iq3;
iq11<= iq4& "00000000";
iq13<= iq10+iq11;
iq14<= "00000000"& iq5;
iq15<= iq13+iq14;
q(31 downto 8)<= iq15;
end Behavioral;