Download as pdf or txt
Download as pdf or txt
You are on page 1of 341

‫أساسيات النظم الرقمية‬

‫أساسيات األنظمة الرقمية‬

‫الدكتور المهندس‬
‫خالد بكرو‬

‫‪1‬‬
‫أساسيات النظم الرقمية‬

‫▪ الطبعة األولى ‪® 2018‬‬

‫الرقم الدولي ‪978-9933-13-285-9 :ISBN‬‬ ‫▪‬

‫جميع الحقوق محفوظة‬ ‫▪‬

‫الناشر‪ :‬شعاع للنشر والعلوم‬ ‫▪‬

‫حارة الرباط ‪ – 2‬المنطقة ‪ – 12‬حي السبيل ‪2‬‬


‫تلفاكس‪00963 )21( 2643545 :‬‬
‫هاتف‪00963 )21( 2643546 :‬‬
‫سورية – حلب‬
‫ص ‪ .‬ب ‪7875‬‬

‫لمزيد من المعلومات ولشراء كتب دار الدار مباشرة على االنترنت‬

‫‪http:// http://www.raypub.com‬‬ ‫يرجى زيارة موقعنا‬

‫‪quality@raypub.com‬‬ ‫البريد االلكتروني للقراء‪:‬‬

‫‪sales@raypub.com‬‬ ‫البريد االلكتروني للزبائن‪:‬‬


‫‪orders@raypub.com‬‬ ‫البريد االلكتروني لدور النشر‪:‬‬

‫‪2‬‬
‫أساسيات النظم الرقمية‬

‫مميزات الكتاب‬

‫يعتبر األحدث من نوعه في المكتبة العربية‪.‬‬


‫يقدم المعلومة األحدث واألدق بشكل سهل وبسيط‪.‬‬
‫يغطي معظم المواضيع األساسية التي يحتاجها الطالب أو القارئ في علم اإللكترونيات الرقمية‬
‫بأسلوب سهل وبسيط‪.‬‬
‫يجمع ما بين العرض النظري والمخطط الصندوقي والرمز المنطقي للدائرة‪.‬‬
‫يقدم شرحا ً عن المبادئ النظرية والقواعد المتبعة واألمور التي يتوجب مراعاتها أثناء التصميم‬
‫اإللكتروني الرقمي‪.‬‬
‫يفيد المتخصص والمبتدىء‪.‬‬
‫ال يحتاج إلى خلفية كبيرة في العلوم الرياضية أو االلكترونية‪.‬‬
‫يمكن أن يكون منهج أكاديمي‪ ،‬أو مرجع عام‪.‬‬
‫يمكن دراسة كل فصل بشكل مستقل‪.‬‬
‫يركز على المفهوم ويدعمه بعدد من األمثلة والصور والمخططات التوضيحية الالزمة‪.‬‬
‫يساعد في تركيز األفكار من خالل مجموعة من االختبارات واألسئلة في نهاية كل فصل‪.‬‬
‫يتميز بتقديمه شرح عن شرائح الدوائر االلكترونية المستخدمة‪.‬‬

‫‪3‬‬
‫أساسيات النظم الرقمية‬

‫مفاهيم أساسية ‪13‬‬

‫‪29‬‬ ‫‪ 1-4 15‬ترقيم أطراف الشرائح املتكاملة‬ ‫مقدمة‬ ‫‪-1‬‬


‫تصنيف الدوائر املتكاملة حسب كثافة‬
‫‪30‬‬ ‫‪2-4‬‬ ‫‪15‬‬ ‫الكميات الرقمية والتماثلية‬ ‫‪-2‬‬
‫املكوانت (التعقيد)‬
‫‪30‬‬ ‫‪ 3-4 16‬تكنولوجيا الدوائر املتكاملة‬ ‫‪ 1-2‬مميزات التمثيل الرقمي‬
‫‪ -5 17‬األجهزة املستخدمة يف اختبار الدوائر الرقمية ‪31‬‬ ‫‪ 2-2‬النظام اإللكرتوين التماثلي‬
‫مبني الذبذابت أو راسم اإلشارة‬ ‫استخدام الطريقة الرقمية والتماثلية يف‬
‫‪31‬‬ ‫‪1-5‬‬ ‫‪18‬‬ ‫‪3-2‬‬
‫(األوسيلوسكوب)‬ ‫نظام واحد‬
‫األرقام الثنائية‪ ،‬املستوايت املنطقية‬
‫‪32‬‬ ‫‪ 2-5 18‬احمللل املنطقي‬ ‫‪-3‬‬
‫واملوجات الرقمية‬
‫‪33‬‬ ‫‪ 3-5 19‬املبني (اجملس) املنطقي‬ ‫‪ 1-3‬األرقام الثنائية‬
‫‪33‬‬ ‫‪ 4-5 19‬حاقن النبضات‬ ‫‪ 2-3‬املستوايت املنطقية‬
‫‪33‬‬ ‫‪ 5-5 20‬جهاز القياس متعدد األغراض ملتيميرت‬ ‫‪ 3-3‬املوجات الرقمية‬
‫املوجات الرقمية اليت حتمل املعلومات‬
‫‪34‬‬ ‫‪ 6-5 23‬مولد الذبذابت (النبضات)‬ ‫‪4-3‬‬
‫الثنائية‬
‫‪34‬‬ ‫‪ 7-5 24‬مصدر الطاقة‬ ‫‪ 5-3‬املخططات الزمنية‬
‫‪35‬‬ ‫تدريبات‬ ‫‪25‬‬ ‫‪ -4‬الدوائر املتكاملة‬
‫‪36‬‬ ‫املصطلحات واختصاراهتا‬

‫‪4‬‬
‫أساسيات النظم الرقمية‬

‫متثيل البياانت يف األنظمة الرقمية ‪39‬‬

‫‪50‬‬ ‫الشفرة العشرية املشفرة ثنائياً‬ ‫‪3-5‬‬ ‫‪41‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫الشفرة العشرية املوسعة املشفرة ثنائياً‬
‫‪51‬‬ ‫‪4-5‬‬ ‫‪41‬‬ ‫البياانت والتعليمات اإللكرتونية‬ ‫‪-2‬‬
‫لتبادل املعلومات‬
‫املعلومة من خصائص الكون والرتميز‬
‫‪51‬‬ ‫الشفرة الرمادية " غراي "‬ ‫‪5-5‬‬ ‫‪41‬‬ ‫‪1-2‬‬
‫األمان هلا‬
‫‪52‬‬ ‫الرتميز أزمو للحروف العربية‬
‫نظام ر‬ ‫‪6-5‬‬ ‫‪42‬‬ ‫نظام الرتميز‬ ‫‪-3‬‬
‫‪52‬‬ ‫متثيل الرموز‬ ‫‪7-5‬‬ ‫‪42‬‬ ‫‪ 1-3‬متثيل املعلومات يف األنظمة الرقمية‬
‫‪53‬‬ ‫الرتميز آسكي‬
‫كيفية متثيل كلمة يف نظام ر‬ ‫‪8-5‬‬ ‫‪43‬‬ ‫الرتميز والترعمية واستخراج املعمى‬
‫‪ 2-3‬علم ر‬
‫‪55‬‬ ‫متثيل األعداد احلقيقية‬ ‫‪9-5‬‬ ‫‪43‬‬ ‫الرتميز‬
‫‪ 3-3‬القواعد الرئيسية لعملية ر‬
‫‪56‬‬ ‫متثيل األعداد الصحيحة‬ ‫‪10-5‬‬ ‫‪43‬‬ ‫الرتميز‬
‫‪ 4-3‬أهداف ر‬
‫‪56‬‬ ‫أنواع األعداد الصحيحة‬ ‫‪1-10-5‬‬ ‫‪44‬‬ ‫التمثيل الرقمي للبياانت‬ ‫‪-4‬‬
‫‪56‬‬ ‫‪ 1-1-10-5 44‬األعداد الصحيحة بدون إشارة‬ ‫‪ 1-4‬نظام الرتميز الثرنائي‬
‫‪59‬‬ ‫‪ 2-1-10-5 45‬األعداد الصحيحة ذات اإلشارة‬ ‫‪ 2-4‬لغة عمل احلاسب الثنائية‬
‫‪62‬‬ ‫إجياد مقدار العدد السالب‬ ‫‪2-10-5‬‬ ‫‪46‬‬ ‫‪ 3-4‬البايت ومشتقاته‬
‫مدى القيم اليت ميكن ختزينها يف مساحة‬
‫‪65‬‬ ‫‪3-10-5‬‬ ‫‪47‬‬ ‫‪ -5‬أنظمة متثيل وترميز البياانت‬
‫معينة يف صورة عدد صحيح إبشارة‬
‫‪67‬‬ ‫تدريبات‬ ‫‪48‬‬ ‫الرتميز املعياري آسكي‬
‫‪ 1-5‬نظام ر‬
‫‪69‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪49‬‬ ‫‪ 2-5‬الرتميز املوحد يونيكود‬

‫األنظمة العددية ‪71‬‬

‫‪74‬‬ ‫نظام العد العشري‬ ‫‪3-2‬‬ ‫‪73‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫‪76‬‬ ‫نظام العد الثنائي‬ ‫‪4-2‬‬ ‫‪73‬‬ ‫األنظمة العددية‬ ‫‪-2‬‬
‫حتويل األعداد بني النظامني الثنائي‬
‫‪76‬‬ ‫‪5-2‬‬ ‫‪73‬‬ ‫العدد الرقم‬ ‫‪1-2‬‬
‫والعشري‬
‫حتويل عدد من نظام العد الثنائي إىل‬
‫‪77‬‬ ‫‪1-5-2‬‬ ‫‪74‬‬ ‫متثيل األعداد‬ ‫‪2-2‬‬
‫عدد بنظام العد العشري‬

‫‪5‬‬
‫أساسيات النظم الرقمية‬

‫حتويل العدد من النظام الست عشري‬ ‫حتويل العدد العشري الصحيح إىل‬
‫‪100‬‬ ‫‪1-11-2‬‬ ‫‪78‬‬ ‫‪2-5-2‬‬
‫إىل النظام العشري‬ ‫النظام الثنائي‬
‫حتويل العدد من النظام العشري إىل‬ ‫حتويل العدد الكسري الثنائي إىل النظام‬
‫‪100‬‬ ‫‪2-11-2‬‬ ‫‪81‬‬ ‫‪3-5-2‬‬
‫النظام الست عشري‬ ‫العشري‬
‫حتويل العدد من النظام الست عشري‬ ‫حتويل العدد العشري الكسري إىل‬
‫‪102‬‬ ‫‪3-11-2‬‬ ‫‪82‬‬ ‫‪4-5-2‬‬
‫إىل النظام الثنائي‬ ‫النظام الثنائي‬
‫التحويل من النظام الثنائي إىل النظام‬
‫‪103‬‬ ‫‪4-11-2‬‬ ‫‪84‬‬ ‫العمليات احلسابية يف النظام الثنائي‬ ‫‪6-2‬‬
‫الست عشري‬
‫التحويل بني النظام الثماين والنظام‬
‫‪104‬‬ ‫‪5-11-2‬‬ ‫‪92‬‬ ‫نظام العد الثماين‬ ‫‪7-2‬‬
‫الست عشري‬
‫العمليات احلسابية يف النظام الست‬ ‫حتويل األعداد بني النظامني الثماين‬
‫‪105‬‬ ‫‪12-2‬‬ ‫‪92‬‬ ‫‪8-2‬‬
‫عشري‬ ‫والعشري‬
‫حتويل العدد من النظام الثماين إىل‬
‫‪108‬‬ ‫متثيل األعداد بواسطة الفاصلة العائمة‬ ‫‪-3‬‬ ‫‪92‬‬ ‫‪1-8-2‬‬
‫النظام العشري‬
‫األرقام الثنائية املمثلة بواسطة الفاصلة‬ ‫حتويل العدد من النظام العشري إىل‬
‫‪109‬‬ ‫‪1-3‬‬ ‫‪93‬‬ ‫‪2-8-2‬‬
‫العائمة ذات الدقة األحادية‬ ‫النظام الثماين‬
‫حتويل العدد من النظام الثماين إىل‬
‫‪111‬‬ ‫األرقام املؤشرة‬ ‫‪-4‬‬ ‫‪94‬‬ ‫‪3-8-2‬‬
‫النظام الثنائي‬
‫التحويل من النظام الثنائي إىل النظام‬
‫‪111‬‬ ‫اإلشارة واملقدار‬ ‫‪1-4‬‬ ‫‪95‬‬ ‫‪4-8-2‬‬
‫الثماين‬
‫‪112‬‬ ‫العمليات احلسابية على األرقام املؤشرة‬ ‫‪2-4‬‬ ‫‪96‬‬ ‫العمليات احلسابية يف النظام الثماين‬ ‫‪9-2‬‬
‫‪113‬‬ ‫تدريبات‬ ‫‪98‬‬ ‫‪ 10-2‬نظام العد الست عشري‬
‫حتويل األعداد بني النظامني الست‬
‫‪115‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪100‬‬ ‫‪11-2‬‬
‫عشري والعشري‬

‫البواابت املنطقية ‪117‬‬

‫‪120‬‬ ‫البواابت املنطقية األساسية‬ ‫‪-3‬‬ ‫‪119‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫‪120‬‬ ‫عملية النفي‪ ،‬املتمم املنطقي‪NOT‬‬ ‫‪1-3‬‬ ‫‪119‬‬ ‫مستوايت اإلشارة املنطقية‬ ‫‪-2‬‬

‫‪6‬‬
‫أساسيات النظم الرقمية‬

‫‪131‬‬ ‫العملية نور ‪NOR‬‬ ‫‪5-3‬‬ ‫‪121‬‬ ‫‪ 1-1-3‬تطبيق على بوابة‬


‫‪133‬‬ ‫‪ 1-5-3‬تطبيق على البوابة نور‬ ‫‪122‬‬ ‫‪ 2-3‬عملية الضرب املنطقي‪AND‬‬
‫‪134‬‬ ‫‪ 2-5-3‬اخلاصية العامة للبوابة نور‬ ‫‪124‬‬ ‫‪ 1-2-3‬تطبيق على البوابة آند‬
‫‪136‬‬ ‫العملية أور املقصورة‪ ،‬اكس أور ‪XOR‬‬ ‫‪6-3‬‬ ‫‪125‬‬ ‫‪ 3-3‬عملية اجلمع املنطقي ‪OR‬‬
‫‪137‬‬ ‫‪ 1-6-3‬تطبيق على البوابة أور املقصورة‬ ‫‪126‬‬ ‫‪ 1-3-3‬تطبيق على البوابة أور‬
‫‪ 7-3‬العملية نور املقصورة‪،‬اكس نور ‪137 XNOR‬‬ ‫‪127‬‬ ‫‪ 4-3‬العملية انند ‪NAND‬‬
‫‪141‬‬ ‫تدريبات‬ ‫‪129‬‬ ‫‪ 1-4-3‬تطبيق على البوابة انند‬
‫‪145‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪130‬‬ ‫‪ 2-4-3‬اخلاصية العامة للبوابة انند‬

‫اجلرب البولياين وتبسيط التعابري البوليانية ‪147‬‬

‫‪158‬‬ ‫‪ 1-1-3 149‬قوانني جرب بول‬ ‫مقدمة‬ ‫‪-1‬‬


‫‪160‬‬ ‫‪ 2-1-3 149‬قواعد جرب بول‬ ‫العمليات والتعابري املنطقية‬ ‫‪-2‬‬
‫‪165‬‬ ‫‪ 3-1-3 149‬نظرايت دميورغان‬ ‫املتغري املنطقي‬ ‫‪1-2‬‬
‫‪167‬‬ ‫‪ -4‬العمليات والتعابري املنطقية‬ ‫‪150‬‬ ‫العمليات املنطقية‬ ‫‪2-2‬‬
‫‪167‬‬ ‫تبسيط التعابري املنطقية ابستخدام جرب بول‬ ‫‪1-4‬‬ ‫‪150‬‬ ‫الضرب البولياين (املنطقي) ‪AND‬‬ ‫‪3-2‬‬
‫‪170‬‬ ‫األشكال القياسية للتعابري البوليانية‬ ‫‪2-4‬‬ ‫‪150‬‬ ‫اجلمع البولياين (املنطقي) ‪OR‬‬ ‫‪4-2‬‬
‫‪170‬‬ ‫‪ 1-2-4‬شكل جمموع مضاريب ‪SOP‬‬ ‫‪151‬‬ ‫التعبري املنطقي‬ ‫‪5-2‬‬
‫‪172‬‬ ‫‪ 2-2-4‬شكل مضروب جماميع ‪POS‬‬ ‫‪152‬‬ ‫جدول احلقيقة‬ ‫‪6-2‬‬
‫التحويل من الشكل القياسي جملموع‬
‫‪175‬‬ ‫‪3-2-4‬‬ ‫‪153‬‬ ‫الدائرة املنطقية‬ ‫‪7-2‬‬
‫مضاريب للشكل القياسي ملضروب جماميع‬
‫‪180‬‬ ‫خمططات كارنوف‬ ‫‪-5‬‬ ‫‪153‬‬ ‫التحليل البولياين للدوائر املنطقية‬ ‫‪8-2‬‬
‫‪182‬‬ ‫جتاور اخلالاي‬ ‫‪1-5‬‬ ‫متثيل دائرة منطقية من جدول احلقيقة ‪155‬‬ ‫‪9-2‬‬
‫تبسيط التعابري املنطقية ابستخدام خمططات‬ ‫استنتاج التعبري البولياين املنطقي من‬
‫‪184‬‬ ‫‪2-5‬‬ ‫‪156‬‬ ‫‪10-2‬‬
‫كارنوف‬ ‫دائرة منطقية‬
‫استنتاج التعبريين‪ ،‬جمموع املضاريب‬
‫‪188‬‬ ‫‪3-5‬‬ ‫‪157‬‬ ‫‪ 11-2‬املخطط املنطقي‬
‫ومضروب اجملاميع من خمطط كارنوف‬
‫‪192‬‬ ‫تدريبات‬ ‫‪158‬‬ ‫جرب بول‬ ‫‪-3‬‬
‫‪199‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪158‬‬ ‫قواعد وقوانني جرب بول‬ ‫‪1-3‬‬

‫‪7‬‬
‫أساسيات النظم الرقمية‬

‫الدوائر املنطقية التوافقية ‪201‬‬

‫‪216‬‬ ‫حملل الشفرة أبربع خاانت‬ ‫‪2-3-2‬‬ ‫‪203‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫حملل الشفرة العشرية املشفرة ثنائياً‬
‫‪218‬‬ ‫‪3-3-2‬‬ ‫‪203‬‬ ‫الدوائر املنطقية التوافقية‬ ‫‪-2‬‬
‫‪ BCD‬إىل عشري‬
‫حملل الشفرة العشرية املشفرة ثنائياً‬
‫‪219‬‬ ‫‪3-3-2‬‬ ‫‪204‬‬ ‫دوائر اجلمع‬ ‫‪1-2‬‬
‫‪ BCD‬إىل القطع السبع‬
‫‪220‬‬ ‫املشفرات‬ ‫‪4-2‬‬ ‫‪204‬‬ ‫‪ 1-1-2‬اجلامع النصفي‬
‫املشفر من عشري إىل الشفرة العشرية‬
‫‪221‬‬ ‫‪1-4-2‬‬ ‫‪205‬‬ ‫‪ 2-1-2‬اجلامع الكامل‬
‫املشفرة ثنائياً ‪BCD‬‬
‫‪225‬‬ ‫الناخب (منتقي البياانت)‬ ‫‪5-2‬‬ ‫‪208‬‬ ‫‪ 3-1-2‬اجلامع الثنائي التفرعي‬
‫‪229‬‬ ‫موزع البياانت‬ ‫‪6-2‬‬ ‫‪212‬‬ ‫‪ 2-2‬املقارانت‬
‫‪233‬‬ ‫تدريبات‬ ‫‪215‬‬ ‫حملالت الشفرة‬ ‫‪3-2‬‬
‫‪240‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪215‬‬ ‫‪ 1-3-2‬حملل الشفرة البسيط‬

‫املاسكات والقالابت ‪243‬‬

‫‪257‬‬ ‫القالب جي كا (‪)J-K‬‬ ‫‪2-3‬‬ ‫‪245‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫‪259‬‬ ‫عملية القدح عند احلافة‬ ‫‪3-3‬‬ ‫‪245‬‬ ‫الدوائر املنطقية التعاقبية‬ ‫‪-2‬‬
‫‪260‬‬ ‫املداخل غري املتزامنة‬ ‫‪4-3‬‬ ‫‪246‬‬ ‫دوائر املاسكات‬ ‫‪1-2‬‬
‫‪262‬‬ ‫خصائص التشغيل للقالابت‬ ‫‪5-3‬‬ ‫‪246‬‬ ‫املاسك توضيع‪ -‬تصفري ‪S-R‬‬ ‫‪1-1-2‬‬
‫‪265‬‬ ‫تطبيقات القالابت‬ ‫‪6-3‬‬ ‫‪251‬‬ ‫‪ 2-1-2‬املاسك توضيع تصفري ‪ S-R‬املتزامن‬
‫‪267‬‬ ‫تدريبات‬ ‫‪252‬‬ ‫‪ 3-1-2‬املاسك دي ‪ D‬املتزامن‬
‫‪270‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪254‬‬ ‫القالابت‬ ‫‪-3‬‬
‫‪255‬‬ ‫القالب دي (‪)D‬‬ ‫‪1-3‬‬

‫‪8‬‬
‫أساسيات النظم الرقمية‬

‫الدوائر املنطقية التعاقبية ‪273‬‬

‫مسجالت االزاحة ادخال على‬


‫‪285‬‬ ‫‪3-3-2‬‬ ‫‪275‬‬ ‫مقدمة‬ ‫‪-1‬‬
‫التوازي‪/‬اخراج على التسلسل‬
‫مسجالت االزاحة ادخال على‬
‫‪287‬‬ ‫‪4-3-2‬‬ ‫‪275‬‬ ‫حتليل الدوائر التعاقبية‬ ‫‪-2‬‬
‫التوازي‪/‬اخراج على التوازي‬
‫‪289‬‬ ‫مسجالت االزاحة ثنائية االجتاه‬ ‫‪4-2‬‬ ‫‪277‬‬ ‫املسجالت‬ ‫‪1-2‬‬
‫‪289‬‬ ‫تطبيقات مسجالت االزاحة‬ ‫‪5-2‬‬ ‫‪278‬‬ ‫‪ 1-1-2‬الكتابة يف املسجالت والقراءة منها‬
‫‪292‬‬ ‫التأخري الزمين‬ ‫‪1-5-2‬‬ ‫‪278‬‬ ‫‪ 2-1-2‬نقل البياانت ما بني املسجالت‬
‫حتويل البياانت من الشكل التسلسلي‬
‫‪293‬‬ ‫‪2-5-2‬‬ ‫‪279‬‬ ‫مسجل االزاحة‬ ‫‪2-2‬‬
‫إىل الشكل على التوازي‬
‫أنواع مسجل االزاحة حسب طريقة‬
‫‪298‬‬ ‫تدريبات‬ ‫‪280‬‬ ‫‪3-2‬‬
‫إدخال وإخراج البياانت‬
‫مسجالت االزاحة ادخال على‬
‫‪301‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪280‬‬ ‫‪1-3-2‬‬
‫التسلسل‪/‬اخراج على التسلسل‬
‫مسجالت االزاحة ادخال على‬
‫‪283‬‬ ‫‪2-3-2‬‬
‫التسلسل‪/‬اخراج على التوازي‬

‫الع ردادات ‪303‬‬

‫‪314‬‬ ‫الع ردادات املتزامنة‬ ‫‪2-1-2‬‬ ‫‪305‬‬ ‫مقدمة‬ ‫‪-1‬‬


‫‪314‬‬ ‫‪ 1-2-1-2 305‬الع رداد الثنائي املتزامن خبانتني‬ ‫الع ردادات‬ ‫‪-2‬‬
‫الع ردادات املتزامنة من نوع‬
‫‪316‬‬ ‫‪3-1-2‬‬ ‫‪305‬‬ ‫أنواع الع رددات‬ ‫‪1-2‬‬
‫التصاعدي‪/‬التنازيل‬
‫‪320‬‬ ‫تصميم الع ردادات املتزامنة‬ ‫‪3-1-2‬‬ ‫‪306‬‬ ‫الع ردادات غري املتزامنة‬ ‫‪1-1-2‬‬
‫‪329‬‬ ‫تدريبات‬ ‫‪306‬‬ ‫‪ 1-1-1-2‬الع رداد الثنائي غري املتزامن خبانتني‬
‫‪333‬‬ ‫املصطلحات واختصاراهتا‬ ‫‪312‬‬ ‫‪ 2-1-1-2‬الع ردادات غري املتزامنة ألي قاعدة‬

‫املراجع ‪335‬‬

‫‪9‬‬
‫أساسيات النظم الرقمية‬

‫بسم اهلل الرمحن الرحيم‬


‫ك َل عِلْ َم ََلَا إ َل َما َع َل ْم َتناَ‬
‫ُ ْ َ َ َ‬
‫‪ ‬سبحان‬
‫‪‬‬ ‫ِ‬
‫[البقرة‪]32/2 :‬‬

‫‪‬‬
‫‪‬‬
‫بعد الغزو الذي قامت به األجهزة الرقمية جملتمعنا وبيئتنا‪ ،‬ودخوهلا أدق تفاصيل حياتنا‪ ،‬بل ومنها من دخل أجسادان بعد‬
‫أن أصبح ابالمكان زراعة الشرائح االلكرتونية يف جسم االنسان‪ ،‬أصبحت املواد العلمية اليت تتحدث عن أساسيات عمل هذه‬
‫األنظمة الرقمية ثقافة عامة تفيد اجلميع وحتسن من تعاملهم مع البيئة الرقمية احمليطة هبم‪.‬‬

‫يقدم هذا الكتاب شرحاً عن املبادئ النظرية والقواعد املتبعة واألمور اليت يتوجب مراعاهتا أثناء التصميم اإللكرتوين‬
‫الرقمي‪ ،‬ويغطي معظم املواضيع األساسية اليت حيتاجها الطالب أو القارئ يف علم اإللكرتونيات الرقمية أبسلوب سهل وبسيط‪ ،‬يركز‬
‫على املفهوم ويدعمه بعدد من األمثلة والصور واملخططات التوضيحية الالزمة‪.‬‬

‫يعترب الكتاب مرجع علمي صمم ليكون كتاابً دراسياً للسنوات األوىل من كليات اهلندسة‪ ،‬إال أنه مفيد كنص تدرييب‬
‫ممتاز‪ ،‬فهو حيوي تنوعاً هائالً من املعلومات القيمة اليت اليت جتمع القواعد النظرية واألمثلة العملية وشرحاً عن الشرائح االلكرتونية‪،‬‬
‫تساعد يف بناء معظم الدوائر واألنظمة الرقمية البسيطة‪.‬‬

‫الفصل األول يعرض مقدمة عامة الكميات الرقمية والتماثلية والفرق بينهما ابالضافة إىل خصائص اإلشارة الرقمية‪ ،‬بعد ذلك‬
‫يعرض نبذة عن الدوائر املتكاملة وأنواعها‪ ،‬ومن مث يتم تقدمي فكرة سريعة عن أجهزة القياس واالختبار املستخدمة يف إظهار‬
‫اإلشارات الرقمية‪.‬‬

‫الفصل الثاين يقدم شرحاً عن كيفية متثيل البياانت يف األنظمة الرقمية من خالل تعريف عملية الرتميز وأهدافها وقواعدها وعرض‬
‫أهم أنظمة ترميز البياانت وبعض الشفرات القياسية املستخدمة يف أنظمة املعلومات‪ ،‬والتعرف على كيفية متثيل األرقام والرموز‪.‬‬

‫الفصل الثالث يقدم أنظمة العد املختلفة وكيفية التحويل من نظام آلخر وكيفية إجراء العمليات احلسابية ىف هذه األنظمة‪.‬‬

‫‪10‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الرابع يعرض البواابت املنطقية اليت تعد العناصر ّ‬


‫املكونة لألنظمة الرقمية وأهم شرائح الدوائر املتكاملة هلا‪ ،‬وأمثلةعن‬
‫استعمال البواابت املنطقية يف تطبيقات بسيطة‪.‬‬

‫الفصل اخلامس ّ‬
‫يعرفنا على أساسيات جرب بول والطرق املستخدمة يف تبسيط التعابري املنطقية وكيفية متثيل هذه التعابري يف صورة‬
‫دائرة الكرتونية من البواابت املنطقية وأبكثر من طريقة‪.‬‬

‫الفصل السادس يقوم بدراسة وحتليل وتصميم أهم الدوائر املنطقية التوافقية‪ ،‬ويعرض أهم أهم شرائح الدوائر املتكاملة هلا‪.‬‬

‫الفصل السابع يقدم شرحاً لدوائر املاسكات والقالابت من خالل دراسة ودراسة وتصميم األنواع الشهرية من القالابت وتوضيح‬
‫طريقة عملها كوهنا عناصر البناء للدوائر املنطقية التعاقبية‪.‬‬

‫الفصل الثامن يقوم بتحليل الدوائر املنطقية التعاقبية وأهم أهم الفروقات ما بني الدوائر املنطقية التوافقية والدوائر املنطقية التعاقبية‪،‬‬
‫ونعرف من خالله على املسجالت كدوائر منطقية تعاقبية وأهم أنواعها وطرق عملها وأهم تطبيقاهتا‪.‬‬
‫الفصل التاسع يقدم شرحاً مفصالً للعدادات الرقمية كأحد تطبيقات القالابت األساسية وأحد الدوائر الرقمية املستخدمة بكثرة يف‬
‫للعدادات مع أهم خواصها ومواصفاهتا‪.‬‬
‫العديد من التطبيقات‪ ،‬مع عرض للشرحية االلكرتونية املستخدمة ّ‬
‫إن كل ما وصلت إليه تقنية املعلومات اليوم‪ ،‬يعتمد على طريقة ارسال وختزين هذه املعلومات‪ ،‬أي ابستخدام الشفرة‬
‫املثاين‪ ،‬فمع الفتح البشري الذي وصل إليه اإلنسان ابستخدام ِشفرة املثاين‪ ،‬األصفار والواحدات‪ ،‬هذه ِّ‬
‫الشفرة اليت تعد إحدى‬
‫اللدين‪ ،‬فإذا أراد أي منا أن يعمل فكره يف كل شيء حوله يسأله‪ ،‬كيف حيمل هذا اهلواء والفضاء‬
‫معجزات القدرة اإلهليّة والعلم ّ‬
‫املعلومات من مكتوابت وحمكيات‪ ،‬صور اثبتة ومتحركات‪ ،‬وينقلها ملسافات هائلة‪ ،‬أيتيه اجلواب ِ‬
‫بشفرة املثاين‪ ،‬األصفار‬
‫والواحدات‪ ،‬والواحد الذي حيمل املعلومة فيها‪ ،‬توحيد للباري ‪ ‬بكلمات فيقول‪ {:‬ال إله إال أنت سبحانك }‪.‬‬

‫ألمهيّة ِشفرة املثاين كلغة عمل للحاسب ومرادفاته من األنظمة واآلالت احلديثة‪ ،‬فقد أشار إليها القرآن الكرمي بكلمة‬
‫كررها يف مثاين من اآلايت‪.‬‬ ‫{املثاين} و ّ‬
‫قال ‪:‬‬
‫يم ﴾[احلِجر‪.]87/15 :‬‬ ‫ِ‬
‫ك َس ْبعاً رم َن ال َْمثَ ِاين َوالْ ُق ْرآ َن ال َْعظ َ‬
‫﴿ َولََق ْد آتينَ َ‬
‫اَّلل ََٰذلِ َ‬
‫ك‬ ‫ودهم وقلُوهبم إِ َ َٰىل ِذ ْك ِر هِ‬
‫ني ُجلُ ُ ُ ْ َ ُ ْ‬
‫ِ‬
‫ين ََيْ َش ْو َن َرهبُ ْم ُثُه تَل ُ‬
‫يث كِ َٰتَبا ُّمتَ ََٰشبِها همثَ ِاين ت ْق َشعِ ُّر ِم ْنهُ جلُ ُ ه ِ‬
‫ود الذ َ‬ ‫ُ‬ ‫َ‬ ‫ً‬ ‫ً‬
‫َحسن ا ْحل ِد ِ‬ ‫﴿ ه‬
‫اَّللُ ن هز َل أ ْ َ َ َ‬
‫ِ‬ ‫ضلِ ِل ه‬ ‫ه َدى هِ‬
‫اَّلل ْيه ِدي بِِه َمن يَ َشاءُ َوَمن يُ ْ‬
‫[الزمر‪.]23/39 :‬‬ ‫اَّللُ فَ َما لَهُ م ْن َهاد ﴾ ّ‬ ‫ُ‬

‫‪11‬‬
‫أساسيات النظم الرقمية‬

‫فكلمة {املثاين} القرآنية هي إشارة علمية قرآنية صرحية إىل لغة ِ‬


‫وشفرة عمل احلاسب املثاين‪ ،‬األصفار والواحدات‪ ،‬اليت‬ ‫ّ‬
‫تدعى أيضاً لغة عمل اآللة (‪.)1‬‬
‫لقد بذلت ما بوسعى يف اعداد هذا الكتاب‪ ،‬وحسيب أنين حاولت أن أخرجه أبدق معلومة وأحدثها‪ ،‬وأمجل شكل وأبلغ‬
‫صورة‪ ،‬وجهدت ما استطعت‪ ،‬راجيا ‪  ‬أن يقبله من العمل الصاحل‪ ،‬وأن يكون علم ينتفع به وصدق جارية‪ ،‬ورجاء أن تنايل‬
‫دعوة خالصة ممن ينتفع به‪.‬‬
‫وهللا من وراء القصد‬
‫اسطنبول ‪2017/1/1‬‬
‫الدكتور المهندس‬
‫خالد محمود بكرو‬

‫‪ .‬مثاين القرآن الكرمي إشارة إىل ِشفرة عمل احلاسب املثاين‪ ،‬األصفار والواحدات‪ ،‬حبث للمؤلف‪.‬‬ ‫‪1‬‬

‫‪12‬‬
‫أساسيات النظم الرقمية‬

‫الفصل األول ‪1‬‬


‫مفاهيم أساسية‬
‫‪Basic Concepts‬‬

‫‪13‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫تعريف الدوائر املنطقية‪.‬‬
‫تعريف الكميات الرقمية والتماثلية‪.‬‬
‫معرفة مميزات التمثيل الرقمي‪.‬‬
‫معرفة جماالت استخدام الدوائر املنطقية‪.‬‬
‫التعرف على مستوايت اإلشارة الرقمية‪.‬‬
‫التعرف على شكل املوجات الرقمية وخصائص كل موجة‪.‬‬
‫التعرف على الدوائر املتكاملة‪.‬‬
‫التعرف على تصنيفات الدوائر املتكاملة‪.‬‬
‫التعرف على كيفية ترقيم أطراف شرائح الدوائر املتكاملة‪.‬‬
‫التعرف على املخططات الزمنية‪.‬‬
‫التعرف على األجهزة املستخدمة يف فحص واختبار الدوائر املنطقية الرقمية‪.‬‬

‫‪14‬‬
‫أساسيات النظم الرقمية‬

‫‪Introduction‬‬ ‫‪ -1‬مقدمة‬
‫إن الكلمة رقمي (‪ )digital‬مستنتجة من الطريقة اليت يؤدي هبا جهاز احلاسب عملياته‪ ،‬عن طريق عد األرقام‬
‫(‪ .) Counting Digits‬لسنوات عديدة كانت تطبيقات اإللكرتونيات الرقمية تستخدم يف أنظمة احلاسب‪ ،‬أما اليوم فإن التقنية‬
‫الرقمية مطبقة يف جمال واسع من التطبيقات ابإلضافة إىل احلاسب‪.‬‬
‫من هذه التطبيقات أجهزة التلفا ز‪ ،‬اهلواتف ونظم اإلتصاالت‪ ،‬الرادار‪ ،‬النظم العسكرية‪ ،‬نظم التوجيه‪ ،‬األجهزة الطبية‪،‬‬
‫التحكم ابلعمليات الصناعية وغريها‪ .‬التقنية الرقمية مت تطويرها من الدوائر اليت تستخدم الصممات املفرغة إىل الرتانزستورات‬
‫املنفصلة (‪ )Discrete Transistors‬إىل الدوائر املتكاملة املعقدة‪ ،‬واليت حيتوي بعضها على ماليني من الرتانزستور‪.‬‬
‫يف هذا الفصل سوف نتعرف على الكميات الرقمية والتماثلية‪ ،‬ومميزات اإلشارة الرقمية‪ ،‬وسوف نتعرف أيضاً على‬
‫مستواي ت اإلشارة وشكل املوجات الرقمية‪ ،‬وسنلقي نظرة عامة على أجهزة فحص واختبار الدوائر املنطقية‪.‬‬

‫‪Digital and Analog Quantities‬‬ ‫‪ -2‬الكميات الرقمية والتماثلية‬


‫الدوائر االلكرتونية ميكن تقسيمها إىل نوعني رئيسيني‪:‬‬
‫‪. Analog Circuits‬‬ ‫الدوائر اإللكرتونية التماثلية‬

‫‪. Digital Circuits‬‬ ‫الدوائر اإللكرتونية الرقمية‬

‫اإللكرتونيات الرقمية تتضمن الكميات مع قيم متقطعة (‪ ،)Discrete Values‬واإللكرتونيات التماثلية تتضمن الكميات‬
‫مع قيم متصلة أو مستمرة (‪ .)Continuous Values‬وبرغم أننا سوف ندرس يف هذا الكتاب األساسيات الرقمية‪ ،‬ولكن أيضاً‬
‫جيب معرفة بعض الشيء القليل عن القيم التماثلية ألن العديد من التطبيقات تتطلب النوعني معاً‪.‬‬
‫الكمية التماثلية ‪ Analog Quantity‬هي اليت هلا قيم متصلة ومستمرة‪.‬‬ ‫▪‬

‫الكمية الرقمية ‪ Digital Quantity‬هي التيي هلا جمموعة من القيم املتقطعة‪.‬‬ ‫▪‬

‫معظم األشياء اليت ميكن قياسها ككمية تظهر يف الطبيعة على شكل متاثلي‪ .‬وكمثال على ذلك‪ ،‬درجة احلرارة للهواء‬
‫تتغري على مدى متصل من القيم خالل يوم ما‪ ،‬فدرجة احلرارة لن تتغري مثالً من ‪ 70‬درجة إىل ‪ 71‬درجة حلظياً‪ ،‬ولكنها أتخذ‬
‫ابلتدريج القيم احملصورة بني ‪ 70‬درجة و ‪ ،71‬وهي متر جبميع القيم املمكنة بني هاتني القيمتني مثل ‪ 70.1‬و ‪ 70.5‬وهكذا‪ ،‬أي أن‬
‫درجة احلرارة تنساب من قيمة إىل قيمة أخرى حبيث أهنا البد أن أتخذ أي قيمة ختطر على ابلك يف املدى الذي تتغري فيه‪.‬‬
‫إذا قمنا برسم درجة احلرارة يف يوم ما من فصل الصيف‪ ،‬فسوف حنصل على منحين متصل كاملوضح ابلشكل (‪،)1-1‬‬
‫وهناك أمثلة أخرى عن الكميات التماثلية مثل الوقت‪ ،‬الضغط‪ ،‬املسافة‪ ،‬الصوت‪.‬‬

‫‪15‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )1-1‬رسم لكمية متاثلية (درجة احلرارة مع الزمن)‬

‫إذا قمنا فقط أبخذ درجة احلرارة مثالً كل ساعة بدالً من رمسها بصورة متصلة كما يف الشكل السابق‪ ،‬يكون لدينا‬
‫عينات (‪ )Sampled Values‬متثل درجة احلرارة عند نقاط منفصلة للزمن (كل ساعة)‪ ،‬على مدى ‪ 24‬ساعة‪ ،‬كما هو موضح يف‬
‫الشكل (‪)2-1‬‬

‫الشكل (‪ )2-1‬قيم العينات من أجل الكمية التماثلية يف الشكل (‪)1-1‬‬

‫هبذه الطريقة حنن ببساطة حولنا الكمية التماثلية إىل شكل ميكن اآلن حتويل ه إىل رقمي بتمثيل كل قيمة عينة حصلنا‬
‫عليها (‪ )Sampled Values‬بشفرة رقمية (‪.)Digital Code‬‬

‫مبجرد حتويل اإلشارة التماثلية إىل إشارة رقمية‪ ،‬تصبح كل عينة من العينات املوضحة الشكل (‪ )2-1‬عبارة عن رقم‬
‫يوضع ىف العادة ىف الصورة الثنائية املكونة من واحدات وأصفار‪.‬‬

‫من املهم معرفة أن الشكل (‪ )2-1‬ليس متثيالً رقمياً للكمية التماثلية‪.‬‬

‫‪16‬‬
‫أساسيات النظم الرقمية‬

‫ابلرغم من أن كل اإلشارات الطبيعية ) درجة احلرارة والصوت والضغط وشدة اإلضاءة وغريها الكثري (‪ ،‬موجودة ىف الصورة‬
‫التماثلية إال أنه ميكن وضعها ىف الصورة الرقمية متهيداً إلدخاهلا إىل احلاسب حىت ميكن معاجلتها رقمياً‪ ،‬وختزينها ىف صورة رقمية على‬
‫أي وسط من أوساط التخزين‪.‬‬

‫‪The Digital Advantage‬‬ ‫‪ 1-2‬مميزات التمثيل الرقمي‬


‫يتميز التمثيل الرقمي عن التمثيل التماثلي يف التطبيقات االلكرتونية بعدة مميزات‪ ،‬مثالً البياانت الرقمية (‪)Digital Data‬‬
‫ميكن إجراء عمليات عليها‪ ،‬وإرساهلا بكفاءة أكثر من البياانت التماثلية‪ ،‬وأيضاً البياانت الرقمية هلا مميزة عظيمة عند احلاجة إىل‬
‫ختزين البياانت‪ ،‬كمثال عند حتويل املوسيقى إىل الشكل الرقمي ميكن ختزينها على شرائط كاسيت أو على اسطواانت مدجمة (‪)CD‬‬
‫‪ ،Compact Disk‬وميكن إعادة إنتاجها بدقة كبرية‪ ،‬عنها لو كانت ممثلة على شكل متاثلي‪ ،‬الضجيج ال يؤثر على البياانت‬
‫الرقمية‪ ،‬بينما يؤثر بشكل كبري على اإلشارات التماثلية‪.‬‬

‫‪An Analog Electronic System‬‬ ‫‪ 2-2‬النظام اإللكرتوين التماثلي‬


‫كمثال على تطبيقات اإللكرتونيات الرقمية‪ ،‬سنأخذ املخطط املوضح يف الشكل (‪ ،)3-1‬هذا املخطط يبني كيف أن‬
‫املوجات الصوتية (طبيعتها متاثلية) يتم التقاطها عن طريق امليكروفون‪ ،‬وتتحول إىل جهد متاثلي صغري يقال له (‪)Audio Signal‬‬
‫إشارة صوتية‪ ،‬هذا اجلهد يتغري ابستمرار معتمداً على ارتفاع درجة الصوت وتردده‪ ،‬مث يطبق هذا اجلهد على دخل ( ‪Linear‬‬
‫‪ )Amplifier‬مكرب خطي‪.‬‬
‫خرج املكرب والذي هو عبارة عن تكبري جلهد الدخل يذهب إىل السماعة (‪ ،)Speaker‬السماعة حتول اإلشارة الصوتية‬
‫املكربة مرة أخرى إىل موجات صوتية‪ ،‬واليت هلا درجة صوت عالية مقارنة ابإلشارات األصلية امللتقطة ابمليكروفون‪.‬‬

‫الشكل (‪ )3-1‬نظام بسيط لنقل اإلشارات الصوتية إىل السماعة‬

‫‪17‬‬
‫أساسيات النظم الرقمية‬

‫‪ 3-2‬استخدام الطريقة الرقمية والتماثلية يف نظام واحد‬


‫‪A System Using Digital and Analog Methods‬‬
‫جهاز تشغيل األسطوانة املدجمة (‪ ) CD‬مثال على نظام يستخدم كل من الدوائر الرقمية والتماثلية‪ ،‬املخطط املبسط يف‬
‫الشكل (‪ )4-1‬يبني األساسيات البسيطة للتشغيل‪.‬‬
‫املوسيقى يف شكل رقمي تكون خمزنة على األسطوانة املدجمة (‪ ،)CD‬النظام الثنائي الضوئي ابستخدام أشعة الليزر‬
‫(‪ ،(Laser Diode Optical System‬يلتقط البياانت الرقمية من اإلسطوانة أثناء دوراهنا وينقلها إىل مدخل حمول اإلشارة من‬
‫الشكل الرقمي إىل الشكل التماثلي (‪ ،Digital to Analog Converter (DAC‬حمول اإلشارة حيول البياانت الرقمية إىل إشارة‬
‫متاثلية واليت هي إشارة كهرابئية مت إعادة إنتاجها من املوسيقى األصلية‪ .‬هذه اإلشارة يتم تكبريها مث يتم إرساهلا إىل السماعة‪.‬‬

‫الشكل (‪ )4-1‬األساسيات املبسطة ملشغل اإلسطواانت املدجمة (‪)CD‬‬

‫عندما يتم تسجيل املوسيقى يف البداية على األسطوانة املدجمة (‪ ،)CD‬فإن العملية املطلوبة هي عكس الطريقة اليت مت‬
‫شرحها سابقاً‪ ،‬ويتم ذلك ابستخدام حمول اإلشارة من الشكل التماثلي إىل الشكل الرقمي ‪Analog to Digital Converter‬‬
‫)‪.(ADC‬‬

‫‪ -3‬األرقام الثنائية‪ ،‬املستوايت املنطقية واملوجات الرقمية‬


‫‪Binary Digits, Logic Levels and Digital Waveforms‬‬
‫اإللكرتونيات الرقمية تتضمن الدوائر والنظم اليت هلا فقط حالتني فقط‪ ،‬هاتني احلالتني ميكن متثيلهما مبستويني خمتلفني من‬
‫اجلهد‪ :‬املرتفع (‪ ،)HIGH‬واملنخفض (‪ .) LOW‬وميكن متثيل هاتني احلالتني ابستخدام مستوايت التيار‪ ،‬فتح وغلق املفاتيح‪ ،‬أو‬
‫إبضاءة أو عدم إضاءة ملبات يف النظم الرقمية مثل أجهزة احلاسب‪ ،‬فإن تركيبة من احلالتني تسمى شفرات (‪ )Codes‬تستخدم‬
‫لتمثيل األعداد‪ ،‬الرموز‪ ،‬حروف اهلجاء‪ ،‬وغري ذلك من أنواع املعلومات‪.‬‬

‫‪18‬‬
‫أساسيات النظم الرقمية‬

‫النظام العددي املكون من حالتني يسمى ابلنظام الثنائي (‪ ،)Binary System‬وله رقمني أو رمزين فقط مها ‪،0 ،1‬‬
‫بت ‪.Bit‬‬ ‫اخلانة الثنائية أو الرقم الثنائي (‪ ،)Binary Digit‬يسمى‬

‫‪Binary Digits‬‬ ‫‪ 1-3‬األرقام الثنائية‬


‫الرقمني ‪ 0 ،1‬يف النظام الثنائي يطلق عليهم خاانت ثنائية ‪ .Bits‬يف الدوائر الرقمية هناك مستواين خملتفان للجهد‬
‫يستخدمان لتمثيل اخلاانت الثنائية (‪ .)0 ،1‬عموماً ‪ 1‬ميثل اجلهد األعلى والذي سوف نطلق عليه ‪ 0 ،High‬ميثل مبستوى اجلهد‬
‫األقل والذي سوف نطلق عليه ‪ .LOW‬وهذا النوع يسمى ابملنطق املوجب (‪.Positive Logic ،)HIGH=1, LOW=0‬‬

‫املوجب ‪.Positive-going ،Positive transitions‬‬ ‫عملية االنتقال من اجلهد املنخض إىل اجلهد األعلى تسمى االنتقال‬ ‫‪-‬‬

‫والذي يطلق عليه املنطق السالب‬ ‫‪HIGH‬‬ ‫هناك نظام آخر والذي فيه ‪ 1‬ميثل بواسطة ‪ 0 ،LOW‬ميثل بواسطة‬
‫(‪.Negative Logic ،)HIGH=0, LOW=1‬‬

‫املوجب ‪.Negative -going ،Negative transitions‬‬ ‫عملية االنتقال من اجلهد األعلى إىل اجلهد املنخض تسمى االنتقال‬ ‫‪-‬‬

‫جمموعة من اخلاانت الثنائية ‪ Bits‬وهي خليط من واحدات وأصفار ‪ 1's, 0's‬تسمى شفرات (‪ )Codes‬تستخدم لتمثيل‬
‫األعداد‪ ،‬احلروف‪ ،‬الرموز‪ ،‬األوامر أو أي شيء آخر مطلوب يف تطبيق ما‪.‬‬

‫‪Logic Levels‬‬ ‫‪ 2-3‬املستوايت املنطقية‬


‫اجلهود املستخدمة لتمثيل الواحد "‪ ،"1‬والصفر "‪ "0‬تسمى ابملستوايت املنطقية‪ ،‬وبشكل مثايل‪ ،‬ميكن القول أبن‬
‫مستوى واحد من اجلهد ميثل ‪ ،HIGH‬ومستوى آخر من اجلهد ميثل ‪ .LOW‬يف الدوائر الرقمية العملية اجلهد املرتفع ‪ HIGH‬ممكن‬
‫أن يكون أي جهد بني قيمة صغرى حمددة وقيمة عظمى حمددة‪ .‬وابملثل اجلهد املنخفض ‪ LOW‬ممكن أن يكون أي جهد بني‬
‫قيمة صغرى حمددة وقمية عظمى حمددة‪ ،‬وال ميكن حدوث أي نوع من التداخل (‪ )Overlap‬بني املستوايت املرتفعة ‪HIGH‬‬
‫املقبولة واملستوايت املنخفضة ‪ LOW‬املقبولة‪.‬‬
‫الشكل (‪ )5-1‬يوضح املدى العام للمستوايت املرتفعة واملنخفضة ‪ LOWs, HIGHs‬لدوائر رقمية‪ .‬اجلهد املتغري‬
‫)‪ VH(max‬ميثل القيمة العظمى ملستوى اجلهد املرتفع ‪ ،HIGH‬واجلهد )‪ VH(min‬ميثل القيمة الصغرى ملستوى اجلهد املرتفع‬
‫‪.HIGH‬‬

‫القيمة العظمى ملستوى اجلهد املنخفض ‪ LOW‬متثل مبستوى اجلهد )‪ ،VL(max‬والقيمة الصغرى ملستوى اجلهد‬
‫املنخفض ‪ LOW‬متثل مبستوى اجلهد )‪ .VL(min‬قيم اجلهود بني املستوى )‪ VL(max‬واملستوى )‪ VH(min‬غري مقبولة يف أي‬
‫عملية‪ .‬اجلهد يف املدى غري املقبول ميكن أن يظهر كمستوى مرتفع ‪ HIGH‬أو كمستوى منخفض ‪ LOW‬يف اي دائرة‪ .‬وبناء على‬
‫ذلك‪ ،‬هذه القيم غري املقبولة ال تستخدم أبداً‪ .‬ومثال على ذلك‪ ،‬القيم املرتفع ‪ HIGH‬لنوع خاص من الدوائر الرقمية ‪ TTL‬يكون‬
‫املدى له من ‪ ،2v-5v‬والقيم املنخفضة ‪ LOW‬تكون من املدى ‪ ،0v-0.8v‬وعليه إذا طبق على الدائرة جهد يساوي ‪ ،3.5v‬فإن‬

‫‪19‬‬
‫أساسيات النظم الرقمية‬

‫الدائرة سوف تقبل هذا اجلهد على أنه مرتفع ‪ HIGH‬أو الثنائي ‪ .1‬وإذا طبق على الدائرة جهد يساوي ‪ ،0.5v‬فإن الدائرة سوف‬
‫تقبل هذا اجلهد على أنه منخفض ‪ LOW‬أو الثنائي ‪ ،0‬لذلك يف هذا النوع من الدوائر اجلهود بني ‪ 0.8v‬إىل ‪ 2v‬ال تقبل وال‬
‫تستخدم أبداً‪.‬‬

‫الشكل (‪ )5-1‬مدى املستوايت املنقطية للجهد للدوائر الرقمية‬

‫‪Digital Waveforms‬‬ ‫‪ 3-3‬املوجات الرقمية‬


‫املوجات الرقمية تتكون من مستوايت من اجلهد تتغري بني املستوى املرتفع (احلالة) ‪ HIGH‬واملستوى املنخفض (احلالة)‬
‫‪ ،LOW‬الشكل (‪ ،)a( )6-1‬يبني نبضة مفردة موجبة اإلجتاه )‪ ، (Positive-going‬واليت ميكن توليدها عندما يكون اجلهد (أو‬
‫التيار) يتحرك من وضعه العادي يف املستوى املنخفض ‪ LOW‬إىل املستوى املرتفع ‪ HIGH‬ويعود مرة أخرى إىل املستوى املنخفض‬
‫‪.LOW‬‬

‫النبضة املفردة سالبة اإلجتاه )‪ ،(Negative-going‬واملوضحة يف الشكل (‪ ،)b( )6-1‬يتم توليدها عندما يتحرك اجلهد‬
‫(أو التيار) من وضعه العادي يف املتسوى املرتفع ‪ HIGH‬إىل املستوى املنخفض ‪ LOW‬ويعود مرة أخرى إىل املستوى املرتفع‬
‫‪ .HIGH‬عموماً فإن املوجات الرقمية هي عبارة عن سلسلة من النبضات موجبة االجتاه أو سالبة االجتاه‪.‬‬

‫الشكل (‪ )6-1‬أشكل النبضات املثالية‪ ،‬موجبة ‪ Positive-going‬يف (‪ ،)a‬سالبة ‪ Negative-going‬يف (‪)b‬‬

‫‪20‬‬
‫أساسيات النظم الرقمية‬

‫‪،Rising or leading edge‬‬ ‫كما رأينا يف الشكل (‪ ،)a( )6-1‬أن النبضة هلا حافتان‪ :‬احلافة األمامية أو الصاعدة‬
‫واليت حتدث أوالً عند الزمن ‪ ،t0‬واحلافة التابعة أو اهلابطة ‪ ،Falling or trailing edge‬واليت حتدث عند الزمن ‪ ،t1‬للنبضة موجبة‬
‫االجتاه‪ ،‬احلافة الصاعدة هي حافة البداية‪ ،‬واحلافة اهلابطة هي حافة النهاية‪.‬‬
‫النبضات املوضحة يف الشكل (‪ )6-1‬مثالية‪ ،‬ألن احلافة الصاعدة واحلافة اهلابطة يفرتض أهنما يتغريان يف زمن يساوي‬
‫الصفر (حلظياً)‪ .‬عملياً‪ ،‬هذا التغري ال ميكن أبداً أن حيدث حلظياً‪ ،‬ومع ذلك يف معظم اجملال الرقمي نستطيع أن نفرتض النبضة‬
‫املثالية‪.‬‬

‫الشكل (‪ )7-1‬خواص النبضة غري املثالية‬

‫الشكل (‪ )7-1‬يوضح نبضة غري مثالية‪ .‬الزمن املطلوب للنبضة لرتتفع من املستوى املنخفض ‪ LOW‬إىل املستوى املرتفع‬
‫إىل املستوى املرتفع‬ ‫‪HIGH‬‬ ‫والزمن املطلوب للهبوط من املستوى املنخفض‬ ‫)‪،rise time (tr‬‬ ‫‪ HIGH‬يسمى بزمن الصعود‬
‫)‪fall time (tf‬‬ ‫‪ LOW‬يسمى بزمن اهلبوط‬
‫عملياً من الشائع قياس زمن الصعود من ‪ % 10‬إىل ‪ % 90‬من إرتفاع النبضة )‪( (pulse amplitude‬قيمة النبضة)‬
‫‪pulse width‬‬ ‫وقياس زمن اهلبوط من ‪ % 90‬إىل ‪ % 10‬من إرتفاع النبضة كما هو موضح يف الشكل (‪ .)7-1‬عرض النبضة‬
‫)‪ (tw‬هو عبارة عن قياس الزمن بني نقطيت ‪ % 50‬من عند احلافة الصاعدة واحلافة اهلابطة كما هو موضح يف الشكل‪.‬‬
‫هو جتاوز احلدود عند احلافة‬ ‫‪Square wave‬‬ ‫من األشياء الغري مرغوب فيها اليت ميكن أن حتدث مع املوجات املربعة‬
‫أو التجاوز ‪ Overshoot‬كما يف الشكل السابق‪ ،‬والرنني أيضا الذي يكون‬ ‫‪Ringing‬‬ ‫الصاعدة أو النازلة والذي يدعى الرنني‬
‫عبارة عن موجة جيبية تتالشى بعد فرتة زمنية‪.‬‬

‫معظم املوجات اليت تستخدم يف األنظمة الرقمية تتكون من سلسلة من النبضات ‪ ،series of pulses‬وتسمى أحياانً‬
‫ابسم قطار النبضات ‪ ،pulse trains‬وميكن تقسيمها إىل نوعني رئيسيني‪:‬‬

‫‪21‬‬
‫أساسيات النظم الرقمية‬

‫دورية ‪ ،periodic‬الشكل املوجي للنبضات الدورية ‪ a periodic pulse waveform‬هو الذي يكرر نفسه خالل‬
‫هو معدل تكرار النبضة لنفسها ويقاس بوحدة‬ ‫)‪frequency (f‬‬ ‫فرتة زمنية اثبتة تسمى الدورة (‪ .period )T‬الرتدد‬
‫)‪.hertz (Hz‬‬
‫‪ ،a‬ابلطبع ال‬ ‫‪nonperiodic pulse waveform‬‬ ‫غري دورية ‪ ،nonperiodic‬الشكل املوجي للنبضات غري الدورية‬
‫يف عرضها أو عشوائية يف اختالف الفرتة‬ ‫‪randomly‬‬ ‫يكرر نفسه خالل فرتة اثبتة ورمبا حيتوى على نبضات عشوائية‬
‫الزمنية بني النبضات‪ .‬كمثال على كل نوع من النوعني السابقني من البضات موضح يف الشكل (‪.)8-1‬‬

‫(‪)a‬‬

‫(‪)b‬‬
‫الشكل (‪ )8-1‬أمثلة على شكل املوجات الرقمية حيث (‪ )a‬موجة دورية ويف (‪ )b‬موجة غري دورية (عشوائية)‬

‫الرتدد (‪ )f‬ملوجة من النبضات هو مقلوب الدورة (‪ .)T‬العالقة بني الرتدد والدورة ميكن التعبري عنها كما يلي‪:‬‬

‫من اخلواص اهلامة للشكل املوجي للنبضات الدورية هو ما يسمى بدورة التشغيل (‪ .)duty cycle‬دورة التشغيل هي‬
‫النسبة بني عرض النبضة )‪ (tw‬إىل الدورة (‪ ،)T‬وميكن التعبري عنه كنسبة مئوية كما يلي‪:‬‬

‫‪22‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫(‪.)ms‬‬ ‫جزء من شكل موجي الشارة موضح يف الشكل (‪ .)9-1‬مجيع القياسات املوضحة مقاسة ابستخدام وحدة امليلي اثنية‬
‫احسب قيمة كل من الدورة (‪ ،)T‬الرتدد (‪ ،)f‬دورة التشغيل (‪.)duty cycle‬‬

‫الشكل (‪ )9-1‬الشكل املوجي للمثال‬

‫احلل‪:‬‬
‫تقاس الدورة (‪ ،) T‬من احلافة الصاعدة للنبضة األوىل إىل احلافة الصاعدة للنبضة الثانية كما هو موضح يف الشكل (‪ ،)9-1‬وعليه‬
‫‪T= 10 ms‬‬ ‫تكون‪:‬‬

‫‪ 4-3‬املوجات الرقمية اليت حتمل املعلومات الثنائية‬


‫‪A Digital Waveform Carries Binary Information‬‬
‫املعلومات الثنائية ‪ Binary information‬اليت تعاجل يف األنظمة الرقمية تظهر على شكل موجات ‪ waveforms‬متثل‬
‫سلسلة من اخلاانت الثنائية (البتات) ‪ ،Bits‬عندما املوجة يف حالة مرتفعة ‪ HIGH‬يتم متثيل القيمة الثنائية " ‪ ،" 1‬وعندما املوجة يف‬
‫حالة منخفضة ‪ LOW‬يتم متثيل القيمة الثنائية " ‪ ،" 0‬كل خانة ثنائية (بت) يف السلسلة أتخذ فرتة زمنية حمددة تسمى زمن البت‬
‫‪.bit time‬‬

‫‪The Clock‬‬ ‫إشارة نبضات الساعة‬


‫يف النظم الرقمية كل أشكال املوجات ‪ waveforms‬تزامن مع شكل موجة زمنية أساسية تدعى إشارة نبضات الساعة‬
‫‪ ،The Clock‬وهي عبارة عن موجة دورية ‪ periodic waveform‬تكون موجة مربعة ‪ Square wave‬أو قطار من النبضات‬
‫‪ ،Pulse Train‬الفاصل الزمين بني النبضات (الدورة ‪ )period‬تساوي إىل زمن بت واحد‪.‬‬

‫‪23‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )10-1‬يظهر مثال عن اشارة الساعة متزامنة مع شكل موجة أخرى ‪ ،A‬حيث ‪ A‬هي عبارة عن سلسلة من‬
‫اخلاانت الثنائية (البتات)‪ ،Bit sequence represented by waveform A‬تغري حالتها عند احلافة الصاعدة‪.‬‬

‫‪A‬‬ ‫الشكل (‪ )10-1‬اشارة الساعة ‪ The Clock‬متزامنة مع اشارة أخرى‬

‫‪Timing Diagrams‬‬ ‫‪ 5-3‬املخططات الزمنية‬


‫املخطط الزمين ‪ Timing Diagram‬هو رسم ألشكال املوجات الرقمية تظهر فيه العالقة الزمنية الفعلية الثنني أو أكثر‬
‫من أشكال املوجات‪ ،‬وكيف يتغري شكل م وجة ابلنسبة ألشكال املوجات األخرى‪ ،‬وابلنظر إىل املخطط الزمين نستطيع حتديد‬
‫احلاالت املنخفضة ‪ LOW‬واملرتفعة ‪ HIGH‬جلميع أشكال املوجات عند أي نقطة حمددة من الزمن‪ ،‬والزمن الدقيق الذي تغري فيه‬
‫املوجة حالتها ابلنسبة للموجات األخرى‪.‬‬
‫الشكل (‪ ) 11-1‬مثال عن خمطط زمين يظهر أربع أشكال موجات‪ .‬من اخلطط نستطيع أن نرى أنه يوجد ثالث‬
‫أشكال موجات ‪ A,B,C‬تكون يف حالة املرتفعة ‪ HIGH‬فقط خالل زمن البت السابع ‪( 7‬املظلل)‪ ،‬ومجيعها تغري حالتها إىل احلالة‬
‫املنخفضة ‪ LOW‬عند هناية زمن البت السابع ‪.7‬‬

‫الشكل (‪ )11-1‬مثال عن خمطط زمين ألربع أشكال موجات‬

‫‪24‬‬
‫أساسيات النظم الرقمية‬

‫‪Integrated Circuits, ICs‬‬ ‫‪ -4‬الدوائر املتكاملة‬


‫الدائرة املتكاملة ‪ Integrated Circuit‬عبارة عن شرحية صغرية من السيليكون ‪ ،chip‬تكون عبارة عن دائرة الكرتونية‬
‫مصممة ألداء وظيفة حمددة‪ ،‬حتتوي على عناصر الكرتونية متصلة مثل (الرتانزستورات ‪ – transistors‬ديودات ‪- diodes‬‬
‫مقاومات ‪ - resistors‬ومكثفات ‪ ،(capacitors‬وهذه العناصر االلكرتونية متصلة داخليا مع بعضها داخل الشرحية مكونة‬
‫دائرة متكاملة‪ ،‬توضع الرقاقة على معدن او على صندوق بالستيكي وتلحم الوصالت اىل نقاط ارجل خارجية مكونة الدائرة‬
‫املتكاملة‪ ،‬الشكل (‪ )12-1‬يظهر ذلك‪ ،‬ومعظم الصناديق هلا احجام قياسية ويرتاوح عدد ارجلها ‪ Pins‬من مثانية اىل اربعة وستني‬
‫(‪.)64-08‬‬
‫وتصنف الدوائر املتكاملة إىل صنفني عريضني حسب قدرهتا على الربجمة والعمل املتكرر‪:‬‬
‫الدوائر املتكاملة ذات الوظيفة الثابتة ‪ :Fixed-function logic‬وهي اليت تكون حمددة لوظيفة واحدة‪.‬‬
‫الدوائر املتكاملة القابلة للربجمة ‪ :programmable logic‬وهي اليت ميكن اعادة برجمتها للعمل مرات متعددة‪.‬‬

‫الشكل (‪ )12-1‬دائرة متكاملة تظهر فيها الشرحية ‪ chip‬متوضعة يف صندوق بالستيكي‪ ،‬تظهر منه األرجل ‪ Pins‬ملحومة إىل‬
‫الوصالت‬
‫كل دائرة متكاملة هلا رقم معني مطبوع على سطح صندوقها لتعريفها ويقوم البائع بنشر كتاب للتعليمات حيتوي على‬
‫املعلومات الضرورية املتعلقة ابملنتجات و ذلك حسب رقمها‪ ،‬حيث األحرف (حرف أو أكثر) تعرف عائلة تقنية الدائرة املتكاملة‬
‫‪ ،logic circuit technology family‬واألرقام (اثنني أو أكثر) تعرف نوع الوظيفة املنطقية ‪ ،logic function‬الشكل (‪)13-1‬‬
‫يظهر شرحية من ‪ 7400‬وهي شرحية حتتوي أربع بواابت انند ‪.NAND‬‬

‫‪25‬‬
‫أساسيات النظم الرقمية‬

‫‪7400‬‬ ‫الشكل (‪ )13-1‬يظهر رقم الشرحية املتكاملة وهي الشرحية‬

‫وتصنف الدوائر املتكاملة الرقمية إىل عائالت حسب القطع االلكرتونية املستخدمة يف تركيبها‪ ،‬ومن العائالت املعروفة‬
‫جتارايً‪:‬‬
‫عائلة يت يت ال ‪ TTL : Transistor - Transistor Logic‬منطق ترانستور‪-‬ترانزستور‪ ،‬تستخدم يف وظائف رقمية‬
‫عديدة وهي أكثر عائالت املنطق شيوعاً‪.‬‬
‫عائلة موس ‪ (MOS) Metal – Oxide Semiconductor‬نصف الناقل اكسيد‪-‬معدن‪ ،‬تستخدم يف الدوائر اليت‬
‫تتطلب كثافة قطع عالية‪.‬‬
‫عائلة سيموس ‪ (CMOS) Complementary Metal – Oxide Semiconductor‬نصف الناقل اكسيد‪-‬معدن‬
‫املتمم‪ ،‬تستخدم يف النظم اليت تتطلب استهالك قليل من الطاقة‪.‬‬
‫تعترب تقنية سيموس ‪ CMOS‬ومنها العائلة سيموس عالية السرعة ‪ HC (high-speed CMOS) family‬من أكثر األنواع‬ ‫•‬

‫شيوعاً وطلباً من تقنيات الدوائر املتكاملة‪.‬‬


‫)‪LS (low-power Schottky‬‬ ‫تعترب العائلة ال اس شوتكي منخفض الطاقة‬ ‫‪bipolar‬‬ ‫ابلنسبة للنوع ثنائي القطبية‬ ‫•‬

‫‪ family‬األكثر استخداماً‪.‬‬

‫ابلنسبة لألحرف امللحقة برقم الشرحية جند األحرف التالية ملحقة هبا وهي‪:‬‬
‫‪Low power Schottky‬‬ ‫من نوع شوتكي منخفضة القدرة‬ ‫‪74LSXX‬‬ ‫▪‬

‫‪High-Speed CMOS‬‬ ‫‪ 74HCXX‬من نوع مسوس عالية السرعة‬ ‫▪‬

‫‪26‬‬
‫أساسيات النظم الرقمية‬

‫عندما نريد التعامل مع دائرة رقمية متكاملة ‪ IC‬من نوع ‪ TTL‬فإننا نستخدم الرقم املميز هلذه العائلة واملسبوق حبرفني أو‬
‫أكثر للداللة على الشركة املصنعة للدائرة املتكاملة‪ ،‬ويوجد منوذجان للدوائر املتكاملة ‪. TTL‬‬
‫النموذج األول‪ :‬يبدأ ابلرقم ‪ 74‬ويستخدم للعمل يف بيئة درجة حرارهتا من ‪ 0‬إىل ‪ 70‬مئوية وتعترب كلفة التصنيع هلذا‬
‫النموذج منخفض‪.‬‬
‫النموذج الثاين‪ :‬يبدأ ابلرقم ‪ 54‬وهو مشابه للنموذج األول إال أنه يستخدم يف اجملاالت العسكرية والصناعية اليت تتطلب‬
‫وثوقية عالية وتتطلب العمل يف درجات حرارة مرتفعة ما بني ‪ 55‬إىل ‪ 125‬مئوية ولذلك فإن كلفة التصنيع هلذا النموذج مرتفعة‪.‬‬

‫‪DIP‬‬ ‫الشكل (‪ )14-1‬أحد مناذج الدوائر املتكاملة من النوع ذي الصفني‬

‫الكثري منا ينظر إىل احلجم األسود ألي شرحية على أن هذا هو حجم الشرحية األصلي‪ ،‬يف احلقيقة فإن هذا الصندوق‬
‫األسود يكون عادة من مادة عازلة تغطي أو تغلف اجلسم احلقيقى للشرحية املوجود ابلداخل‪ ،‬وهذا اجلسم ىف العادة يكون صغرياً‬
‫جداً ابلنسبة هلذا الغالف األسود املوجود فقط‪ ،‬لكي يتمكن املصنع من إخراج األطراف املختلفة للشرحية بطريقة مرحية‬
‫للمستخدم‪ ،‬األشكال (‪ )14-1( )13-1()12-1‬توضح ذلك‪.‬‬
‫‪printed‬‬ ‫هناك أكثر من طريقة لتغليف الشرائح اإللكرتونية من أجل توضعها أو تثبيتها على لوحات الدوائر املطبوعة‬
‫)‪ ،circuit boards (PCBs‬أوهلا وأكثرها شيوعاً هى الشرائح ذات األطراف النافذة أو ذات التوضع ابستخدام الثقوب‬
‫‪ through-hole mounted‬يف هذه احلالة البد من عمل خرم أو فتحة لكل طرف من أطراف الشرحية على اللوحة ينفذ منها‬
‫الطرف ويتم حلامة أو تثبيته من الناحية األخرى للوحة التثبيت‪ .‬أي أن الشرحية تكون موجودة على أحد أوجه اللوحة واألطراف‬
‫مثبته على الوجه اآلخر هلا‪ ،‬وهي أكرب يف احلجم وأسهم يف االستخدام من النوع اآلخر‪ ،‬من األشكال الشائعة لذلك الشرائح‬
‫املعروفة بذات الصفني )‪ ،Dual In line Package (DIP‬وهي اليت تظهر يف الشكل (‪.)14-1‬‬
‫النوع الثاين من الشرائح هو الشرائح السطحية أو تقنية التوضع السطحي )‪ surface-mount technology (SMT‬أو‬
‫غري النافذة‪ ،‬وهي ال حتتاج لتخرمي اللوحة لتثبيتها ولكنها تثبت مباشرة على نفس السطح املوجودة عليه‪ ،‬وهذه ابلطبع تكون أفضل‬

‫‪27‬‬
‫أساسيات النظم الرقمية‬

‫ىف الكثري من التطبيقات ألهنا ستوفر مساحة على اللوحة كما أهنا ىف العادة تكون صغرية احلجم‪ ،‬هذه الشرائح تسمى الشرائح‬
‫السطحية الصغرية )‪ ،Small Outline IC, (SOIC‬الشكل (‪ )15-1‬يظهر هذا النوع مع مقارنته مع النوع ذي الصفني ‪.DIP‬‬

‫‪DIP‬‬ ‫الشكل (‪ )15-1‬الدوائر املتكاملة من النوع الشرائح السطحية الصغرية ‪ SOIC‬مع مقارنة مع الشكل ذي الصفني‬

‫‪Plastic Leaded Chip Carrier‬‬ ‫هناك أشكال عديدة من الشرائح السطحية مثل الشرائح ذات األرجل السلكية‬
‫)‪ (PLCC‬واليت تكون أطرافها عبارة عن أسالك ملفوفة حتت جسم الشرحية ىف صورة احلرف ‪ ، J‬هناك أيضا الشرحية السرياميكية‬
‫بال أطراف اليت تكون أطرافها عبارة عن أسالك الصقة يف جسم الشرحية )‪ ،Leadless Ceramic Chip Carrier (LCCC‬كما‬
‫يوجد العديد من األنواع أخرى تظهر يف الشكل (‪ )16-1‬الذي يعرض مناذج عن هذه الشرائح‪.‬‬

‫)‪(SMT‬‬ ‫الشكل (‪ )16-1‬مناذج من الشرائح هو الشرائح السطحية‬

‫‪28‬‬
‫أساسيات النظم الرقمية‬

‫األنظمة الرقمية حتتوي على الدوائر املتكاملة بسبب صغر حجمها وموثوقيتها العالية وتكلفتها املنخضة‪ ،‬واستهالكها‬
‫القليل من القدرة الكهرابئية‪ .‬وكل الدوائر املنطقية اليت سنتكلم عنها يف هذا الكتاب متاحة يف صورة شرائح الكرتونية‪.‬‬

‫‪Pin Numbering‬‬ ‫‪ 1-4‬ترقيم أطراف الشرائح املتكاملة‬


‫ىف العادة تكون هناك عالمة على سطح أى شرحية تشري إىل الطرف رقم ‪ ،1‬جيب أن تكون الشرحية أفقية والسطح‬
‫ألعلى والعالمة ابجتهاهنا مث نبدأ عد األطراف بعكس عقارب الساعة‪ ،‬والشكل (‪ )17-1‬يظهر ذلك‪.‬‬

‫الشكل (‪ )17-1‬ترقيم أطراف الشرائح املتكاملة لنوعني من الشرائح‬

‫كتاب التعليمات للدائرة املتكاملة ‪Data Sheets‬‬

‫عن طريق كتاب التعليمات ميكن احلصول على معلومات حمددة عن خصائص التشغيل لدائرة متكاملة معينة ومعظم كتب‬
‫التعليمات جمزأة إىل ثالثة أقسام رئيسة‪:‬‬

‫‪ -1‬ظروف تشغيلية ينصح هبا ‪.Recommended Operating Conditions‬‬


‫‪ -2‬خصائص كهرابئية ‪.Electrical Characteristics‬‬
‫‪ -3‬خصائص تبديلية ‪.Switching Characteristics‬‬

‫‪29‬‬
‫أساسيات النظم الرقمية‬

‫‪ 2-4‬تصـنيف الدوائر املتكاملة حسب كثافة املكوانت (التعقيد)‬


‫‪Complexity Classifications for - ICs‬‬
‫أحياان تصنف الشرائح اإللكرتونية على حسب كثافة املكوانت عليها‪ ،‬حيث تقاس هذه الكثافة بعدد الرتانزستورات‬
‫املكونة هلذه الشرحية‪ ،‬الحظ أن مجيع مكوانت أى شرحية تؤول ىف النهاية إىل جمموعة من الرتانزستورات‪ ،‬من هذه التصنيفات ما‬
‫يلى‪:‬‬
‫الصغري )‪Small-scale integration (SSI‬‬ ‫‪ -1‬الشرائح ذات التكامل‬
‫وهي الشرائح اليت حتتوي أقل من ‪ 100‬ترانزستور‪ ،‬أو ما يكافئ أقل من ‪ 10‬بواابت‪ ،‬وحتتوي شرائح هذا الصنف بعض‬
‫البواابت املنطقية والقالابت‪.‬‬

‫املتوسط )‪Medium-scale integration (MSI‬‬ ‫‪ -2‬الشرائح ذات التكامل‬


‫العدادات‬
‫وهي اليت حتتوي من ‪ 1000‬حىت ‪ 10000‬ترانزستور‪ ،‬أو ما يكافئ من ‪ 10‬حىت ‪ 100‬بوابة‪ ،‬ويتكون منها ّ‬
‫واملسجالت واملشفرات وحملالت الشفرة‪.‬‬
‫العايل )‪Large-scale integration (LSI‬‬ ‫‪ -3‬الشرائح ذات التكامل‬
‫وهي الشرائح اليت حتتوي من ‪ 10000‬حىت ‪ 100000‬ترانزستور‪ ،‬أو ما يكافئ من ‪ 100‬حىت ‪ 10000‬من البواابت‪ ،‬ويتكون‬
‫منها شرائح الذاكرة واألجيال األوىل من املعاجلات‪.‬‬

‫)‪Very Large Scale Integration (VLSI‬‬ ‫‪ -4‬الشرائح ذات التكامل العايل جدا‬
‫وهي الشرائح اليت حتتوي من ‪ 100000‬ترانزستور‪،‬حىت مليون‪ ،‬أو ما يكافئ من ‪ 10000‬حىت ‪ 100000‬من البواابت‪،‬‬
‫ويتكون منها األجيال املتوسطة من املعاجلات وشرائح الذاكرة‪.‬‬

‫)‪Ultra Large Scale Integration (LSI‬‬ ‫‪ -5‬الشرائح فائقة التكامل‬


‫وهى اليت حتتوي فوق املليون ترانزستور‪ ،‬األجيال األخرية من املعاجلات حىت كتابة هذا الكتاب وصلت كثافتها حىت ‪100‬‬
‫مليون ترانزستور‪ ،‬أو ما يكافئ أكثر من ‪ 100000‬من البواابت‪.‬‬

‫‪Integrated Circuit Technologies‬‬ ‫‪ 3-4‬تكنولوجيا الدوائر املتكاملة‬


‫‪bipolar‬‬ ‫أنواع الرتانزستورات اليت تنفذ منها مجيع الدوائر املتكاملة هي إما من ترانزستور نوع وصللة ثنائية القطبية‬
‫‪ ،)BJT( junction transistors‬أو من نوع موسفت‪ ،‬ترانزستور أتثري احلقل الكهرابئي نوع معدن – أوكسيد نصف انقل‬
‫‪.(metal-oxide semiconductor field-effect transistors) MOSFETs‬‬

‫‪30‬‬
‫أساسيات النظم الرقمية‬

‫‪CMOS (Complementary‬‬ ‫تقنية الدوائر اليت تستخدم موسفت ‪ MOSFETs‬هي مسوس‪ ،‬أي موس املتمم‬
‫)‪.MOS‬‬
‫‪TTL (transistor-‬‬ ‫تقنية الدوائر الرقمية اليت تستخدم ترانزستور نوع وصللة ثنائية القطبية تدعى يت يت ال‬
‫)‪.transistor logic‬‬

‫يب مسوس ‪.BiCMOS‬‬ ‫وهناك دوائر تستخدم التقنيتنني معاً وتسمى‬

‫‪ -5‬األجهزة املستخدمة يف اختبار الدوائر الرقمية‬


‫‪Instrumets Used in Digital Circuits Testing and‬‬
‫سنعرض سريعاً لبعض األجهزة املستخدمة بشكل كبري يف اختبارات وقياسات اإلشارات عموماً والرقمية ابلذات‪ ،‬ونؤكد‬
‫على أننا لن نشرح هذه األجهزة ابلتفصيل‪ ،‬ولكننا سنعرض شرحاً سريعاً مع صورة تبني كل واحد من هذه األجهزة‪.‬‬

‫‪Oscilloscope‬‬ ‫‪ 1-5‬مبني الذبذابت أو راسم اإلشارة (األوسيلوسكوب)‬


‫‪Oscilloscope‬‬ ‫يعترب األوسيلوسكوب‬
‫من أكثر أجهزة القياس استخداماً ىف معامل‬
‫وخمتربات اإللكرتونيات‪ ،‬إذ يستخدم‬
‫األوسيلوسكوب لبيان شكل املوجة الكهرابئية‬
‫وكيفية تغريها مع الزمن‪ ،‬حيث يعرض العالقة بني‬
‫اجلهد (أو التيار) مع الزمن‪ ،‬ميكن بذلك حتديد‬
‫تردد املوجة ومقدار جهدها وزمن االرتفاع وزمن‬
‫االخنفاض‪ ،‬كما ميكن مالحظة أى تشويه ىف‬
‫شكل املوجة‪ ،‬الشكل(‪ )18-1‬يبني صورة‬
‫األوسيلوسكوب‪ ،‬هناك بعض النماذج منها حتتوي‬
‫على ثالث قنوات أو أربع قنوات وليس أكثر من‬
‫ذلك‪.‬‬

‫‪Oscilloscope‬‬ ‫الشكل (‪ )18-1‬راسم اشارة أبربع أقنية‬

‫‪31‬‬
‫أساسيات النظم الرقمية‬

‫ميكن كذلك ابستخدام األوسيلوسكوب عرض موجتان أو أكثر ىف نفس الوقت ومالحظة العالقة بينهما‪ ،‬فمثالً ميكن‬
‫عرض دخل الدائرة وخرجها ىف نفس الوقت ومالحظة الفرق بينهما ملعرفة إذا كان هناك إزاحة ىف زاوية الطور بينهما إذا كان كل‬
‫منهما معكوس اآلخر‪ ،‬وعالقة الرتدد بني كل منهما‪.‬‬

‫‪ 2-5‬احمللل املنطقي ‪Logic Analyzer‬‬


‫يستخدم احمللل املنطقي ‪ Logic analyzer‬يف دوائر املعاجلات أو احلاسبات بكثرة نظراً لوجود الكثري من اإلشارات اليت‬
‫يلزم رؤيتها مجيعها يف نفس الوقت لتحليلها ومعرفة العالقات بينها‪ ،‬مثل اإلشارات على خطوط مسار البياانت أو مسار العناوين‬
‫أو خطوط التحكم‪ ،‬الشكل (‪ )19-1‬يعرض مثال عن حملل منطقي منوذجي‪ ،‬ميكن استخدام احمللل املنطقي يف أكثر من طريقة‬
‫لعرض اإلشارات كما يلى‪:‬‬
‫‪ -1‬يستخدم احمللل املنطقي كأوسيلوسكوب حيث ميكن عرض اإلشارة مع الزمن‪ ،‬وميكن عرض أكثر من إشارة ىف هذه الطريقة‬
‫قد يصل إىل ‪ 4‬إشارات ىف نفس الوقت‪.‬‬
‫‪ -2‬يستخدم احمللل املنطقي لبيان املخطط الزمين لعدد من اإلشارات يصل إىل ‪ 16‬و ‪ 32‬إشارة ىف نفس الوقت‪ ،‬املخطط الزمين‬
‫ىف هذه احلالة يبني العالقة الزمنية لكل إشارة وهل هي واحد ‪ 1‬أم صفر ‪ 0‬عند أي حلظة زمنية‪ ،‬عرض اإلشارات خيتلف عن‬
‫احلالة السابقة حيث ال يبني تفاصيل مقدار اإلشارة إال أهنا صفر ‪ 0‬أم واحد ‪ ،1‬هذه احلالة مهمة جداً ىف بيان إشارات‬
‫البياانت أو العناوين ىف املعاجلات أو احلاسبات‪.‬‬
‫‪ -3‬ميكن للمحلل املنطقي أن يعرض أكثر من إشارة بطريقة األوسيلوسكوب كما ىف الطريقة األوىل‪ ،‬وىف نفس الوقت يعرض‬
‫ابقي اإلشارات ىف نظام املخطط الزمين كما ىف احلالة الثانية‪.‬‬
‫‪ -4‬ميكن للمحلل املنطقي أن يعرض اإلشارات بنظام جدول احلقيقة حيث يعرض اإلشارات عبارة عن أصفار ‪ 0‬وواحدات ‪.1‬‬

‫‪Logic analyzer‬‬ ‫الشكل (‪ )19-1‬حملل منطقي منوذجي‬

‫‪32‬‬
‫أساسيات النظم الرقمية‬

‫‪ 3-5‬املبني (اجملس) املنطقي ‪Logic Probe‬‬


‫املبني (اجملس) املنطقي هو ‪ Logic probe‬عبارة عن أداة سهلة وبسيطة متسك يف اليد يكون تقريباً يف حجم القلم‬
‫العادي‪ ،‬الشكل (‪ ،)20-1‬تستخدم الختبار نقطة معينة ىف الدائرة‪ ،‬ملعرفة هل اجلهد عند هذه النقطة واحد ‪ 1‬أم صفر ‪ ،0‬أم‬
‫عبارة عن نبضات‪ ،‬حيث يتم بيان كل حالة على ملبة بيان معينة مع‬
‫صوت رنني معني لكل حالة‪.‬‬

‫‪Pulses Injector‬‬ ‫‪ 4-5‬حاقن النبضـات‬


‫حاقن النبضات ‪ Pulse injector‬يشبه املبني املنطقي متاماً‪،‬‬
‫سوى أنه ميكن به حقن نبضات عند نقطة معينة ىف الدائرة كدخل هلذه‬
‫الدائرة‪ ،‬حيث ميكن مالحظة استجابة الدائرة هلذه النبضات عند أى‬
‫خرج من خمارج الدائرة‪ ،‬الشكل (‪ )20-1‬يظهر مثال عن مبني منطقي‬
‫مع حاقن النبضات‪.‬‬

‫‪Logic Probe and Pulses injector‬‬ ‫الشكل (‪ )20-1‬املبني (اجملس) املنطقي وحاقن النبضات‬

‫‪ 5-5‬جهاز القياس متعدد األغراض ملتيميرت )‪The Digital Multimeter (DMM‬‬


‫هو من أكثر األجهزة استخداماً ىف اختبار الدوائر اإللكرتونية سواء الرقمية أو غري الرقمية‪ ،‬ميكن هبذا اجلهاز قياس‬
‫املقاومة ابألوم وقياس التيار وفرق اجلهد سواء كان مرتدد أو مستمر‪ ،‬يتميز هذا اجلهاز بصغر حجمه ورخص مثنه حبيث ميكن أن‬
‫يكون ىف متناول أي هاوي‪ ،‬الشكل (‪ )21-1‬يبني منوذجني هلذا اجلهاز‪.‬‬

‫الشكل (‪ )21-1‬بعض أشكال اجلهاز متعدد القياس امللتيميرت‬


‫)‪Multimeter (DMM‬‬

‫‪33‬‬
‫أساسيات النظم الرقمية‬

‫‪Function Generator‬‬ ‫‪ 6-5‬مولد الذبذابت (النبضـات)‬


‫البد أن حيتوى أي معمل لإللكرتونيات على أجهزة توليد الذبذابت ‪ Function generators‬ابألشكال املختلفة سواء‬
‫املوجات اجليبية أو املربعة أو املثلثة أو املوجات ‪ TTL‬القياسية‪ ،‬مع إمكانية تغيري كل من تردد ومقدار أي واحدة من هذه‬
‫املوجات‪ ،‬الشكل (‪ )22-1‬يظهر أحد مناذج مولد الذبذابت (النبضات)‪.‬‬

‫‪Function generator‬‬ ‫الشكل (‪ )22-1‬اجلهاز مولد الذبذابت (النبضات)‬

‫‪Power Supply‬‬ ‫‪ 7-5‬مصـدر الطاقة‬


‫البد أن حيتوي أى معمل لإللكرتونيات على مصادر طاقة ‪ Power supplies‬تستخدم لتشغيل أي دائرة أو نظام‬
‫إلكرتوين تنوي اختباره أو تشغيله‪ ،‬يف العادة تعطي مصادر القدرة جهداً متغرياً ميكن التحكم فيه أو جهداً اثبتاً ‪ 5‬فولت يستخدم‬
‫لتغذية الدوائر الرقمية‪ ،‬الشكل (‪ )23-1‬يعرض منوذج عن عن أحد مصادر الطاقة (القدرة)‪.‬‬

‫‪Power supply‬‬ ‫الشكل (‪ )23-1‬جهاز مصدر الطاقة‬

‫‪34‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ .1‬عرف الكمية التماثلية؟‬


‫‪ .2‬عرف الكمية الرقمية؟‬
‫‪ .3‬اشرح الفرق بني الكمية الرقمية والكمية التماثلية؟‬
‫‪ .4‬عدد اثنني من ميزات البياانت الرقمية ابملقارنة مع التماثلية‪.‬‬
‫‪ .5‬أي الكميات أكثر أتثراً ابلضجيج‪.‬‬
‫‪ .6‬عرف كلمة ثنائي؟‬
‫‪ .7‬ماذا تعين كلمة اخلانة الثنائية (‪)Bit‬؟‬
‫‪ .8‬ما هي اخلاانت الثنائية يف النظام الثنائي؟‬
‫‪ .9‬كيف يقاس كل من زمن الصعود وزمن اهلبوط للنبضة؟‬
‫(‪)f‬؟‬ ‫‪ .10‬إذا علمت أن الدورة (‪ )T‬لشكل موجي ما‪ ،‬كيف ميكن إجياد الرتدد‬
‫‪ .11‬شكل موجي دوري له عرض النبضة يساوي إىل ‪ ،25μs‬والدورة (‪ )T‬تساوي إىل ‪ ،150μs‬احسب قيمة الرتدد‪ ،‬ودورة‬
‫التشغيل؟‬
‫‪ .12‬ما هو املخطط الزمين وما هي فوائد استخدامه‪.‬‬
‫‪ .13‬ما هي الدائرة املتكاملة‪.‬‬
‫‪ .14‬كيف يتم ترقيم أطراف شرائح الدوائر املتكاملة‪.‬‬
‫‪ .15‬ما هي أصناف الدوائر املتكاملة حسب كثافة املكوانت (التعقيد)‪.‬‬
‫‪ .16‬ما هي أنواع الدوائر املتكاملة حسب نوع الرتانزستور اليت تبىن منه‪.‬‬
‫‪ .17‬عدد أهم األجهزة املستخدمة يف فحص واختبار الدوائر املنطقية‪.‬‬
‫‪ .18‬ما هو عمل مبني الذبذابت أو راسم اإلشارة (األوسيلوسكوب)‪.‬‬
‫‪ .19‬ما هو عمل احمللل املنطقي‪.‬‬
‫‪ .20‬كيف يتم ترقيم أطراف شرحية الدائرة املتكاملة‪.‬‬

‫‪35‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪Discrete‬‬
‫‪19‬‬ ‫‪Positive Logic‬‬ ‫املنطق املوجب‬ ‫‪15‬‬ ‫الرتانزستورات املنفصلة‬
‫‪Transistors‬‬
‫‪19‬‬ ‫‪Negative Logic‬‬ ‫املنطق السالب‬ ‫‪15‬‬ ‫‪Analog Circuits‬‬ ‫الدوائر التماثلية‬

‫‪19‬‬
‫‪Positive Transitions /‬‬
‫االنتقال املوجب‬ ‫‪15‬‬ ‫‪Digital Circuits‬‬ ‫الدوائر الرقمية‬
‫‪Positive-Going‬‬
‫‪Discrete‬‬
‫‪19‬‬
‫‪Negative Transitions‬‬
‫االنتقال السالب‬ ‫‪15‬‬ ‫القيم‪/‬الكميات املنفصلة‬
‫‪/ Negative -Going‬‬ ‫‪Values\ Quantities‬‬
‫‪Continuous‬‬
‫‪19‬‬ ‫‪Logic Levels‬‬ ‫املستوايت املنطقية‬ ‫‪15‬‬ ‫القيم‪/‬الكميات املستمرة‬
‫‪Values\ Quantities‬‬
‫‪20‬‬ ‫‪Digital Waveforms‬‬ ‫املوجات الرقمية‬ ‫‪16‬‬ ‫‪Sampled Values‬‬ ‫قيم عينات‬
‫احلافة األمامية أو‬
‫‪21‬‬
‫‪Rising or Leading‬‬
‫‪16‬‬ ‫‪Digital Code‬‬ ‫شفرة رقمية‬
‫‪Edge‬‬ ‫الصاعدة‬

‫‪21‬‬
‫‪Falling or Trailing‬‬
‫احلافة التابعة أو اهلابطة‬ ‫‪17‬‬ ‫‪Digital Data‬‬ ‫البياانت الرقمية‬
‫‪Edge‬‬

‫‪21‬‬ ‫‪Rise Time‬‬ ‫زمن الصعود‬ ‫‪17‬‬ ‫)‪Compact Disk(CD‬‬ ‫قرص مضغوط‬

‫‪21‬‬ ‫‪Fall Time‬‬ ‫زمن اهلبوط‬ ‫‪17‬‬ ‫‪Audio Signal‬‬ ‫اشارة صوتية‬

‫‪21‬‬ ‫‪Pulse Amplitude‬‬ ‫قيمة النبضة‬ ‫‪17‬‬ ‫‪Linear Amplifier‬‬ ‫مكرب خطي‬
‫النظام الثنائي الضوئي‬
‫‪21‬‬ ‫‪Pulse Width‬‬ ‫عرض النبضة‬ ‫‪18‬‬
‫‪Laser Diode‬‬
‫‪Optical System‬‬ ‫ابستخدام أشعة الليزر‬
‫حمول اإلشارة من الشكل‬
‫‪21‬‬ ‫‪Square wave‬‬ ‫موجة مربعة‬ ‫‪18‬‬
‫‪Digital to Analog‬‬
‫)‪Converter (DAC‬‬ ‫الرقمي إىل التماثلي‬

‫‪Analog to Digital‬‬ ‫حمول اإلشارة من الشكل‬


‫‪21‬‬ ‫‪Ringing/Overshoot‬‬ ‫الرنني ‪ /‬التجاوز‬ ‫‪18‬‬
‫)‪Converter (ADC‬‬ ‫التماثلي إىل الرقمي‬

‫‪21‬‬ ‫‪Pulse Trains‬‬ ‫قطار النبضات‬ ‫‪19‬‬ ‫‪Binary System‬‬ ‫النظام الثنائي‬

‫‪22‬‬ ‫‪Periodic‬‬ ‫دورية‬ ‫‪19‬‬ ‫‪Binary Digit‬‬ ‫الرقم الثنائي‬

‫‪22‬‬ ‫‪Period‬‬ ‫الدورة‬ ‫‪19‬‬ ‫‪Bit‬‬ ‫اخلانة الثنائية‬

‫‪36‬‬
‫أساسيات النظم الرقمية‬

Transistor- ‫منطق‬
26 Transistor 22 Frequency ‫الرتدد‬
Logic(TTL) ‫ترانزستور‬-‫ترانستور‬
Metal – Oxide ‫نصف الناقل‬
26 Semiconductor 22 Duty Cycle ‫دورة التشغيل‬
(MOS) ‫معدن‬-‫اكسيد‬
Complementary
Metal – Oxide ‫نصف الناقل‬ Binary
26 23 ‫املعلومات الثنائية‬
Semiconductor ‫معدن املتمم‬-‫اكسيد‬ Information
(CMOS)
26 Low-Power Schottky ‫شوتكي منخفض الطاقة‬ 23 Bit Time ‫زمن البت‬
26 High-Speed CMOS ‫مسوس عالية السرعة‬ 23 The Clock ‫اشارة نبضات الساعة‬

Printed Circuit ‫لوحات الدوائر‬


27 24 Timing Diagram ‫املخطط الزمين‬
Boards (PCBs) ‫املطبوعة‬
‫التوضع ابستخدام‬
27
Through-Hole
25
Integrated
‫الدائرة املتكاملة‬
Mounted ‫الثقوب‬ Circuit(IC)

Surface-Mount
27 ‫تقنية التوضع السطحي‬ 25 Transistors ‫الرتانزستورات‬
Technology (SMT)
‫الشرائح السطحية‬
28 Small Outline IC 25 Diodes ‫ديودات‬
‫الصغرية‬

Dual In line Package ‫الشرائح‬


28 25 Resistors ‫مقاومات‬
(DIP) ‫ذات الصفني‬

Plastic Leaded Chip ‫الشرائح ذات األرجل‬


28 25 Capacitors ‫مكثفات‬
Carrier (PLCC) ‫السلكية‬
Leadless Ceramic ‫الشرحية السرياميكية بال‬ Fixed-Function ‫الدوائر املتكاملة ذات‬
28 Chip Carrier 25
(LCCC) ‫أطراف‬ Logic ‫الوظيفة الثابتة‬
Recommended ‫ظروف تشغيلية ينصح‬ Programmable ‫الدوائر املتكاملة القابلة‬
29 Operating 25
Conditions ‫هبا‬ Logic ‫للربجمة‬
‫عائلة تقنية الدائرة‬
29
Electrical
‫خصائص كهرابئية‬ 25
Logic Circuit
Characteristics Technology Family ‫املتكاملة‬
Switching
29 ‫خصائص تبديلية‬ 25 Logic Function ‫الوظيفة املنطقية‬
Characteristics

37
‫أساسيات النظم الرقمية‬

‫مبني الذبذابت أو راسم‬ ‫‪Very Large Scale‬‬ ‫الشرائح ذات التكامل‬


‫‪31‬‬ ‫‪Oscilloscope‬‬ ‫‪30‬‬
‫اإلشارة‬ ‫)‪Integration (VLSI‬‬ ‫العايل جدا‬
‫‪Ultra-Large-Scale‬‬
‫‪32‬‬ ‫‪Logic Analyzer‬‬ ‫احمللل املنطقي‬ ‫‪30‬‬ ‫الشرائح فائقة التكامل‬
‫)‪Integration (LSI‬‬

‫‪Bipolar Junction‬‬ ‫ترانزستور نوع وصلة‬


‫‪33‬‬ ‫‪Logic probe‬‬ ‫املبني (اجملس) املنطقي‬ ‫‪30‬‬
‫(‪Transistors )BJT‬‬ ‫ثنائية القطبية‬
‫‪(Metal-Oxide‬‬ ‫ترانزستور أتثري احلقل‬
‫‪Semiconductor‬‬
‫‪33‬‬ ‫‪Pulse Injector‬‬ ‫حاقن النبضات‬ ‫‪30‬‬ ‫‪Field-Effect‬‬ ‫الكهرابئي نوع معدن –‬
‫)‪Transistors‬‬
‫‪MOSFETs‬‬
‫أوكسيد نصف انقل‬

‫‪The Digital‬‬ ‫جهاز القياس متعدد‬ ‫‪Small-Scale‬‬ ‫الشرائح ذات التكامل‬


‫‪33‬‬ ‫‪30‬‬
‫)‪Multimeter (DMM‬‬ ‫األغراض ملتيميرت‬ ‫)‪Integration (SSI‬‬ ‫الصغري‬
‫مولد الذبذابت‬ ‫‪Medium-Scale‬‬ ‫الشرائح ذات التكامل‬
‫‪34‬‬ ‫‪Function Generator‬‬ ‫‪30‬‬
‫(النبضات)‬ ‫)‪Integration (MSI‬‬ ‫املتوسط‬

‫‪Large-Scale‬‬ ‫الشرائح ذات التكامل‬


‫‪35‬‬ ‫‪Power Supply‬‬ ‫مصدر الطاقة‬ ‫‪30‬‬
‫)‪Integration (LSI‬‬ ‫العايل‬

‫‪38‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الثاني ‪2‬‬


‫متثيل البيانات يف األنظمة الرقمية‬
‫‪Data Representation in Digital Systems‬‬

‫‪39‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫تعريف عملية الرتميز وأهدافها وقواعدها‪.‬‬
‫معرفة كيف يتم متثل املعلومة ابستخدام األصفار والواحدت‪.‬‬
‫شرح أهم أنظمة ترميز البياانت‪ ،‬وتعريف بعض الشفرات القياسية املستخدمة يف أنظمة املعلومات‪.‬‬
‫شرح كيفية متثيل األرقام والرموز ضمن أنظمة املعلومات‪.‬‬
‫شرح األنواع األساسية من البياانت و طريقة متثيلها‪.‬‬
‫وصف أنواع الشفرات القياسية املستخدمة يف احلاسب‪.‬‬
‫وصف كيفية متثيل األرقام والرموز‪.‬‬
‫وصف أنواع األعدد املستخدمة يف احلاسب‪.‬‬
‫التعرف على أنواع األعداد الصحيحة‪.‬‬
‫استنتاج مدى القيم الذي ميكن استخدامه مع كل نوع‪.‬‬

‫‪40‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫نقدم يف هذا ا لفصل الطريقة اليت يتم هبا متثيل خمتلف أنواع البياانت داخل الدوائر الرقمية اليت تبىن منها األنظمة الرقمية‬
‫)‪ ،(Digital Systems‬وداخل جهاز احلاسب بصفة خاصة‪ ،‬إذ سيتم تعريف األنواع األساسية من البياانت‪ ،‬وتشمل األعداد‬
‫الصحيحة واألعداد احلقيقية والرموز‪ ،‬وتوضيح طريقة متثيل كل نوع منها‪ ،‬ومدى القيم اليت يقبلها كل نوع‪ ،‬واالستخدامات املناسبة‬
‫لكل نوع‪ ،‬كما يتناول الفصل أهم أنظمة متثيل وتشفري البياانت وبعض أنواع الشفرات القياسية املستخدمة يف احلاسب لتمثيل‬
‫البياانت‪.‬‬
‫من الضروري معرفة كيف يتم متثل املعلومات يف أنظمة املعلومات الرقمية‪ ،‬وملاذا تسمى هذه األنظمة ابألنظمة الرقمية‪،‬‬
‫وما هي األنظمة العددية املستخدمة يف احلاسب وأنظمة املعلومات‪ ،‬ما هي الشفرة الثنائية‪ ،‬وما حقيقة األصفار والواحدات اليت‬
‫حتمل وختزن املعلومة يف الفضاء‪.‬‬

‫‪Electronic Data and Instructions‬‬ ‫‪ -2‬البياانت والتعليمات اإللكرتونية‬


‫هل سبق لك أن تساءلت ملاذا يقال أننا نعيش يف عامل رقمي؟ وما هو سبب تسمية بعض األجهزة ابلرقمية؟ السبب هو‬
‫أن املعلومات اليت تتعامل معها األجهزة اليت نستخدمها تكون فقط على شكل إشارات إلكرتونية رقمية ‪،Digital Signal‬‬
‫فأجهزة احلاسب ال ميكنها التعرف على املعلومات بنفس الطريقة اليت نستطيع أن نتعرف عليها أان وأنت‪ ،‬الناس تتبع التعليمات‬
‫وتعاجل البياانت ابستخدام احلروف واألرقام والرموز اخلاصة‪ ،‬على سبيل املثال‪ ،‬إذا أراد شخص مجع األرقام ‪ 3‬و‪ 5‬وتسجيل اجلواب‪،‬‬
‫ميكننا القول "الرجاء إضافة ‪ 3‬إىل ‪ ،"5‬وحدة النظام هي جمموعة من الدوائر اإللكرتونية ال ميكنها معاجلة مثل هذا الطلب مباشرة‪،‬‬
‫فقبل أن حتدث أي معاجلة داخل وحدة النظام‪ ،‬جيب أن حيدث حتويل من الشكل الذي نفهمه حنن إىل الشكل الذي ميكن أن‬
‫تعاجله وحدة النظام إلكرتونياً‪.‬‬

‫‪ 1-2‬املعلومة من خصـائص الكون والرتميز األمان هلا‬


‫‪The Information is From The Universe Properties and Coding is the Safety for it‬‬
‫نشهد العصر الرقمي بكل إجنازاته وثورة معلوماته‪ ،‬هذه املعلومات ‪ Information‬تكتب وختزن وتنقل بشكل رقمي‬
‫الرتميز يف كل أركان الكون‪ ،‬بدءاً من أشكال تواصل املخلوقات إىل أنوية ذراهتا‪،‬‬
‫مرمز‪ ،‬وقد أذهل العلماء وجود التّشفري و ّ‬
‫مش ّفر أو ّ‬
‫ومن أمحاض اإلنسان وصفاته‪ ،‬إىل مائه وسيّاالت أعصابه‪.‬‬
‫يقول خرباء نظرية املعلومات أن املعلومات إحدى اخلصائص األساسية للكون‪ ،‬شأهنا شأن املادة والطاقة‪ ،‬وكما أن الكتلة‬
‫هو التعبري عن املادة فإن التنظيم هو التعبري عن املعلومات‪ ،‬والتّشفري والرتميز هو احلافظ هلا شكالً ومضموانً‪ ،‬وهو األمان هلا‪.‬‬

‫‪41‬‬
‫أساسيات النظم الرقمية‬

‫الرتميز ‪Coding system‬‬ ‫‪ -3‬نظام‬


‫الرتميز ‪ Coding System‬هو ذلك االبتكار الذي مسح ابلقفزة احلاسوبية اليت اعتربت مولد احلاسب احلايل‪،‬‬
‫إن نظام ّ‬
‫تتلخص فكرته أنه يعتمد على اإلشارات اليت يتم تلقيها من أجهزة اإلدخال‪ ،‬مث يتم جتميع هذه اإلشارات يف جمموعات ذات طول‬
‫مسبق‪.‬‬ ‫حمدد‪ ،‬ويعمل على فهم كل جمموعة وفقاً لتعريف‬

‫‪ 1-3‬متثيل املعلومات يف األنظمة الرقمية‬


‫‪Data Representation in Information Systems‬‬
‫حىت يتمكن أي نظام رقمي مثل احلاسب من التعامل مع أي نوع من أنواع البياانت فإن تلك البياانت جيب أن تكون ممثلة‬
‫يف الشكل الثنائي )‪ ،(Binary‬أي يف شكل جمموعة من األصفار ‪ 0"s‬والواحدات ‪ ،1"s‬حيث يتم متثيل القيمة املنطقية صفر ‪0‬‬
‫مبستوى جهد معني داخل الدوائر اإللكرتونية للنظام الرقمي‪ ،‬ويتم متثيل القيمة املنطقية واحد ‪ 1‬مبستوى جهد آخر‪ .‬مثالً متثل القيمة‬
‫املنطقية ‪ 1‬ابجلهد ‪ ،+5 Volt‬والقيمة املنطقية ‪ 0‬ابجلهد ‪.0 Volt‬‬
‫إن األنظمة الرقمية كاحلاسب وأنظمة االتصاالت ال تفقه شيئاً سوى مفهومني بسيطني مها الوجود والعدم أو الواحد ‪1‬‬
‫والصفر ‪ ،0‬وهكذا‪ ،‬فللتعبري عن أي شيء ينبغي حتويله إىل سلسلة طويلة من اخلاانت املمغنطة وغري املمغنطة‪ ،‬وحسب تسلسل‬
‫مغنطتها ميكن معرفة املقصود من السلسلة احملددة‪.‬‬

‫الرتميز نعرض مثالً من احلواسيب احلالية اليت تعتمد طول اجملموعة مؤلفا من مثاين إشارات‪،‬‬‫لفهم آلية استخدام نظام ّ‬
‫واإلشارات هي شحنات كهرابئية متساوية الكمية وكل منها يعرب عن إحدى حالتني‪ ،‬إحدامها حالة وجود شحنة والثانية حالة عدم‬
‫وجود شحنة‪ ،‬وابلتايل فاجملموعة اليت تتألف من مثاين إشارات ميكن تركيبها بعدد من الطرق مسا ٍو للعدد اثنني مضروابً بنفسه مثاين‬
‫مرات‪ ،‬ألن كل موقع حيتمل حالتني ‪:‬الوجود وعدم الوجود‪ ،‬أي‪:‬‬

‫‪2×2×2×2×2×2×2×2=256‬‬

‫الرتميز‪.‬‬
‫واآلن نصطلح لكل جمموعة من هذه اجملموعات برمز معني ليعرب عنها فنحصل على نظام ّ‬

‫تعريف‪ :‬نظام الرتميز ‪:Coding System‬‬


‫هو طريقة لالصطالح على متثيل حمدد لكل جمموعة من احتماالت التشكيل املختلفة لسلسلة ذات طول اثبت من‬
‫البياانت الثنائية‪ .‬والسلسلة ذات الطول الثابت من البياانت الثنائية تسمى البايت‪.‬‬

‫‪42‬‬
‫أساسيات النظم الرقمية‬

‫‪Cryptography‬‬ ‫الرتميز والترعمية واستخراج املعمى‬


‫‪ 2-3‬علم ر‬
‫التّعمية أو الرتميز ‪ Cryptography‬هو علم رايضي يهدف لتحويل جمموعة من املعلومات الرمزية هبدف إخفاء حمتواها‪،‬‬
‫إىل جمموعة أخرى مبهمة ابستخدام طريقة حمددة‪ ،‬ميكن مبعرفتها العودة إىل النص األساسي الواضح وهو ما يسمى ابستخراج‬
‫املعمى‪.‬‬
‫ّ‬
‫ُعرف علم التّعمية منذ القدم‪ ،‬إذ استخدم إلخفاء معلومات الرسائل‪ ،‬وانتهجت طرق ونظم رمزية عديدة من أجل ذلك‪.‬‬
‫وقد يغيب عن الكثريين أن أصله عريب وأن العرب هم آابؤه ويدين هلم والدةً ونشأ ًة وتطوراً‪ ،‬ويعد كتاب الكندي «رسالة يف‬
‫استخراج األعداد املضمرة»‪ ،‬أول مرجع معروف يف علم التّعمية واستخراج املعمى واصطلح على تسميته حديثا علم ا ِ‬
‫لشفرة‪.‬‬
‫حيظى علم التّعمية واستخراج املعمى مبكانة مرموقة بني العلوم‪ ،‬وقد أكتسب أمهية ابلغة لتنوع تطبيقاته وخصوصاً يف‬
‫اجملاالت األمنية والعسكرية واملصرفية‪ ،‬إذ يعترب لغة ٍ‬
‫عمل للتطبيقات التقنية‪ ،‬وأحد األساسيات يف عملها وأسلوابً ألمن معلوماهتا‪،‬‬
‫وله مؤسسات توفر له أسباب الرعاية والتطوير واالختبار‪ ،‬وتنتج أنظمة تشفري تعتمد خوارزميات معقدة وموثوقة (‪.)2‬‬

‫‪The Basic Rules For Coding‬‬ ‫الرتميز‬


‫‪ 3-3‬القواعد الرئيسية لعملية ر‬
‫الرتميز‪ ،‬هو اعتماد قواعد اثبتة وخوارزميات معروفة‪ ،‬واإلجياز واالختصار لتقليل احتمال األخطاء يف‬
‫إن أهم أسس عملية ّ‬
‫الرتميز‪ ،‬ابإلضافة إىل استخدام رموز تكون‪:‬‬
‫عملييت النقل وفك ّ‬
‫اثبتة البنية حمددة الطول‪.‬‬
‫واضحة غري متشاهبة‪.‬‬
‫قابلة للتصنيف والقراءة‪.‬‬
‫قابلة لالسرتجاع واملعاجلة‪.‬‬
‫متناغمة منطقيًا مع النظام الكلي‪.‬‬
‫تغطي مجيع الرموز املطلوبة لتبادل املعلومات‪.‬‬

‫‪Coding objectives‬‬ ‫الرتميز‬


‫‪ 4-3‬أهداف ر‬
‫السرية أو اخلصوصية‪ :‬للحفاظ على حمتوى املعلومات‪.‬‬
‫تكامل البياانت‪ :‬للحفاظ على املعلومات من التغيري (حذف أو إضافة أو تعديل)‪.‬‬

‫‪ .1‬د‪ .‬حممد مرااييت‪ ،‬وآخرون‪ .‬التعمية واستخراج املعمى عند العرب‪( ،‬دمشق‪ ،‬سوراي‪ :‬جممع اللغة العربية‪ ،‬دار طالس‪1414 ،‬هـ‪1997 ،‬م)‪ ،‬ج‪،2 :‬‬
‫ص‪.108 :‬‬

‫‪43‬‬
‫أساسيات النظم الرقمية‬

‫إثبات اهلوية‪ :‬إثبات هوية التعامل مع البياانت‪.‬‬


‫عدم اإلنكار‪ :‬منع الشخص من إنكاره القيام بعمل ما‪.‬‬
‫سهولة االستخدام‪ :‬بتسهيل التعامل مع املعلومات كتقليل حجمها مثالً‪.‬‬

‫‪Digital Data Representation‬‬ ‫‪ -4‬التمثيل الرقمي للبياانت‬


‫‪Binary Code System‬‬ ‫‪ 1-4‬نظام الرتميز الثرنائي‬
‫‪Binary Number‬‬ ‫يع ّد نظام الرتميز الثّنائي )‪ (Binary Code System‬أبسط نظم الرتميز (نفسه نظام العد الثّنائي‬
‫الرمزين صفر وواحد (‪ ،)0،1‬ومها إسقاط مباشر ملفهومي" العدم " و " الوجود "‬ ‫يتكون من ّ‬
‫الرقم )‪ ،(2‬إذ ّ‬ ‫‪ ،)System‬أساسه ّ‬
‫فالعدم يعرب عنه ابلعنصر" صفر ‪ ،" 0‬والوجود يعرب عنه ابلعنصر" واحد ‪." 1‬‬
‫يتكرران على شكل‬ ‫ابستخدام رموز النظام الثنائي صفر وواحد (‪ )0،1‬ميكن متثيل أي عدد من أي نظام عددي‪ ،‬إذ ّ‬
‫ٍ‬
‫بشكل مباشر‪ ،‬وابلتّايل تنفيذ كافة‬ ‫سلسلة مبا يتناسب مع العدد املطلوب‪ ،‬وميكن تطبيق قواعد اجلرب البولياين على هذا النظام‬
‫العمليات املنطقية الالزمة من أجل تكوين الدوائر الرقمية‪.‬‬
‫اجلدول (‪ )1-2‬يعرض املكافئ الثنائي األعداد العشرية من ‪ ،15~0‬ونالحظ أننا حنتاج أربع خاانت لتمثيل األعداد من‬
‫‪ 0‬حىت ‪ ،15‬بشكل عام من أجل ‪ n‬خانة ميكن أن منثل ‪ 2n-1‬عدد خمتلف‪.‬‬

‫عدد األعداد اليت ميكن متثيلها = ‪2n-1‬‬

‫على سبيل املثال إذا كان (‪ ،)n=5‬فإننا نستطيع أن منثل ‪ 31‬عدد خملتف‬

‫‪25-1=32-1=31‬‬

‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬


‫‪0‬‬ ‫‪0‬‬ ‫‪4‬‬ ‫‪100‬‬ ‫‪8‬‬ ‫‪1000‬‬ ‫‪12‬‬ ‫‪1100‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪5‬‬ ‫‪101‬‬ ‫‪9‬‬ ‫‪1001‬‬ ‫‪13‬‬ ‫‪1101‬‬
‫‪2‬‬ ‫‪10‬‬ ‫‪6‬‬ ‫‪110‬‬ ‫‪10‬‬ ‫‪1010‬‬ ‫‪14‬‬ ‫‪1110‬‬
‫‪3‬‬ ‫‪11‬‬ ‫‪7‬‬ ‫‪111‬‬ ‫‪11‬‬ ‫‪1011‬‬ ‫‪15‬‬ ‫‪1111‬‬

‫اجلدول (‪ )1-2‬األرقام العشرية من ‪ 0‬حىت ‪ 15‬مع مكافئها بنظام العد الثنائي‬


‫ميكن التعبري عن أي عدد بواسطة عدد ثنائي‪ ،‬لكن األرقام الثنائية صعبة للفهم على اإلنسان لكي يتعامل معها‪ ،‬ألهنا‬
‫تتطلب العديد من األرقام أو اخلاانت يف العدد الواحد‪ ،‬بدالً من ذلك‪ ،‬غالباً ما يتم متثيل األرقام الثنائية يف شكل أكثر قابلية‬
‫للقراءة من قبل البشر‪ ،‬ويستخدم لذلك النظام الست عشري ‪ The hexadecimal system, or hex‬الذي يستعمل ‪16‬‬

‫‪44‬‬
‫أساسيات النظم الرقمية‬

‫رقم (‪ )F ،E ،D ،C ،B ،A ،9 ،8 ،7 ،6 ،5 ،4 ،3 ،2 ،1 ،0‬لتمثيل األعداد الثنائية‪ ،‬وميثل كل رقم ست عشري ابستخدام‬


‫أربعة أرقام ثنائية‪ ،‬ويستخدم رقمني ست عشريني مع بعض لتمثيل واحد ‪ 1‬ابيت (‪ 8‬أرقام ثنائية)‪.‬‬

‫ثنائي‬ ‫ست عشري‬ ‫عشري‬ ‫اجلدول (‪ )2-2‬يعرض األرقام العشرية من ‪ 1‬حىت ‪16‬‬
‫‪Binary‬‬ ‫‪Hexadecimal‬‬ ‫‪Decimal‬‬ ‫مع املكافئ هلا يف النظام الثنائي‪ ،‬والست عشري (وقد ظللنا‬
‫‪00000000‬‬ ‫‪00‬‬ ‫‪00‬‬ ‫عمود األرقام القاعدية لألنظمة العددية)‪.‬‬
‫‪00010000‬‬ ‫‪01‬‬ ‫‪01‬‬
‫‪10000000‬‬ ‫‪02‬‬ ‫‪02‬‬ ‫ميكن أن تشاهد األرقام الست عشرية‪ ،‬عند اختيار‬
‫‪11000000‬‬ ‫‪03‬‬ ‫‪03‬‬ ‫اللون يف تطبيقات تصميم املوقع أو التطبيقات الرسومية‪ ،‬أو عند‬
‫‪00000100‬‬ ‫‪04‬‬ ‫‪04‬‬
‫إدخال كلمة املرور للوصول إىل شبكة السلكية‪.‬‬
‫‪00000101‬‬ ‫‪05‬‬ ‫‪05‬‬
‫‪00000110‬‬ ‫‪06‬‬ ‫‪06‬‬ ‫ولدينا النظام العشري ‪ decimal system‬الذي‬
‫‪00000111‬‬ ‫‪07‬‬ ‫‪07‬‬ ‫نعرفه يتألف من عشرة أرقام (‪.)9 ،8 ،7 ،6 ،5 ،4 ،3 ،1،2 ،0‬‬
‫‪00001000‬‬ ‫‪08‬‬ ‫‪08‬‬
‫‪00001001‬‬ ‫‪09‬‬ ‫‪09‬‬ ‫يتكون من رقمني‬ ‫‪binary system‬‬ ‫النظام الثنائي‬
‫‪00001010‬‬ ‫‪0A‬‬ ‫‪10‬‬ ‫فقط صفر ‪ 0‬و واحد ‪.1‬‬
‫‪00001011‬‬ ‫‪0B‬‬ ‫‪11‬‬
‫‪00001100‬‬ ‫‪0C‬‬ ‫‪12‬‬ ‫وتعتمد لغة وشفرة عمل احلاسب الثنائية (املثاين) نظام‬
‫‪00001101‬‬ ‫‪0D‬‬ ‫‪13‬‬ ‫العد الثّنائي‪.‬‬
‫‪00001110‬‬ ‫‪0E‬‬ ‫‪14‬‬
‫‪00001111‬‬ ‫‪0F‬‬ ‫‪15‬‬
‫‪00010000‬‬ ‫‪01‬‬ ‫‪16‬‬
‫اجلدول (‪ )2-2‬اجلدول (‪ )1-10‬األرقام العشرية من ‪ 1‬حىت ‪16‬‬
‫مع املكافئ هلا يف النظام الثنائي‪ ،‬والست عشري‬

‫‪Binary Computer Working Language‬‬ ‫‪ 2-4‬لغة عمل احلاسب الثنائية‬


‫تكتب الربامج احلاسوبية على شكل تعليمات وتراكيب حسابية ومنطقية إبحدى لغات الربجمة‪ ،‬إذ جتري ترمجة هذه‬
‫تعرب عن شيفرة يفهمها احلاسب تدعى‬‫التعليمات والرتاكيب إىل سالسل من الرموز الرقمية الثنائيّة أصفار وواحدت (‪ )0،1‬اليت ّ‬
‫لغة اآللة ‪.Machine Language‬‬
‫الرقميّة كاحلواسيب‬
‫تعتمد لغة وشفرة عمل احلاسب الثنائية (اآللة) نظام العد الثّنائي‪ ،‬إذ تستخدمها األجهزة واألنظمة ّ‬
‫الرقميّة )‪ ،(Digital Electronic Circuits‬إذ أن هذه الدوائر عند معاجلة البياانت‪،‬‬ ‫الدوائر اإللكرتونيّة ّ‬
‫وأنظمة االتّصاالت يف ّ‬
‫وختزينها‪ ،‬ونقلها‪ ،‬وحىت إرساهلا‪ ،‬ال تستطيع أن تفهم إال البياانت الثنائية‪ ،‬أي البياانت اليت تكون على شكل سالسل من األصفار‬
‫والواحدات‪.‬‬

‫‪45‬‬
‫أساسيات النظم الرقمية‬

‫تعد الشفرة الثنائية أساس الثورة الرقمية ابلكامل‪ ،‬وأتيت أمهيتها من كوهنا نظام التشفري (الرتميز) املستخدم لتمثيل‬
‫املعلومات واملعطيات ضمن احلواسيب واألنظمة الرقمية‪ ،‬إذ يع ّد من أبسط أنواع أنظمة التّشفري‪ ،‬فالعلوم واملعلومات اليوم أبشكاهلا‬
‫وترسل ابستخدام الرموز الرقمية الثنائيّة األصفار والواحدات (‪ ،)0،1‬وذلك على‬
‫وأنواعها ُمتثّل وتُكتب وتُعاجل وختُّزن وتُوثّق وتُنشر ُ‬
‫تسمى‬ ‫ِ‬
‫السلسلة ّ‬
‫شكل شفرات (إشارات)‪ ،‬هذه اإلشارات هي سلسلة من شحنات كهرابئيّة متساوية الكميّة‪ ،‬اخلانة الواحدة يف ّ‬
‫بت‪ ،‬وجمموعة ‪ 8‬بتات تشكل البايت ‪.Byte‬‬
‫(‪)bit=binary digit‬‬ ‫" بت " = ‪ bit‬أو‬
‫‪8 Bit = 1 Byte‬‬

‫ختزن يف هذه اخلانة الثنائية إحدى القيمتني‪ ،‬األوىل حالة وجود شحنة واحد (‪ ،)1‬والثانية حالة عدم وجود شحنة صفر‬
‫ّ‬
‫(‪ ،) 0‬لتشكل بياانت مصفوفة من هذه األصفار والواحدات‪ ،‬تتم معاجلتها ضمن األنظمة الرقمية‪ ،‬ومن مث يتم حتويل انتج املعاجلة‬
‫للشكل الذي نستوعبه‪ ،‬ويتم إظهاره‪.‬‬
‫وقد أشا ر القرآن الكرمي هلذه اللغة ابستخدام نفس الكلمة القرآنية {املثاين} يف اإلشارة إىل شفرة ولغة عمل احلاسب‬
‫تكررت يف القرآن الكرمي مثاين‪ ،‬وجاء ذلك يف مثاين من اآلايت هي‪:‬‬
‫الثنائية‪ ،‬شفرة املثاين‪ ،‬وكلمة {املثاين} ّ‬
‫قال ‪: ‬‬
‫يم ﴾[احلِجر‪.]87/15 :‬‬ ‫ِ‬
‫ك َس ْبعاً رم َن ال َْمثَ ِاين َوالْ ُق ْرآ َن ال َْعظ َ‬
‫﴿ َولََق ْد آتينَ َ‬

‫اَّلل ََٰذلِ َ‬
‫ك‬ ‫ودهم وقلُوهبم إِ َ َٰىل ِذ ْك ِر هِ‬
‫ني ُجلُ ُ ُ ْ َ ُ ْ‬
‫ِ‬
‫ين ََيْ َش ْو َن َرهبُ ْم ُثُه تَل ُ‬
‫يث كِ َٰتَبا ُّمتَ ََٰشبِها همثَ ِاين ت ْق َشعِ ُّر ِم ْنهُ جلُ ُ ه ِ‬
‫ود الذ َ‬ ‫ُ‬ ‫َ‬ ‫ً‬ ‫ً‬
‫َحسن ا ْحل ِد ِ‬ ‫﴿ ه‬
‫اَّللُ ن هز َل أ ْ َ َ َ‬
‫[الزمر‪.]23/39 :‬‬ ‫ِ‬ ‫ضلِ ِل ه‬
‫اَّلل ْيه ِدي بِِه َمن يَ َشاءُ َوَمن يُ ْ‬ ‫ه َدى هِ‬
‫اَّللُ فَ َما لَهُ م ْن َهاد ﴾ ر‬ ‫ُ‬
‫إن كلمة {املثاين} القرآنية هي إشارة علمية قرآنية صرحية إىل لغة ِ‬
‫وشفرة عمل احلاسب املثاين‪ ،‬األصفار والواحدات‪ ،‬اليت‬ ‫ّ‬
‫تدعى أيضاً لغة عمل اآللة (‪.)3‬‬
‫علمي‪ ،‬فهذه الشفرة هي لغة اإلعجاز اجلديد‬ ‫ِ‬
‫إن اإلشارة القرآنيّة للغة وشفرة نقل املعلومة الكونيّة‪ ،‬هو سبق قرآين وإعجاز ّ‬
‫لكتاب ‪  ‬بلغة القرن الواحد والعشرين‪ ،‬لغة نظام عمل أنظمته وتقنيّاته‪ ،‬لغة وأجبديّة توحيد كل تقنيّات العلم وأدواته‪.‬‬

‫‪Bytes and Multiples‬‬ ‫‪ 3-4‬البايت ومشتقاته‬


‫البت ‪ Bit‬أو اخلانة الثنائية ‪:‬اخلانة الواحدة يف السلسلة تسمى بت ‪ ،bit‬إذن فالـ" بت "هو موقع واحد حيتمل فقط‬
‫أحد خيارين ‪:‬إما أن يكون مشحوان أو خاليا من الشحنة‪.‬‬

‫‪ .2‬مثاين القرآن الكرمي إشارة إىل ِشفرة عمل احلاسب املثاين‪ ،‬األصفار والواحدات‪ ،‬حبث للمؤلف‪.‬‬

‫‪46‬‬
‫أساسيات النظم الرقمية‬

‫اخلطوة التالية هي حتديد طول معياري للسلسلة حبيث كلما مضى عدد من اخلاانت بطول هذه السلسة املعيارية نقوم‬
‫بتفسري الرتميز‪.‬‬
‫البايت ‪ :Byte‬هو سلسلة ذات طول اثبت من اخلاانت الثنائية‪ ،‬تتوزع الشحنات على السلسلة بشكل خمتلف يف كل‬
‫مرة‪ ،‬ومقابل كل طريقة لتوزيع الشحنات يتم االصطالح على رمز يقابل ذلك التوضع‪ .‬والطول الثابت للسلسلة حيدد حاليا بثماين‬
‫خاانت خصوصا ابلنسبة للبنية املعتمدة يف هتيئة األجهزة املادية‪ ،‬الشكل (‪ )1-2‬يظهر البايت والبت‪.‬‬

‫البايت ‪ Byte‬والبت ‪Bit‬‬ ‫الشكل (‪)1-2‬‬

‫مضاعفات البايت‪ ،‬اجلدول (‪:)3-2‬‬


‫الكيلوابيت يساوي‪ 1024 = 210‬ابيت‪.‬‬
‫امليغاابيت تساوي ‪ 220‬ابيت‪ ،‬أو‪ 210‬كيلوابيت‪.‬‬
‫الغيغاابيت تساوي ‪ 230‬ابيت‪ 220 ،‬كيلوابيت‪ ،‬أي ‪ 210‬ميغاابيت‪.‬‬
‫الترياابيت تساوي ‪ 240‬ابيت‪ 230 ،‬كيلوابيت‪ ،‬أي ‪ 220‬ميغاابيت‪ ،‬أي‬
‫‪210‬غيغاابيت‪.‬‬

‫اجلدول (‪ )3-2‬مضاعفات البايت‬

‫)‪Data Representation (Encoding Systems‬‬ ‫‪ -5‬أنظمة متثيل وترميز البياانت‬


‫من خالل ما سبق وجدان أن نظام الرتميز هو ابلفعل أجبدية احلاسب‪ ،‬وسنتطرق إىل عرض أهم أنظمة الرتميز‬
‫املستخدمة‪ ،‬وكيفية متثيل املدخالت األساسية‪.‬‬
‫توجد طرق عديدة ميكن هبا أن يتم ختصيص الشفرات الثنائية املتاحة للرموز املختلفة‪ ،‬مما قد يؤدي إىل اختالفات كبرية يف‬
‫متثيل البياانت‪ ،‬ومنعاً لالختالف مت االتفاق عاملياً على طرق حمددة لتمثيل البياانت‪ ،‬و مت توثيق هذه الطرق يف املؤسسات املعنية‪ ،‬ويتم‬
‫مراجعتها وتطويرها ونشرها ابنتظام لكي يلتزم اجلميع به‪ ،‬األمر الذي جعل تبادل البياانت يتم على نطاق واسع‪ ،‬خاصة يف عصر‬

‫‪47‬‬
‫أساسيات النظم الرقمية‬

‫اإلنرتنت‪ ،‬أمراً ممكناً‪ ،‬سنتعرض يف اجلزء التايل لعدد من أنظمة الرتميز والشفرات القياسية (‪ )Standard Codes‬املستخدمة حالياً‬
‫يف متثيل البياانت‪.‬‬

‫آسكي ‪ASCII‬‬ ‫الرتميز املعياري‬


‫‪ 1-5‬نظام ر‬
‫‪ASCII (American Standard‬‬ ‫الرتميز أو شفرة أسكي (الشفرة األمريكية القياسية لتبادل املعلومات)‬
‫نظام ر‬
‫)‪ Code for Information Interchange‬عبارة عن شفرة ثنائية مكونة من سبعة خاانت تستخدم يف متثيل الرموز‪ .‬وتعترب‬
‫الشفرة األكثر استخداماً هلذا الغرض واألوسع انتشاراً حالياً‪ .‬مت ابتكار شفرة آسكي ‪ ASCII‬يف األساس لتمثيل الرموز يف آالت‬
‫تسمى التيلي اتيب )‪ ،(Teletype Machines‬وهي عبارة عن وسيلة اتصال استخدمت يف السابق لنقل البياانت‪ ،‬و تتكون مما‬
‫يشبه اآللتني الكاتبتني )‪ (Typewriters‬إحدامها مرسلة واألخرى مستقبلة‪ ،‬عند طباعة أي نص على لوحة مفاتيح اآللة املرسلة‬
‫يظهر ذلك النص مطبوعاً على الورق يف اآللة املستقبلة‪ .‬و يعترب جهاز التلكس )‪ (Telex‬مثاالً هلذا النوع من اآلالت‪.‬‬
‫‪ASCII‬‬ ‫الرتميز‬
‫جند يف امللحق ‪ 1‬جداول احلروف القابلة للطباعة يف نظام ترميز آسكي‪ ،‬وأهم ما يالحظ يف بنية نظام ّ‬
‫ما يلي‪:‬‬
‫أهنا معروضة بشكل صورة وليست عبارة عن نصوص مكتوبة‪ ،‬ذلك ألن كتابتها على شكل حمارف يعرضها للتغري‬
‫الرتميز‪.‬‬
‫عندما تعرض على حواسيب أو برامج خمتلفة يف أسلوب التعرف على نظم ّ‬
‫إن ختصيص الشفرات الثنائية للرموز املختلفة مت بطريقة حمكمة ومدروسة‪.‬‬
‫عند مالحظة العالقة ما بني الشفرات املمثلة لألرقام )‪ (Digits‬من ‪ 0‬حىت ‪ ،9‬و قيم تلك األرقام‪ ،‬جتد أن هناك‬
‫فرقاً اثبتاً مقداره ‪ )30(16‬ما بني شفرة الرقم و قيمته‪ ،‬مما يسهل من عملية حتويل رموز األرقام إىل القيم املقابلة هلا‪،‬‬
‫كثريا يف احلاسب واألنظمة الرقمية األخرى‪.‬‬
‫وهي عملية حنتاج هلا ً‬
‫ونظريه‬ ‫)‪(Capital Letter‬‬ ‫للحرف الكبري‬ ‫‪ASCII‬‬ ‫الحظ أيضاً وجود عالقة رايضية اثبتة ما بني شفرة آسكي‬
‫الصغري )‪ (Small Letter‬حيث جتد أن الفرق بني شفرتيهما هو ‪ )20(16‬مما جيعل من عملية حتويل األحرف‬
‫الكبرية إىل أحرف صغرية أو العكس يف نص معني عملية سهلة‪.‬‬
‫إن التوضعات ‪ 32‬األوىل خمصصة للفعاليات والتحديدات والرموز اخلاصة‪ ،‬من ‪ 65‬لغاية ‪ 90‬خمصصة للحروف‬
‫الالتينية الكبرية‪ ،‬من ‪ 97‬لغاية ‪ 122‬خمصصة للحروف الالتينية الصغرية‪.‬‬

‫عندما استخدمت شفرة آسكي ‪ ASCII‬يف متثيل الرموز يف احلاسب‪ ،‬ظهرت مشكلة اخلانة الثامنة (‪ ،)8th bit‬حيث أن‬
‫التخزين ىف احلواسيب مبين على نظام البايت املكون من ‪ 8‬بت‪ ،)bits8( ،‬بينما شفرة آسكي ‪ ASCII‬عبارة عن شفرة مكونة من‬
‫سبعة خاانت (‪ ،)7 -bit Code‬لذلك كان البد من إجياد استخدام للخانة الثامنة‪ ،‬وهناالك طريقتان الستغالل هذه اخلانة‪:‬‬

‫‪48‬‬
‫أساسيات النظم الرقمية‬

‫‪ .1‬ميكن استخدام اخلانة الثامنة ملضاعفة عدد الرموز اليت ميكن متثيلها حبيث يصبح ‪ 256‬رمزاً بدالً عن ‪ 128‬رمزاً‪ .‬هذه الـ‬
‫القياسية أما الـ ‪ 128‬رمزاً االضافية فيمكن‬ ‫‪ASCII‬‬ ‫‪ 256‬رمزاً تكون ال ‪ 128‬رمزاً األوىل منها هي رموز شفرة آسكي‬
‫استخدامها يف متثيل أحرف اللغات األخرى‪ ،‬مثل اللغة العربية‪ ،‬أو يف متثيل بعض الرموز اخلاصة املستخدمة مثالً ىف‬
‫الرسومات أو يف بناء اجلداول أو يف كتابة املعادالت الرايضية و غري ذلك‪.‬‬
‫وهي عملية تستخدم الكتشاف‬ ‫)‪،(Parity Check‬‬ ‫‪ .2‬ميكن إستخدام اخلانة الثامنة يف عملية تسمى عملية التحقق‬
‫حدوث خطأ (‪ )Error‬يف نقل البياانت‪ ،‬حيث أنه عند نقل البياانت ملسافات طويلة عرب وسائل االتصال املختلفة قد‬
‫تتعرض تلك البياانت حلدوث أخطاء‪ ،‬فالكتشاف حدوث مثل هذه األخطاء يتفق كل من الطرف املرسل للبياانت‬
‫والطرف املستقبل هلا على أن يكون العدد الكلى للواحدات ‪ 1’s‬يف أي رمز مرسل فردايً مثالً‪ ،‬و هو مايسمى ابلتحقق‬
‫الفردي )‪ .(Odd Parity‬وبناء على ذلك يقوم الطرف املرسل قبل إرسال أي رمز حبساب عدد الواحدات ‪ 1’s‬املوجودة‬
‫فيه‪ ،‬فإذا وجد أن عددها فردي يقوم بوضع صفر ‪ 0‬يف اخلانة الثامنة‪ ،‬وذلك للحفاظ على العدد الكلي للواحدات ‪،1’s‬‬
‫يف الرمز فردايً‪ ،‬أما إذا وجد أن عدد الواحدات ‪ 1’s‬يف الرمز املرسل زوجياً فإنه يقوم بوضع واحد ‪ 1‬يف اخلانة الثامنة‪ ،‬حبيث‬
‫يصبح عدد الواحدات الكلي ‪ 1’s‬يف الرمز فردايً‪ .‬أي أن مهمة الطرف املرسل هي التأكد من عدد الواحدات فردي يف كل‬
‫رمز يقوم إبرساله‪ ،‬وذلك بوضع القيمة املناسبة يف اخلانة الثامنة واليت يطلق عليها خانة التحقق )‪.(Parity bit‬‬
‫أما ابلنسبة للطرف املستقبل فإنه يقوم حبساب عدد الواحدات يف اي رمز تصل إليه‪ ،‬فإذا وجد أن عددها فردي كان‬
‫معىن ذلك عدم حدوث خطأ أثناء عملية النقل‪ ،‬أما إذا وجد أن عددها زوجي فمعىن ذلك حدوث خطأ‪ ،‬والطريقة الوحيدة‬
‫املمكنة لتصحيح اخلطأ الذي حدث هنا هي أن يطلب الطرف املستقبل من الطرف املرسل إعادة إرسال الرمز الذي وصله خاطئاً‪،‬‬
‫وهذا يتطلب ابلطبع وجود إمكانية االتصال يف االجتاهني‪ ،‬و هو أمر غري متاح يف كثري من األحيان‪.‬‬
‫الحظ أن هذا األسلوب ىف اكتشاف حدوث األخطاء يعجز عن اكتشاف حدوث خطأ ىف خانتني ىف وقت واحد‪،‬‬
‫وال توجد مشكلة هنا حيث أنه يف أي نظام رقمي مصمم بصورة جيدة يكون احتمال حدوث خطأ يف خانتني يف وقت واحد أمراً‬
‫اندر احلدوث حبيث ميكن جتاهله‪ ،‬ميكن أيضاً أن يتفق الطرفان املرسل واملستقبل على أن يكون العدد الكلي للواحدات ‪ 1’s‬يف‬
‫)‪.(Even Parity‬‬ ‫أي رمز مرسل زوجياً‪ ،‬ويسمى هذا ابلتحقق الزوجي‬

‫يونيكود ‪Unicode‬‬ ‫‪ 2-5‬الرتميز املوحد‬


‫أدى االنفجار يف اإلنرتنت وملحقاهتا إىل عوملة احلوسبة‪ ،‬وهذا قاد إىل استخدام معيار جديد لرتميز الرموز واحملارف‬
‫يسمى الرتميز املوحد أو شفرة يونيكود ‪ ،Unicode‬والذي يستخدم ‪ 16‬بت قادرة على ترميز (‪ )216=65536‬رمز خمتلف‪،‬‬
‫عني امساً وقيمة عددية فريدين من نوعهما‪،‬‬
‫ولكي تبقى عملية الرتميز بسيطة وفعالة‪ ،‬فإن معيار يونيكود ‪ ،Standard Unicode‬ي ّ‬
‫لكل رمز من الرموز‪.‬‬

‫‪49‬‬
‫أساسيات النظم الرقمية‬

‫هو معيار عاملي خيصص لكل حمرف يف مجيع اللغات العاملية رقم فريد‬ ‫‪Unicode‬‬ ‫الرتميز املوحد أو شفرة يونيكود‬
‫‪ ،code‬وذلك بغض النظر عن نوع احلاسب أو الربامج املستخدمة (املنصة‪ ،‬الربانمج‪ ،‬اللغة)‪ .‬وقد ومت تصميمه‬ ‫‪point‬‬ ‫رمزي‬
‫لتمكني احملارف والرموز يف كافة األنظمة الكتابية يف العامل من أن تتمثل من خالل احلاسب‪ ،‬وهي تتماشى مع املعايري العاملية‪،‬‬
‫وتتكون من قائمة أبمساء احملارف وطريقة التشفري والرقم اليونيكودي لكل حرف‪ .‬ومت تبنيها من قبل كربى شركات إنتاج أنظمة‬
‫احلواسيب يف العامل‪ ،‬وقد قاد جناح يونيكود يف توحيد أنظمة تشفري احلروف إىل انتشاره واستعماله عاملياً وحملياً يف مجيع برامج‬
‫احلاسب‪،‬حيث مت تطبيق هذه املعيارية على العديد من التقنيات وأنظمة التشغيل ولغات الربجمة‪.‬‬
‫معيار يونيكود يعترب معيار الرتميز األكثر استخداماُ ومعرتف به يف كل أنظمة احلاسب تقريباً‪ ،‬الرموز ‪ 128‬األوىل هلا‬
‫نفس تسلسل اخلاانت كما يف أسكي ‪ ،ASCII‬للحفاظ على التوافق مع املعلومات املمثلة بشفرة ‪ ASCII‬القدمية‪.‬‬
‫عدد متغري من البتات لتمثيل كل رمز‪ ،‬والذي يسمح لألحرف غري اإلجنليزية والرموز‬ ‫‪Unicode‬‬ ‫يستخدم يونيكود‬
‫اخلاصة أن تكون ممثلة‪.‬‬

‫ثنائياً ‪(Binary Coded Decimal) BCD‬‬ ‫‪ 3-5‬الشفرة العشرية املشفرة‬


‫‪Main‬‬ ‫استخدمت هذه الشفرة يف املاضي لتمثيل األعداد الصحيحة (‪ )Integers‬يف احلواسيب املركزية الكبرية القدمية (‬
‫‪ ،)Frames‬خاصة تلك اليت قامت إبنتاجها شركة ‪ ،IBM‬يف هذه الشفرة يتم متثيل كل رقم من األرقام من ‪ 0‬حىت ‪ ،9‬ابستخدام‬
‫شفرة ثنائية مكونة من أربع خاانت (‪ )4-bits Binary Code‬و ذلك كما هو مبني يف الشكل (‪:)4-2‬‬

‫ثنائياً ‪BCD‬‬ ‫الشكل (‪ )4-2‬الشفرة العشرية املشفرة‬

‫الحظ أن اخلاانت األربعة املستخدمة يف التمثيل هنا تعطينا ‪ 16‬شفرة (‪ )Code‬خمتلفة‪ ،‬استخدمنا منها فقط العشرة‬
‫األوىل وتبقت ‪ 6‬شفرات غري مستخدمة هي‪1010، 1011، 1100، 1101، 1110، 1111 :‬‬

‫‪50‬‬
‫أساسيات النظم الرقمية‬

‫أنخذ أرقام العدد ىف الصورة العشرية ونستبدل‬ ‫‪BCD‬‬ ‫لتمثيل أي عدد صحيح ابستخدام الشفرة العشرية املشفرة ثنائياً‬
‫كل رقم الشفرة العشرية املشفرة ثنائياً ‪ BCD‬اخلاصة به‪ ،‬مثالً‪:‬‬

‫‪8 1 3‬‬

‫‪0001 0011 1000‬‬

‫بتجميع الشفرات العشرية املشفرة ثنائياً ‪ BCD‬لألرقام حنصل على ‪)100000110001( BCD = 831‬‬

‫تشغل مساحة ختزينية أكرب من تلك‬ ‫‪BCD‬‬‫الحظ أن األعداد الصحيحة املمثلة ىف صورة الشفرة العشرية املشفرة ثنائياً‬
‫اليت تشغلها األعداد الصحيحة املمثلة ابلصورة التقليدية الىت سبق لنا دراستها‪ .‬كما أن إجراء العمليات احلسابية على األعداد‬
‫املمثلة ىف صورة الشفرة العشرية املشفرة ثنائياً ‪ BCD‬به الكثري من املشاكل والصعوابت والتعقيدات‪.‬‬

‫‪ 4-5‬الشفرة العشرية املوسعة املشفرة ثنائياً لتبادل املعلومات‬


‫)‪Extended Binary Coded Decimal Information Code (EBCDIC‬‬
‫حبيث تتمكن من متثيل الرموز‪ .‬و هي تشبه إىل‬‫هذه الشفرة هي عبارة عن تطوير للشفرة العشرية املشفرة ثنائياً‬
‫‪BCD‬‬

‫حد كبري شفرة آسكي ‪ ASCII‬إال أن الشفرة املوسعة ‪ EBCDIC‬مكونة من ‪ 8‬خاانت (‪ .)8 bits‬استخدمت الشفرة‬
‫لتمثيل الرموز يف احلواسيب املركزية الكبرية (‪ )Main Frames‬اليت تنتجها شركة آي يب ام ‪ ،IBM‬وما زالت‬ ‫‪EBCDIC‬‬ ‫املوسعة‬
‫إمكانية التعامل مع البياانت املمثلة ابستخدام الشفرة املوسعة ‪ EBCDIC‬موجودة حىت اآلن يف احلواسيب اليت تقوم إبنتاجها شركة‬
‫آي يب ام ‪ IBM‬وذلك لتمكني مستخدمي هذه األجهزة من الرجوع لبياانهتم القدمية‪.‬‬

‫" ‪Gray Code‬‬ ‫‪ 5-5‬الشفرة الرمادية " غراي‬


‫يطلق على الشفرة الرمادية " غراي " ‪ Gray Code‬أيضاً تسمية الشفرة املعكوسة )‪ ،(Reflected Code‬ذلك بسبب‬
‫واحدة‬ ‫‪Bit‬‬ ‫األسلوب املستخدم يف توليدها‪ ،‬متتاز هذه الشفرة أبن كل رمزين متتاليني فيها خيتلفان عن بعضهما البعض يف خانة‬
‫فقط‪ ،‬وميكن أن نقوم بتوليد الشفرة الرمادية كما وهو موضح يف اجلدول (‪ )5-2‬الذي يعرض القيم العشرية ‪،Decimal values‬‬
‫الشفرة الثنائية الطبيعية ‪ ،Natural binary code‬والشفرة الرمادية " غراي " ‪ ،Gray code‬وذلك من أجل شفرة بثالث خاانت‬
‫ثنائية‪.‬‬

‫تستخدم الشفرة الرمادية ىف التطبيقات الصناعية اليت تستخدم فيها األنظمة الرقمية يف التحكم ىف اآلالت‪.‬‬

‫‪51‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪ )5-2‬الشفرة الرمادية ‪ Gray Code‬وكيفية توليدها من أجل ثالث خاانت‬

‫الرتميز أزمو للحروف العربية ‪ASMO‬‬


‫‪ 6-5‬نظام ر‬
‫‪Arab organization for standardization and‬‬ ‫نظام أزمو‪ ،‬املنظمة العربية للمواصفات واملقاييس‬
‫الرتميز آسكي ‪ ASCII‬بنسبة كبرية‪ ،‬إذ يبدأ التخصيص للحروف العربية اعتباراً من‬ ‫)‪ ،metrology (Asmo‬يشرتك مع نظام ّ‬
‫الرمز ذي الرقم ‪ ،193‬علما أن األرقام اهلندية )املنتشرة يف بعض البلدان العربية) ال ختصص برتميز مستقل‪ ،‬بل يتم التعرف عليها‬
‫من خالل نظام التشغيل الذي يتوىل إظهارها ابستخدام ما يقابلها من األرقام العربية )املستخدمة يف معظم بلدان العامل)‪ .‬راجع‬
‫الرتميز أزمو‪.‬‬
‫(ملحق ‪ )2‬لالطالع على نظام ّ‬

‫الرموز ‪Character Encoding‬‬ ‫‪ 7-5‬متثيل‬


‫املقصود ابلرموز (‪ )characters‬هنا هو‪:‬‬
‫(وعددها ‪.)26‬‬ ‫)‪A, B, C, D, …, Z (Capital Letters‬‬ ‫احلروف االجنليزية الكبرية‬
‫(وعددها ‪.)26‬‬ ‫) )‪a, b, c, d, …, z (Small Letters‬‬ ‫احلروف االجنليزية الصغرية‬
‫األرقام (‪( 0,… ,3 ,2 ,1 , 9 )Digits‬وعددها ‪.)10‬‬
‫عالمات الرتقيم (‪.)Punctuation Marks‬‬
‫( ! “ ‪( ~ } | { ’ _ ^ ] \ [ @ ? > = < ; : / . - , + * ) ( ‘ &% $ #‬وعددها ‪.)32‬‬
‫الرموز البيضاء (‪ )White Characters‬مثل‪:‬‬
‫‪(.......‬وعددها حوايل ‪.)6‬‬ ‫‪Space‬‬ ‫سطر جديد ‪ ،New Line‬مسافة أفقية ‪ ،Horizontal Tab‬الفراغ‬
‫رموز حتكم (‪ )Control Characters‬مثل‪:‬‬
‫‪( ......‬وعددها حوايل ‪.)10‬‬ ‫‪Del‬‬ ‫احلذف اخللفي ‪ ،Back Space‬اهلروب ‪ ،ESC‬احلذف‬

‫أي أن العدد الكلي للرموز هو ‪ 10+6+32+10+26+26‬أي حوايل ‪ 110‬رمزاً‬

‫‪52‬‬
‫أساسيات النظم الرقمية‬

‫ويتم متثيل هذه الرموز ابستخدام شفرة ثنائية (‪ )Binary Code‬حبيث يكون لكل رمز منها شفرة فريدة متيزه‪.‬‬
‫واقل عدد من اخلاانت يلزم لتمثيل مجيع الرموز هو ‪ 7‬خاانت )‪ ،)7bits‬حيث أن عدد الشفرات الثتائية املتاحة يف هذه‬
‫احلالة هو ‪ 27 = 128‬و هذا العدد يكفي لتمثيل مجيع الرموز‪.‬‬

‫كما رأينا‪ ،‬يف أجهزة احلاسب جيب أن متثل مجيع األرقام داخلياً ابستخدام الشفرة الثنائية‪ ،‬لكن ماذا عن النص؟ كيف‬
‫ميكن أن يزودان احلاسب بتمثيل للرموز غري الرقمية اليت نستخدمها للتواصل‪ ،‬مثل هذه اجلملة اليت تقرأها اآلن؟ اجلواب هو معايري‬
‫أو خمططات ترميز األحرف‪.‬‬
‫هي جمموعة من املعايري تقوم بتعيني سلسلة فريدة من‬ ‫‪Character Encoding Standards‬‬ ‫معايري ترميز احلروف‬
‫اخلاانت الثنائية (البتات) لكل رمز‪ ،‬أي ميلك كل رمز شفرة مثاين فريد‪ ،‬ومت إجياد شفرة ملعظم الرموز واحلروف املستخدمة‪ ،‬احدى‬
‫هذه املعايري اليت استخدمت من قبل أجهزة احلاسب الشخصية لتمثيل احلروف هي شفرة أسكي ‪ ،ASCII‬يف حني تستخدم‬
‫أجهزة احلاسب املركزية الشفرة املوسعة ‪.EBCDIC‬‬

‫مع أن هذه املعايري كانت فعالة للغاية‪ ،‬ومع ذلك‪ ،‬فهي حمدودة‪ ،‬على سبيل املثال شفرة أسكي ‪ ASCII‬تستخدم فقط‬
‫سبع خاانت ثنائية (‪ 7‬بت) لتمثيل كل حرف‪ ،‬وهو مما يعين أنه ال ميكن متثيل سوى ‪ 128‬رمز (‪ ،)27=128‬وكان هذا جيد‬
‫ابلنسبة ملعظم احلروف يف اللغة اإلجنليزية ولكن مل يكن كافياً لدعم لغات أخرى مثل الصينية والياابنية‪ ،‬مع أن هذه اللغات لديها‬
‫أيضا العديد من الرموز ممثلة يف شفرة آسكي ‪ .ASCII‬لذلك معظم األنظمة الرقمية تستخدم اليوم الرتميز املوحد أو شفرة يونيكود‬
‫‪ ،Unicode‬والذي يستخدم ست عشرة خانة (‪ 16‬بت) قادرة على ترميز (‪ )216=65536‬رمز خمتلف‪.‬‬
‫وهنا نذكر بضرورة التمييز بني الرقم عندما يتعامل معه احلاسب كرقم وبني أن يتعامل معه كمحرف أو رمز‪.‬‬

‫‪ASCII‬‬ ‫الرتميز آسكي‬


‫‪ 8-5‬كيفية متثيل كلمة يف نظام ر‬
‫‪Word Representation in ASCII Code‬‬
‫والعلم الذى خيتص بدراسة‬ ‫‪Speech Processing‬‬ ‫ىف علوم احلاسب العلم الذى خيتص بدراسة أصوات الكالم هو‬
‫شكل احلرف ورمسه هو ‪ ،Typography‬أما ترتيب احلرف فاملفهوم داخل احلاسب خيتلف على ما هو عليه ىف اللغات الطبيعية‬
‫واجملال الذى خيتص بدراسته هو علم ترميز(تشفري) احلروف يف احلاسب ‪.Character encoding‬‬
‫يعترب جمال ‪ Character encoding‬من أهم جماالت احلاسب الىت ال يهتم هبا الكثريون‪ ،‬فبسببه أمكن رسم احلروف‬
‫وطباعتهم والتعامل معهم‪ ،‬وقد مر هذا اجملال ابلعديد من املراحل إىل أن وصل ملرحلة اإلستقرار الىت نشهدها حاليا‪.‬‬

‫سنشرح مثال فقط عن كيفية متثيل كلمة ابستخدام نظام الرتميز آسكي ‪.ASCII‬‬

‫‪53‬‬
‫أساسيات النظم الرقمية‬

‫مثال ‪:1‬‬
‫الرتميز آسكي ‪ ASCII‬جند أن‪:‬‬
‫ملعرفة كيفية ترميز كلمة ‪ GOD‬يف نظام ّ‬
‫• احلرف ‪ G‬رقمه ‪ )71(10‬أي يف النظام الثنائي ‪ )1000111(2‬ومبا أن نظام ّ‬
‫الرتميز يعتمد مثاين خاانت نضيف صفراً من‬
‫الرتميز‪.)1000111( :‬‬
‫جهة اليسار فنحصل على ّ‬
‫• احلرف ‪ O‬رقمه ‪ )111(10‬أي يف النظام الثنائي ‪ ،)1101111(2‬نضيف صفراً من جهة اليسار فنحصل على ّ‬
‫الرتميز‪:‬‬
‫(‪.)01101111‬‬
‫• احلرف ‪ D‬رقمه ‪ )100(10‬أي يف النظام الثنائي ‪ ،)1100100(2‬نضيف صفراً من جهة اليسار فنحصل على ّ‬
‫الرتميز‪:‬‬
‫(‪.)01100100‬‬
‫• وابلتايل فالكلمة ابلكامل يف النظام الثنائي وفق ترميز آسكي ‪ ASCII‬تتمثل كما يلي‪:‬‬

‫‪01100100‬‬ ‫‪01000111 1101111‬‬

‫مثال ‪:2‬‬
‫وجدان أن كلمة ‪ GOD‬تتمثل يف النظام الثنائي وفق ترميز ‪ ASCII‬كما يلي‪:‬‬

‫‪01100100‬‬ ‫‪01000111 1101111‬‬

‫معىن ذلك إذا فحصنا خاانت القرص الصلب الذي سجلت عليه هذه الكلمة فسنجد على التتايل ما يلي‪:‬‬
‫• خانة خالية من الشحنة مث خانتني ممغنطتني مث خانتني خاليتني من الشحنة مث خانة ممغنطة مث خانتني خاليتني )انتهى‬
‫احلرف الثالث = هناية البايت احلاوي له)‪.‬‬
‫• خانة خالية من الشحنة مث خانة ممغنطة مث ثالث خاانت خالية من الشحنة مث ثالث خاانت ممغنطة )انتهى احلرف‬
‫الثاين = هناية البايت احلاوي له)‪.‬‬
‫• خانتني ممغنطتني مث خانة خالية من الشحنة مث أربع خاانت ممغنطة )انتهى احلرف األول = هناية البايت احلاوي له)‪.‬‬

‫مثال ‪:3‬‬
‫على غرار ما سبق يتم متثيل الرقم ‪ 21‬مثالً يف حالة كتابته يف حمرر النصوص )أما يف حالة معاملته كرقم فتختلف طريقة ترميزه(‬
‫وعند ترميز الرقم ‪ 21‬كنص يكتب كما يلي‪:‬‬
‫• رمز الرقم واحد يقابله العدد ‪ )49(10‬والذي يعرب عنه يف الثنائي ابلعدد ‪)110001)2‬‬

‫‪54‬‬
‫أساسيات النظم الرقمية‬

‫وإلمتام اخلاانت الثمانية نضيف صفرين إىل اليسار فنحصل على‪)00110001) :‬‬
‫• رمز الرقم اثنني يقابله العدد ‪ )50(10‬والذي يعرب عنه يف الثنائي ابلعدد ‪)110010)2‬‬
‫وإلمتام اخلاانت الثمانية نضيف صفرين إىل اليسار فنحصل على‪)00110010) :‬‬
‫• وابإلمجال يكون ترميز الرقمي ‪( 21‬كنص) كما يلي‪:‬‬

‫‪00110010 00110001‬‬
‫وتسلسل مغنطة اخلاانت يقرأ على غرار ما شاهدان يف احلالة السابقة‪.‬‬

‫‪Real Numbers Representation‬‬ ‫‪ 9-5‬متثيل األعداد احلقيقية‬


‫العدد احلقيقي )‪ (Real Number‬هو العدد الذي يكون حمتوايً على كسر )‪ ،(Fraction‬مثل ‪ -22.753‬أو ‪ 0.1‬أو‬
‫‪ .2.4444‬يتكون العدد احلقيقي من جزئني‪ :‬عدد صحيح )‪ (Integer‬وكسر )‪ ،(Fraction‬تفصل بينهما الفاصلة )‪ ،(Point‬واليت‬
‫يطلق عليها يف النظام العشري الفاصلة العشرية )‪ ،(Decimal Point‬وللعدد احلقيقي إشارة )‪.(Sign‬‬

‫الشكل (‪ )2-2‬يوضح أجزاء العدد احلقيقي‪:‬‬


‫)‪(Point‬‬ ‫الفاصلة‬

‫)‪(Sign‬‬ ‫اإلشارة‬ ‫‪-22 . 753‬‬

‫الصحيح)‪(Integer‬‬ ‫اجلزء‬ ‫الكسري)‪(Fraction‬‬ ‫اجلزء‬

‫الشكل (‪ )2-2‬أجزاء العدد احلقيقي‬

‫لتمثيله يتم االنطالق ببساطة من كون أي جزء كسري هو عبارة عن عدد صحيح مضروب بقوى أساس النظام‪،‬‬
‫وابلتايل يؤخذ العدد الصحيح وتزاح الفاصلة فيه عرب الضرب ابلعدد عشرة مرفوعا للقوة نفسها‪ ،‬وهلذا السبب جند أن العدد احلقيقي‬
‫حيتاج حلجم ختزيين أكرب بكثري من العدد الصحيح‪.‬‬

‫‪55‬‬
‫أساسيات النظم الرقمية‬

‫‪Integers Representation‬‬ ‫‪ 10-5‬متثيل األعدد الصحيحة‬


‫للتعامل مع األعداد تعتمد منهجية أخرى‪ ،‬حيث يتم متثيل العدد حسب نوعه وحجمه‪ ،‬ولذلك جتد يف لغات الربجمة أن‬
‫جدا منها‪:‬‬
‫العدد يقسم إىل أصناف متعددة ً‬
‫العدد من النوع ابيت )‪ :(byte‬وهو العدد الذي ميكن متثيله يف اجملال ‪ ،255 – 0‬وهذا العدد ميكن احلصول عليه‬
‫مباشرة من حتويل العدد الثنائي إىل عدد عشري‪ ،‬وحنتاج من أجل متثيله إىل ابيت واحد‪ ،‬أي إىل سلسلة مؤلفة من مثاين خاانت‬
‫ثنائية‪.‬‬
‫العدد من النوع وورد )‪ :(word‬وهو ينحصر يف اجملال ‪ ،65535 – 0‬ومن الواضح أنه حيتاج إىل سلسلتني من اخلالاي‬
‫الثنائية كل منها بطول مثاين خاانت‪ ،‬وابلتايل ميكننا متثيل جمموعة من األعداد الطبيعية عددها ‪ 216 = 65535‬عدد‪ ،‬وهو نفس‬
‫العدد املعرف )‪.(word‬‬

‫الصـحيحة ‪Integer Types‬‬ ‫‪ 1-10-5‬أنواع األعداد‬


‫تنقسم األعداد الصحيحة إىل عدة أنواع حسب املساحة املستخدمة يف ختزين األعداد‪:‬‬
‫‪1 Byte = 8 bits‬‬ ‫وطوله‬ ‫‪ -1‬عدد صحيح قصري (‪)short Integer‬‬
‫‪2 Byte = 16 bits‬‬ ‫وطوله‬ ‫‪ -2‬عدد صحيح (‪)Integer‬‬
‫‪4 Byte = 32 bits‬‬ ‫وطوله‬ ‫‪ -3‬عدد صحيح طويل (‪)Long Integer‬‬

‫من انحية أخرى تنقسم األعداد الصحيحة حسب طبيعة األعداد اليت يتم ختزينها فيها إىل نوعني ومها‪:‬‬
‫‪ -1‬األعداد الصحيحة بدون إشارة )‪ (Unsigned Integers‬وفيها يتم ختزين األعداد املوجبة فقط‪.‬‬
‫‪ -2‬األعداد الصحيحة إبشارة )‪ (Signed Integers‬وفيها يتم ختزين األعداد املوجبة والسالبة‪.‬‬

‫‪Unsigned Numbers‬‬ ‫‪ 1-1-10-5‬األعداد الصـحيحة بدون إشارة‬


‫لتمثيل العدد الصحيح جيب حتويله بداية من الشكل العشري )‪ (Decimal‬إىل الشكل الثنائي )‪ ،(Binary‬ويتم ذلك‬
‫بتقسيمه على أساس النظام الثنائي أي الرقم ‪ 2‬واالحتفاظ بباقي القسمة‪ ،‬وكمثال العدد العشري ‪ 121‬يكافئ العدد الثنائي‬
‫‪ 1111001‬ويكتب ذلك رايضياً كما يلي‪:‬‬
‫‪)121(10=)1111001(2‬‬
‫وميكن التحقق من ذلك أبن نقوم ابلعملية العكسية‪ ،‬أي حتويل العدد الثنائي ‪ 1111001‬إىل الشكل العشري‪.‬‬

‫‪56‬‬
‫أساسيات النظم الرقمية‬

‫‪،(Least‬‬ ‫)‪Significant Bit‬‬ ‫تسمى اخلانة الواقعة يف أقصى اليمني يف العدد الثنائي ابخلانة الدنيا أو األقل أمهية‬
‫واختصاراً ‪ ،LSB‬وذلك ألهنا اخلانة األقل وزانً‪ .‬يف حني تسمى اخلانة الواقعة يف أقصى اليسار ابخلانة العليا أو األكثر أمهية‬
‫)‪ ،(Most Significant Bit‬واختصاراً نكتب ‪ ،MSB‬وذلك ألهنا اخلانة األعلى وزانً‪.‬‬

‫تذكر أن وزن اخلانة هو عبارة عن األساس ‪ 2‬مرفوع ألس يساوي رتبة اخلانة‪ ،‬وحنصل على رتب اخلاانت برتقيم‬
‫اخلاانت ابتداءً من اخلانة اليت تقع يف أقصى اليمني‪ ،‬مبتدئني ابلقيمة صفر‪.‬‬
‫‪7‬‬ ‫‪6‬‬ ‫‪5‬‬ ‫‪4‬‬ ‫‪3‬‬ ‫‪2‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫مراتب اخلاانت‬

‫‪MSB‬‬ ‫‪LSB‬‬

‫بعد حتويل العدد إيل الشكل الثنائي ننظر إىل املساحة املتاحة لتخزين العدد‪ ،‬ونقوم بوضع اخلاانت ابلرتتيب فيها مبتدئني‬
‫أبصفار)‪.(0"s‬‬ ‫ابخلانة الدنيا (‪ ،)LSB‬مع ملء أي خاانت فائضة إىل اليسار‬
‫فإن التخزين سيتم كالتايل‪:‬‬ ‫‪1 Byte = 8 bits‬‬ ‫مثالً إذا كانت املساحة املتاحة‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1 1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬

‫‪LSB‬‬

‫‪ 2 Bytes = 16 bits‬فإن التخزين سيتم كالتايل‪:‬‬ ‫إذا كانت املساحة املتاحة‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬

‫خاانت فائضة‬ ‫‪LSB‬‬

‫أي انه إذا كان طول العدد الثنائي أقل من املساحة املتاحة يتم حماذاته إىل اليمني مث متأل اخلاانت الزائدة إىل اليسار‬
‫أبصفار )‪ .(0"s‬تسمى هذه العملية ابحملاذاة إىل اليمني مع امللء أبصفار )‪.(Right Justify- Zero Fill‬‬

‫ميكن حساب مدى القيم اليت ميكن ختزينها يف صورة عدد صحيح قصري)‪ (Short Integer‬كالتايل‪:‬‬

‫‪57‬‬
‫أساسيات النظم الرقمية‬

‫‪0"s‬‬ ‫املساحة املتاحة هي‪ 1 Byte = 8 bits :‬أي ‪ 8‬خاانت ثنائية حنصل علي أصغر قيمة مبلء مجيع اخلاانت بـ‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫=‬ ‫‪0‬‬

‫‪1"s‬‬ ‫و حنصل علي أكرب قيمة مبلء مجيع اخلاانت بـ‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫=‬ ‫‪255‬‬

‫وعليه فإن مدى القيم اليت ميكن متثيلها ىف صورة عدد صحيح قصري(‪ )short Integer‬هو‬

‫‪ 255 ~ 0‬أو )‪0 ~ (28 -1‬‬


‫(‪ )Integer‬هو )‪0 ~ (216 -1‬‬ ‫وابملثل ميكن اثبات أن مدى القيم اليت ميكن متثيلها ىف صورة عدد صحيح‬
‫)‪0 ~ (2N -1‬‬ ‫وعموماً إذا كان عدد اخلاانت املتاحة هو ‪ N‬فإن املدى هو‬
‫اجلدول (‪ )6-2‬التايل يوضح أنواع األعداد الصحيحة وطول كل منها ومدى القيم الذي ميكن ختزينه يف كل نوع‬

‫مدى القيم‬ ‫طوله‬ ‫نوع العدد الصحيح‬


‫)‪0 ~ 255 0 ~ (28-1‬‬ ‫‪1 Byte = 8 bits‬‬ ‫‪Short Integer‬‬
‫)‪0 ~ 65,535 0 ~ (216 -1‬‬ ‫‪2 Bytes = 16 bits‬‬ ‫‪Integer‬‬
‫)‪0 0 ~ (232 -1‬‬
‫‪4 Bytes = 32 bits‬‬ ‫‪Long Integer‬‬
‫‪~4,294,967,295‬‬

‫)‪0 ~ (2N -1‬‬ ‫‪N‬‬ ‫‪------‬‬

‫اجلدول (‪ )6-2‬أنواع األعداد الصحيحة ومدى القيم لكل منها‬

‫)‪(Unsigned Integers‬‬ ‫تسمى األعداد الصحيحة اليت تعاملنا معها يف ما سبق ابألعداد الصحيحة بدون إشارة‬

‫‪58‬‬
‫أساسيات النظم الرقمية‬

‫‪Signed Integers‬‬ ‫‪ 2-1-10-5‬األعداد الصـحيحة ذات اإلشارة‬


‫تناولنا يف اجلزء السابق طريقة متثيل األعداد الصحيحة بدون إشارة )‪ ،(Unsigned Integers‬واليت يتم ختزين قيم موجبة‬
‫فقط هبا‪ ،‬وابلتايل فإن أصغر قيمة ميكن ختزينها فيها هي الصفر ‪.0‬‬

‫والسؤال اآلن هو كيف يتم متثيل األعداد السالبة يف احلاسب؟‬


‫لتمثيل األعداد السالبة يتم حجز خانة ‪ bit‬لتمثيل إشارة العدد ‪ ،sign‬وعادة ما تكون هذه اخلانة هي اخلانة العليا ‪،MSB‬‬
‫ويتم ختزين مقدار العدد ‪ Magnitude‬يف بقية اخلاانت‪.‬‬

‫اإلشارة‬ ‫املقدار‬

‫لتمثيل اإلشارة املوجبة‪ ،‬يف حني تستخدم القيمة ‪ 1‬لتمثيل اإلشارة‬ ‫‪MSB‬‬ ‫وعادة ما تستخدم القيمة ‪ 0‬يف اخلانة العليا‬
‫‪ MSB‬فإذا كان‪:‬‬ ‫السالبة‪ .‬فلمعرفة إشارة العدد ننظر إىل اخلانة العليا‬
‫‪ MSB = 0‬فالعدد موجب‬
‫‪ MSB = 1‬فالعدد سالب‬
‫فإننا نتجاهل إشارة‬ ‫‪1 Byte = 8 bits‬‬ ‫مثالُ إذا أردان متثيل القيمة ‪ 29 +‬يف صورة عدد صحيح إبشارة يف مساحة تبلغ‬
‫القيمة مؤقتاً ونقوم بتحويل املقدار من الصورة العشرية إىل الصورة الثنائية‪.‬‬

‫‪29 = (11101)2‬‬

‫املساحة املتاحة تبلغ مثان ‪ 8‬خاانت‪ ،‬نستبعد منها اخلانة العليا ‪ MSB‬لتمثيل اإلشارة‪ ،‬فيتبقى سبع ‪ 7‬خاانت لتمثيل‬
‫املقدار‪ ،‬يتم ختزين مقدار العدد الصحيح ذو اإلشارة يف املساحة املتاحة له بنفس طريقة ختزين األعداد الصحيحة بدون إشارة‬
‫أخريا نضع ‪ 0‬يف خانة اإلشارة ألن القيمة موجبة‪.‬‬
‫)‪ .(Unsigned Integers‬و ً‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪= 29‬‬

‫اإلشارة‬ ‫املقدار‬
‫ومتثيل القيمة ‪ 29 -‬يتم بنفس الطريقة ولكن مع وضع ‪ 1‬يف خانة اإلشارة ألن القيمة سالبة‪.‬‬

‫‪59‬‬
‫أساسيات النظم الرقمية‬

‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪= - 29‬‬

‫اإلشارة‬ ‫املقدار‬
‫يسمى هذا األسلوب يف متثيل األعداد الصحيحة ذات اإلشارة بطريقة )املقدار‪-‬اإلشارة) ‪ ،(Sign-Magnitude)،‬حيث مت‬
‫الفصل بصورة كاملة ما بني إشارة القيمة و مقدارها‪.‬‬

‫هذا األسلوب يف متثيل األعداد الصحيحة ذات اإلشارة به مشكلة خطرية تتمثل يف أن القيمة صفر هلا شكلني‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0 0‬‬ ‫‪=+0‬‬ ‫صفر موجب‬

‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪=-0‬‬ ‫صفر سالب‬

‫ووجود شكلني للصفر يعترب مشكلة ألن عملية فحص قيمة معينة ملعرفة ما إذا كانت مساوية للصفر أم ال هي من أكثر‬
‫كثريا من‬
‫العمليات اليت يتم إجراؤها داخل األنظمة الرقمية‪ ،‬ووجود شكلني للصفر يعىن أن هذه العملية جيب إجراؤها مرتني‪ ،‬مما يقلل ً‬
‫كفاءة النظام الرقمي‪.‬‬

‫حالً هلذه املشكلة يستخدم أسلوب املتمم الثنائي (‪ )2’s Complement‬لتمثيل األعداد الصحيحة ذات اإلشارة‪.‬‬
‫‪Byte = 8 bits‬‬ ‫مثالُ إذا أردان متثيل القيمة ‪ + 29‬يف صورة عدد صحيح إبشارة يف مساحة تبلغ‬
‫فإننا نتجاهل إشارة القيمة مؤقتاً و نقوم بتحويل املقدار من الصورة العشرية إىل الصورة الثنائية‬

‫‪29 = (11101)2‬‬

‫املساحة املتاحة تبلغ مثان ‪ 8‬خاانت‪ ،‬لذلك نقوم إبكمال طول العدد الثنائي إىل مثان ‪ 8‬خاانت وذلك إبضافة أصفار )‪(0"s‬‬
‫يسار العدد‪.‬‬ ‫إىل‬
‫‪(11101)2 = (00011101)2‬‬
‫أخريا نقوم بوضع العدد الثنائي يف املساحة املتاحة له‬
‫و ً‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪= + 29‬‬

‫‪60‬‬
‫أساسيات النظم الرقمية‬

‫أما لتمثيل القيمة ‪ - 29‬فإننا نبدأ بنفس خطوات متثيل القيمة ‪ ، + 29‬حيث نتجاهل إشارة القيمة مؤقتاً ونقوم بتحويل‬
‫املقدار من الصورة العشرية إىل الصورة الثنائية‪ ،‬مث نقوم إبكمال طول العدد الثنائي إىل ‪ 8‬خاانت وذلك إبضافة أصفار )‪ (0"s‬إىل يسار‬
‫العدد‪.‬‬

‫‪29 = (11101)2 = (00011101)2‬‬


‫و مبا أن القيمة املطلوب متثيلها سالبة فإننا حنتاج إىل إجياد املتمم الثنائي (‪ )2’s Complement‬للعدد الثنائي الناتج‪،‬‬
‫حيث أن املتمم الثنائي لعدد ثنائي هنا ميثل القيمة السالبة للعدد‪.‬‬

‫إجياد املتمم الثاين لعدد ثنائي يتم يف خطوتني كما أسلفنا سابقا يف هذا الفصل‪ ،‬اخلطوة األوىل هي إجياد املتمم األحادي‬
‫(‪ ،)1’s Complement‬وذلك بعكس مجيع خاانت العدد الثنائي‪ ،‬أي حتويل أي صفر ‪ 0‬إىل واحد ‪ 1‬وحتويل أي واحد ‪ 1‬إىل صفر‬
‫الثنائي‪.‬‬ ‫‪ 0‬اخلطوة الثانية هي إضافة واحد ‪ 1‬للتمم األحادي لنحصل على املتمم‬
‫‪00011101‬‬ ‫العدد‬
‫‪11100010‬‬ ‫املتمم األحادي‬
‫‪1 +‬‬
‫‪11100011‬‬ ‫املتمم الثنائي‬

‫له‪.‬‬ ‫أخريا نقوم بوضع العدد الثنائي الناتج يف املساحة املتاحة‬


‫ً‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪= - 29‬‬

‫ميكن أن نالحظ اآليت‪:‬‬


‫اخلانة العليا ‪ MSB‬مها مازالت متثل إشارة العدد‪ ،‬فـ ـاخلانة ‪ MSB=0‬للقيمة املوجبة ‪ +29‬و واخلانة ‪ MSB=1‬للقيمة السالبة‬ ‫‪o‬‬

‫‪.-29‬‬

‫املتمم الثنائي (‪ )2’s Complement‬لعدد ثنائي ميثل سالب ذلك العدد‪.‬‬ ‫‪o‬‬

‫وإشارته )‪ ،(Sign‬حيث أن مجيع اخلاانت مبا يف ذلك خانة اإلشارة‬ ‫)‪(Magnitude‬‬ ‫ال يوجد فصل ما بني مقدار العدد‬ ‫‪o‬‬

‫تدخل يف حساب مقدار العدد‪.‬‬

‫‪61‬‬
‫أساسيات النظم الرقمية‬

‫‪Find the Magnitude of Negative Number‬‬ ‫‪ 2-10-5‬إجياد مقدار العدد السالب‬


‫إبشارة‪.‬‬ ‫قصريا‬
‫املطلوب مثالً إجياد القيمة العشرية للعدد الثنائي ‪ 11100011‬إذا كان ميثل عدداً صحيحاً ً‬
‫مما يعين أن‬ ‫‪MSB=1‬‬ ‫نبدأ بتحديد إشارة العدد وذلك ابلنظر للخانة العليا ‪ ،MSB‬يف هذه احلالة جند أن اخلانة العليا‬
‫الثنائي له‪ ،‬ألن سالب العدد السالب عبارة عن عدد موجب كما نعلم‪.‬‬ ‫العدد سالب‪ ،‬إلجياد مقدار عدد سالب نقوم إبجياد املتمم‬

‫‪11100011‬‬ ‫العدد‬
‫‪00011100‬‬ ‫املتمم األحادي‬
‫‪1 +‬‬
‫‪00011101‬‬ ‫املتمم الثنائي‬
‫أخريا نقوم بتحويل املقدار من الشكل الثنائي للشكل العشري‪.‬‬
‫ً‬
‫‪(0011101)2 = (11101)2 = 29‬‬
‫هو ‪– 29‬‬ ‫إذاً العدد‬

‫وعموماً إلجياد قيمة عدد صحيح إبشارة ميكن استخدام املخطط يف الشكل (‪ )8-2‬التايل‪:‬‬

‫العدد السالب‪MSB=1‬‬

‫‪MSB‬‬ ‫نوجد المتمم الثاني‬


‫‪ MSB=0‬العدد املوجب‬

‫نحول من ثنائي إلى عشري‬

‫الشكل (‪ )8-2‬خمطط يوضح طريقة إجياد قيمة عدد صحيح إبشارة‬

‫مثال‪:‬‬
‫وضح طريقة متثيل القيمة ‪ -12‬يف صورة‪:‬‬

‫عدد صحيح قصري إبشارة )‪(Signed Short Integer‬‬ ‫‪-1‬‬


‫عدد صحيح إبشارة )‪(Signed Integer‬‬ ‫‪-2‬‬

‫‪62‬‬
‫أساسيات النظم الرقمية‬

‫احلل‪:‬‬
‫‪12 = (1100)2‬‬ ‫نقوم أوالً بتحويل املقدار إىل الصورة الثنائية‬
‫عدد صحيح قصري إبشارة‪:‬‬ ‫أ‪-‬‬
‫نكمل طول العدد إىل ‪ 8‬خاانت مث نقوم إبجياد املتمم الثنائي له‬
‫‪00001100‬‬ ‫العدد‬
‫‪11110011‬‬ ‫املتمم األحادي‬
‫‪1 +‬‬
‫‪11110100‬‬ ‫املتمم الثنائي‬

‫‪-12 = (1110100)2‬‬ ‫أي أن‬

‫ب‪ -‬عدد صحيح إبشارة‪:‬‬

‫نكمل طول العدد إىل ‪ 16‬خاانت مث نقوم إبجياد املتمم الثنائي له‬

‫‪0000000000001100‬‬ ‫العدد‬
‫‪1111111111110011‬‬ ‫املتمم األحادي‬
‫‪1 +‬‬
‫‪1111111111110100‬‬ ‫املتمم الثنائي‬

‫‪-12 = (1111111111110011)2‬‬ ‫أي أن‬

‫إىل ‪16‬‬ ‫خاانت مث قمنا يف ( ب ) بزايدة طول العدد‬ ‫‪8‬‬ ‫يف‬ ‫‪12 -‬‬ ‫يف املثال السابق قمنا يف ( أ ) بتمثيل العدد الصحيح ذو اإلشارة‬
‫خانة‪.‬‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1 1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫‪1's‬‬ ‫الحظ أننا قد قمنا مبلء اخلاانت الفائضة إىل اليسار بـ ـ ـ‬

‫‪63‬‬
‫أساسيات النظم الرقمية‬

‫وابملقارنة إذا أردان متثيل القيمة املوجبة ‪ 12 +‬يف ‪ 8‬خاانت مث يف ‪ 16‬خانة‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0 0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫الحظ أننا قد قمنا مبلء اخلاانت الفائضة إىل اليسار بـ ـ ـ ‪0 's‬‬

‫ميكن بصورة عامة القول أنه عند زايدة طول العدد الصحيح ذو اإلشارة فإننا نقوم مبلء اخلاانت الفائضة إىل اليسار إبشارة‬
‫و تسمى هذه العملية بتمديد اإلشارة )‪.(Sign Extension‬‬ ‫العدد‬

‫مثال‪:‬‬
‫‪ 11110101‬وذلك إذا كان ميثل‪:‬‬ ‫أوجد القيمة العشرية للعد الثنائي‬
‫)‪.(Unsigned Short Integer‬‬ ‫‪ -1‬عدد صحيح قصري بدون إشارة‬
‫‪ -2‬عدد صحيح قصري إبشارة )‪.(Signed Short Integer‬‬
‫احلل‪:‬‬
‫‪ )1‬العدد بدون إشارة )‪ ،(Unsigned‬وابلتايل فإن كل اخلاانت متثل مقدار العدد‪ ،‬وما علينا إال التحويل من الشكل الثنائي‬
‫إىل الشكل العشري‬
‫‪(11110101)2= 27 + 26 +25+ 24+ 22 +20= 128+ 64+ 32 +16+ 4+ 1 =245‬‬

‫‪ )2‬العدد إبشارة )‪ (Signed‬و عليه ننظر للخانة العليا ‪ MSB‬لتحديد إشارته‪ MSB=1 ،‬مما يعين أن العدد سالب‪ .‬حلساب‬
‫املقدار نقوم إبجياد املتمم الثنائي‬
‫‪11110101‬‬ ‫العدد‬
‫‪00001010‬‬ ‫املتمم األحادي‬
‫‪1 +‬‬
‫‪00001011‬‬ ‫املتمم الثنائي‬
‫مث حنول املقدار للصورة العشرية ‪(00001011)2= (1011)2=23+ 21 +20 =11‬‬

‫أي أن القيمة هي ‪-11‬‬

‫‪64‬‬
‫أساسيات النظم الرقمية‬

‫‪ 3-10-5‬مدى القيم اليت ميكن ختزينها يف مساحة معينة يف صورة عدد صحيح إبشارة‬
‫‪Range of Values That Can Be Stored in Signed Integers Format‬‬
‫لتوضيح األمر نبدأ ابملثال التايل‪.‬‬

‫)‪ (Signed Integers‬الىت ميكن متثيلها يف مساحة قدرها ‪ 4‬خاانت‪.‬‬ ‫مثال ‪:‬حدد مجيع األعداد الصحيحة ذات اإلشارة‬
‫اجلدول (‪ )7-2‬يظهر ذلك‪.‬‬

‫قيم موجبة‬ ‫القيمة العشرية‬ ‫قيم سالبة‬ ‫القيمة العشرية‬


‫)‪(MSB=0‬‬ ‫)‪(Decimal‬‬ ‫)‪(MSB=1‬‬ ‫)‪(Decimal‬‬
‫‪0000‬‬ ‫‪+0‬‬ ‫‪1000‬‬ ‫‪-8‬‬

‫‪0001‬‬ ‫‪+1‬‬ ‫‪1001‬‬ ‫‪-7‬‬

‫‪0010‬‬ ‫‪+2‬‬ ‫‪1010‬‬ ‫‪-6‬‬

‫‪0011‬‬ ‫‪+3‬‬ ‫‪1011‬‬ ‫‪-5‬‬

‫‪0100‬‬ ‫‪+4‬‬ ‫‪1100‬‬ ‫‪-4‬‬

‫‪0101‬‬ ‫‪+5‬‬ ‫‪1101‬‬ ‫‪-3‬‬

‫‪0110‬‬ ‫‪+6‬‬ ‫‪1110‬‬ ‫‪-2‬‬

‫‪0111‬‬ ‫‪+7‬‬ ‫‪1111‬‬ ‫‪-1‬‬

‫اجلدول (‪ )7-2‬األعداد الصحيحة ذات اإلشارة )‪ (Signed Integers‬الىت ميكن متثيلها يف مساحة قدرها ‪ 4‬خاانت‬

‫و عليه فإن مدى القيم اليت ميكن متثيلها يف صورة عدد صحيح إبشارة )‪ (Signed Integer‬طوله ‪ 4‬خاانت هو‬
‫‪-8 ~ +7‬‬
‫‪-23 ~ +23 -1‬‬
‫‪-24-1 ~ +24-1 -1‬‬
‫و بصورة عامة فإن مدى األعداد الصحيحة ذات اإلشارة )‪ (Signed Integers‬الىت ميكن متثيلها ىف مساحة تبلغ ‪ N‬خانة‬
‫هو‬
‫‪-2N-1 ~ +2N-1 -1‬‬

‫‪65‬‬
‫أساسيات النظم الرقمية‬

‫)‪ (Integers‬تنقسم من حيث اإلشارة إىل نوعني ‪:‬‬ ‫وكملخص ملا سبق فإن األعداد الصحيحة‬
‫)‪(Signed‬‬ ‫إبشارة‬ ‫▪‬

‫)‪(Unsigned‬‬ ‫بدون إشارة‬ ‫▪‬

‫كما تنقسم األعداد الصحيحة (سواء كانت إبشارة أو بدون إشارة)‪ ،‬من حيث الطول‪ ،‬إىل ثالثة أنواع‬
‫‪Short‬‬ ‫صحيح قصري‬ ‫•‬

‫‪Integer‬‬ ‫صحيح عادي‬ ‫•‬

‫‪Long‬‬ ‫صحيح طويل‬ ‫•‬

‫مالحظة‪:‬‬
‫و‬ ‫‪Signed Integer‬‬ ‫تعين‬ ‫‪Integer‬‬ ‫عادة ال تذكر كلمة ‪ Signed‬صراحة يف لغات الربجمة و إمنا تفهم ضمناً‪ ،‬فمثالً‬
‫‪ Short Integer‬تعين ‪ ،Signed Short Integer‬أما الكلمة ‪ Unsigned‬فيجب أن تذكر صراحة‪.‬‬

‫مما سبق يتضح لنا أن األعداد الصحيحة يتم متثيلها دون أي خطأ‪ ،‬أي ابلدقة الكاملة‪ ،‬طاملا أن عدد اخلاانت املتاحة يكفي‬
‫لتمثيل القيمة‪ ،‬املشكلة الوحيدة اليت ميكن أن تظهر يف متثيل األعداد الصحيحة هي أن تكون القيمة املطلوب ختزينها خارج املدى‬
‫احملدد للمساحة املتاحة‪ ،‬عند ذلك حيدث ما يسمى الفيض احلسايب ‪.Mathematical Over Flow‬‬

‫‪66‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫ما هو نظام الرتميز؟‬ ‫‪-1‬‬

‫الرتميز املعياري آسكي ‪ ASCII‬؟‬


‫ما هو نظام الرتميز املوحد ‪ .Unicode‬نظام ّ‬ ‫‪-2‬‬

‫الرتميز؟‬
‫الرتميز‪ ،‬أهداف ّ‬
‫ما هي القواعد الرئيسية عند القيام بعملية ّ‬ ‫‪-3‬‬

‫)‪.(Parity bit‬‬ ‫ما هي خانة التحقق‬ ‫‪-4‬‬

‫قارن بني الشفرات ‪.EBCDIC, ASCII, and Unicode‬‬ ‫‪-5‬‬

‫)‪.(Odd Parity‬‬ ‫اشرح عملية التحقق الفردي‬ ‫‪-6‬‬

‫ما هو نظام الرتميز الثنائي ‪ Binary Code System‬؟‬ ‫‪-7‬‬

‫قارن بني الشفرات ‪.EBCDIC, ASCII, and Unicode‬‬ ‫‪-8‬‬

‫مباذا متتاز الشفرة الرمادية الشفرة الرمادية ‪.Gray Code‬‬ ‫‪-9‬‬

‫ما هي الشفرة العشرية املشفرة ثنائياً ‪.BCD‬‬ ‫‪- 10‬‬

‫وضح ما حيدث إذا أردان أن نقوم بتخزين القيمة العشرية ‪ 150‬يف صورة‬
‫إبشارة )‪(Unsigned Short Integer‬‬ ‫‪ -1‬عدد صحيح قصري بدون‬ ‫‪- 11‬‬

‫إبشارة )‪(Signed Short Integer‬‬ ‫‪ -2‬عدد صحيح قصري‬


‫حول األعداد العشرية التالية إىل الصورة الثنائية‪:‬‬
‫‪- 12‬‬
‫(‪255 )4‬‬ ‫(‪96 )3‬‬ ‫(‪150 )2‬‬ ‫(‪32 )1‬‬
‫حول األعداد الثنائية التالية إىل الصورة العشرية‪:‬‬
‫(‪111000111 )2‬‬ ‫(‪011010101)1‬‬ ‫‪- 13‬‬
‫(‪11100011 )4‬‬ ‫(‪101110110 )3‬‬
‫قارن بني عملية متديد العدد‪ ،‬أي زايدة طوله‪ ،‬يف كل من األعداد الصحيحة بدون إشارة‪ ،‬واألعداد الصحيحة‬
‫‪- 14‬‬
‫ذات اإلشارة‪.‬‬
‫‪(Signed‬‬ ‫أوجد القيمة العشرية لكل من األعداد الثنائية التالية إذا كان كل منها ميثل عدد قصري إبشارة‬
‫)‪Short‬‬
‫‪- 15‬‬
‫‪11110000‬‬ ‫(‪)2‬‬ ‫‪101010111000‬‬ ‫(‪)1‬‬
‫‪0011001100‬‬ ‫(‪)4‬‬ ‫‪111000111000‬‬ ‫(‪)3‬‬

‫‪67‬‬
‫أساسيات النظم الرقمية‬

‫طاملا أن مدى القيم اليت ميكن ختزينها يف األعداد الصحيحة يزداد كلما ازداد طول العدد فلماذا مت استخدام‬
‫أطوال خمتلفة لألع ّداد (حيث استخدمت األطوال ‪ 8‬و ‪ 16‬و ‪ 32‬خانة)؟‬
‫)‪(Signed Short Integer‬‬ ‫وضح طريقة متثيل كل من القيم التالية يف صورة عدد صحيح قصري إبشارة‬
‫(‪ + 1 )3‬و ‪-1‬‬ ‫(‪ + 64 )2‬و ‪-65‬‬ ‫(‪ + 16 )1‬و ‪-16‬‬ ‫‪- 17‬‬
‫(‪ + 7 )6‬و‪-7‬‬ ‫(‪ + 222 )4‬و ‪ + 10 )5( -222‬و ‪-10‬‬

‫‪68‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أوالعبارة‬

‫‪ASCII (American‬‬ ‫شفرة أسكي (الشفرة‬


‫‪Standard Code‬‬
‫‪48‬‬ ‫األمريكية القياسية‬ ‫‪41‬‬ ‫‪Digital Systems‬‬ ‫أنظمة املعلومات الرقمية‬
‫‪for Information‬‬
‫)‪Interchange‬‬ ‫لتبادل املعلومات)‬

‫‪49‬‬ ‫‪Parity Check‬‬ ‫عملية التحقق‬ ‫‪41‬‬ ‫‪Electronic Data‬‬ ‫البياانت االلكرتونية‬

‫‪49‬‬ ‫‪Odd Parity‬‬ ‫التحقق الفردي‬ ‫‪41‬‬ ‫‪Data Instructions‬‬ ‫التعليمات االلكرتونية‬

‫‪49‬‬ ‫‪Even Parity‬‬ ‫التحقق الزوجي‬ ‫‪42‬‬ ‫‪Coding System‬‬ ‫نظام الرتميز‬

‫‪49‬‬ ‫‪Parity Bit‬‬ ‫خانة التحقق‬ ‫‪42‬‬


‫‪Digital Data‬‬
‫التمثيل الرقمي للبياانت‬
‫‪Representation‬‬
‫الرتميز املوحد أو شفرة‬
‫‪49‬‬ ‫‪Unicode‬‬ ‫‪43‬‬ ‫‪Cryptography‬‬ ‫علم الرتميز‬
‫يونيكود‬

‫‪50‬‬ ‫‪Code Point‬‬ ‫رقم مرمز فريد‬ ‫‪44‬‬ ‫‪Binary Code System‬‬ ‫نظام الرتميز الثرنائي‬
‫احلاسب املركزي‬ ‫‪Binary Number‬‬
‫‪50‬‬ ‫‪Main Frames‬‬ ‫‪44‬‬ ‫نظام العد الثرنائي‬
‫الكبري‬ ‫‪System‬‬

‫‪Binary Coded‬‬ ‫الشفرة العشرية‬


‫‪50‬‬ ‫‪44‬‬ ‫‪Hexa Decimal System‬‬ ‫نظام العد الست عشري‬
‫)‪Decimal (BCD‬‬ ‫املشفرة ثنائياً‬
‫‪51‬‬ ‫‪Gray Code‬‬ ‫الشفرة الرمادية‬ ‫‪45‬‬ ‫‪Decimal System‬‬ ‫النظام العشري‬

‫‪51‬‬ ‫‪Reflected Code‬‬ ‫الشفرة املعكوسة‬ ‫‪45‬‬ ‫‪Machine Language‬‬ ‫لغة اآللة‬

‫‪Extended Binary‬‬ ‫الشفرة العشرية‬


‫‪Coded Decimal‬‬
‫‪51‬‬ ‫املوسعة املشفرة ثنائياً‬ ‫‪45‬‬
‫‪Binary Computer‬‬
‫لغة عمل احلاسب الثنائية‬
‫‪Information Code‬‬ ‫‪Working Language‬‬
‫)‪(EBCDIC‬‬ ‫لتبادل املعلومات‬
‫‪Arab organization‬‬ ‫نظام أزمو‪ ،‬املنظمة‬
‫‪for‬‬
‫‪Digital Electronic‬‬
‫‪52‬‬ ‫‪standardization‬‬ ‫العربية للمواصفات‬ ‫‪45‬‬ ‫الرقميرة‬
‫ال ردوائر اإللكرتونيرة ر‬
‫‪Circuits‬‬
‫‪and metrology‬‬
‫)‪(Asmo‬‬
‫واملقاييس‬

‫‪52‬‬ ‫‪Capital Letters‬‬ ‫األحرف الكبرية‬ ‫‪48‬‬ ‫‪Standard Codes‬‬ ‫الشفرات القياسية‬

‫‪69‬‬
‫أساسيات النظم الرقمية‬

‫‪56‬‬ ‫‪Word‬‬ ‫العدد من النوع وورد‬ ‫‪52‬‬ ‫‪Small Letters‬‬ ‫األحرف الصغرية‬

‫‪56‬‬ ‫‪Short Integer‬‬ ‫عدد صحيح قصري‬ ‫‪52‬‬ ‫‪Punctuation Marks‬‬ ‫عالمات الرتقيم‬
‫‪Character Encoding‬‬
‫‪56‬‬ ‫‪Long Integer‬‬ ‫عدد صحيح طويل‬ ‫‪53‬‬ ‫معايري ترميز احلروف‬
‫‪Standards‬‬
‫األعداد الصحيحة‬
‫‪56‬‬ ‫‪Unsigned Integers‬‬ ‫‪53‬‬ ‫‪Speech Processing‬‬ ‫علم أصوات الكالم‬
‫بدون إشارة‬
‫األعداد الصحيحة‬
‫‪56‬‬ ‫‪Signed Integers‬‬ ‫‪53‬‬ ‫‪Typography‬‬ ‫علم شكل احلرف ورمسه‬
‫إبشارة‬

‫‪Most Significant‬‬ ‫علم ترميز(تشفري) احلروف‬


‫‪57‬‬ ‫اخلانة األكثر أمهية‬ ‫‪53‬‬ ‫‪Character encoding‬‬
‫(‪Bit )MSB‬‬ ‫يف احلاسب‬
‫‪Least Significant‬‬
‫‪57‬‬ ‫اخلانة األقــل أمهية‬ ‫‪55‬‬ ‫‪Real Numbers‬‬ ‫األعداد احلقيقية‬
‫)‪Bit (LSB‬‬

‫‪Right Justify-‬‬ ‫احملاذاة إىل اليمني مع‬


‫‪57‬‬ ‫‪55‬‬ ‫‪Fraction‬‬ ‫اجلزء الكسري‬
‫‪Zero Fill‬‬ ‫امللء أبصفار‬

‫‪59‬‬ ‫‪Magnitude‬‬ ‫مقدار العدد‬ ‫‪55‬‬ ‫‪Integer‬‬ ‫العدد الصحيح‬

‫‪60‬‬ ‫‪2’s Complement‬‬ ‫املتمم الثنائي‬ ‫‪55‬‬ ‫‪Decimal Point‬‬ ‫الفاصلة العشرية‬

‫‪61‬‬ ‫‪1’s Complement‬‬ ‫املتمم األحادي‬ ‫‪55‬‬ ‫‪Sign‬‬ ‫االشارة‬

‫‪64‬‬ ‫‪Sign Extension‬‬ ‫متديد اإلشارة‬ ‫‪56‬‬ ‫‪Integers‬‬ ‫األعداد الصحيحة‬


‫‪Mathematical‬‬
‫‪66‬‬ ‫خطأ الفيض احلسايب‬ ‫‪56‬‬ ‫‪Byte‬‬ ‫العدد من النوع ابيت‬
‫‪Over Flow‬‬

‫‪70‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الثالث ‪3‬‬


‫األنظمة العددية‬
‫‪Number Systems‬‬

‫‪71‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫معرفة كيف متثل األعداد وما هي أهم األنظمة العددية املوجودة‪.‬‬
‫التحويل بني النظام الثنائي والنظام العشري‪.‬‬
‫إجراء العمليات احلسابية يف النظام الثنائي‪.‬‬
‫التحويل بني النظام الثماين والنظام العشري‪.‬‬
‫إجراء العمليات احلسابية يف النظام الثماين‪.‬‬
‫التحويل بني النظام الست عشري والنظام العشري‪.‬‬
‫إجراء العمليات احلسابية يف النظام الست عشري‪.‬‬
‫التحويل بني النظام الثنائي والنظام الثماين والست عشري‪.‬‬
‫التعرف على متثيل األعداد بواسطة الفاصلة العائمة وأنواع الدقة املوجودة‪.‬‬
‫التعرف على األرقام الثنائية املؤشرة وعملييت اجلمع والطرح عليها‪.‬‬

‫‪72‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫إن من أفضل الطرق لفهم شيء جديد مقارنته بشيء معروف لدينا وابلتايل تظهر لنا االختالفات‪ ،‬يف هذا الفصل سوف‬
‫نتناول ابلدراسة نظام األعداد الثنائية ‪ ،Binary Number System‬والذي يعترب من أهم النظم املستخدمة يف الدوائر االلكرتونية‬
‫الرقمية ‪ ،Digital Electronic Circuits‬ولكي نتمكن يف فهم هذا النظام العددي اجلديد‪ ،‬سوف نقوم مبقارنته ابلنظام العشري‬
‫لألع ّداد ‪ ،Decimal Number System‬املألوف لدينا‪ ،‬وابالضافة إىل النظام الثنائي لألع ّداد هناك نظامان عدداين آخران‬
‫يستخدمان بكثرة يف االلكرتونيات الرقمية‪ ،‬ومها النظام الثماين لألع ّداد ‪ ،Octal Number System‬والنظام الست عشري‬
‫‪ ،Hexadecimal Numbering System‬سنقوم ابلتعرف عليهما يف هذا الفصل‪.‬‬
‫تستخدم األعداد الثنائية على نطاق واسع يف االلكرتونيات الرقمية واحلاسبات كما تستخدم نظم األعداد الثمانية‬
‫والست عشرية يف متثيل جمموعات األرقام الثنائية‪ ،‬وميكننا استخدام كل النظم العددية املذكورة سابقاً يف احلاسبات‪ ،‬وكلها تعتمد‬
‫على قيم وأماكن اخلاانت يف األعداد‪ ،‬وعند دراستنا ألي نظام عددي سنتناول فيه دراسة اخلواص التالية‪:‬‬
‫‪ .1‬أساس النظام‪.‬‬
‫‪ .2‬الرموز املستخدمة‪.‬‬
‫‪ .3‬التحويل من النظام العشري هلذا النظام والعكس‪.‬‬
‫‪ .4‬التحويل من هذا النظام إىل بقية األنظمة‪.‬‬
‫‪ .5‬العمليات احلسابية األربعة اخلاصة هبذا النظام‪.‬‬

‫‪Number System‬‬ ‫‪ -2‬األنظمة العددية‬


‫‪Number - Digit‬‬ ‫‪ 1-2‬العدد والرقم‬
‫قبل أن نتناول دراسة نظم األعداد جيب أن نفرق بني مصطلحني هامني مها الرقم ‪ Digit‬والعدد ‪ ،Number‬فالرقم هو‬
‫قيمة رمز ‪ Symbol‬واحد من الرموز األساسية لألع ّداد والذي حيتل خانة واحدة‪ ،‬فاألرقام (‪ )0,1,2,3,4,5,6,7,8,9‬كل واحد منها‬
‫ميثل رقم واحد يف سلسلة العدد الواحد‪.‬‬
‫العدد يف اللغة هو الكميّة‪ ،‬ويشري إىل تع ّداد بضعة أشياء أو جمموعها أو إىل مواقعها يف قائمة مرتبّة‪ ،‬واأللفاظ ال ّدالة على‬
‫الكميّة حبسب الوضع تسمى أمساء العدد‪ ،‬أي العدد هو املقدار الذي يتكون من رقم واحد أو أكثر‪ ،‬أو أنه املقدار الذي ميثل خانة‬
‫واحدة أو أكثر‪ ،‬فعلى سبيل املثال املقدار (‪ )14‬ميثل عدداً وكذلك املقدار (‪ )123‬ميثل عدداً‪ ،‬ويف املقدار األول فإن العدد (‪)14‬‬
‫يتكون من رقمني مها (‪ ،)1,4‬ويف املقدار الثاين فإن العدد (‪ )123‬يتكون من ثالثة أرقام هي (‪ ،)1,2,3‬وميكن أن يكون (‪ )6‬مثالً‬
‫عدد إذا كانت سلسلته تتكون من رقم واحد‪.‬‬

‫‪73‬‬
‫أساسيات النظم الرقمية‬

‫إذاً األرقام هي أشكال تكتب فيها رموز األعداد‪ ،‬وهي حمدودة وعددها عشرة‪ ،‬من ‪ 1‬حىت ‪ ،9‬أما األعداد فال ينتهي‬
‫فالرقم يشري إىل عدد من األعداد‪.‬‬
‫ع ّدها‪ ،‬فرمز العدد سبعة يتكون من رقم واحد هو ‪ ،7‬وعليه ّ‬

‫‪Numbers Representation‬‬ ‫‪ 2-2‬متثيل األعداد‬


‫تعريف قاعدة النظام العددي بشكل عام (أساس النظام ‪ :)System Base‬هي العناصر اليت يتم منها تشكيل أي‬
‫عدد يف النظام العددي املعين‪ ،‬وتساوي إىل أكرب رقم بني تلك العناصر مضافاً إليه واحد‪ ،‬ويسمى النظام بعدد األرقام (العناصر)‬
‫املستخدمة لتشكيل األعداد فيه‪.‬‬
‫ميكن متثيل أي عدد موجب ‪ N‬يف أي نظام عددي ابستخدام املعادلة التالية‪:‬‬

‫املعادلة (‪)1‬‬

‫حيث ‪ r‬متثل أساس نظام العد (وهو عدد صحيح وأكرب من الواحد‪ ،‬مثالً يف النظام الثنائي ‪.)r=2‬‬
‫‪ a‬أمثال العدد ومتثل األعداد الصحيحة يف كل خانة من خاانت العدد (مثالً ‪ a=1‬أو ‪ a=0‬يف نظام العد الثنائي)‪.‬‬
‫‪ i‬متثل خانة العدد‪ ،‬وعندما تكون موجبة متثل اجلزء الصحيح من العدد‪ ،‬وعندما تكون سالبة متثل اجلزء الكسري‪.‬‬

‫العشري ‪Decimal Number System‬‬ ‫‪ 3-2‬نظام العد‬


‫قاعدة النظام العشري هي (‪ )r=10‬مؤلفة من الرموز (األرقام ‪ 0,1,2,3,4,5,6,7,8,9 )Digits‬اليت تستخدم لتمثيل‬
‫األعداد‪ ،‬وهو أقدم األنظمة العددية وأكثرها استخداماً‪ ،‬ويعدو ذلك المتالك االنسان ‪ 10‬أصابع‪.‬‬
‫كل رقم من األرقام العشرة ‪ 9~ 0‬ميكل قيمة معينة متثل كمية حمددة‪ ،‬وهذه األرقام ليست حمدودة ابلتعبري فقط عن هذه‬
‫القيم فقط‪ ،‬بل ميكن استعمال هذه األرقام مبواقع مناسبة ضمن العدد لإلشارة إىل مقدار الكمية اليت نريدها‪ ،‬فإذا أردان أن نعرب عن‬
‫كمية أكرب من ‪ 9‬فإننا نستعمل ‪ 2‬أو أكثر من األرقام‪ ،‬وموقع كل رقم ضمن العدد خيربان عن املقدار الذي متثله‪ ،‬فإذا أردان أن نعرب‬
‫عن الكمية ثالث وعشرون‪ ،‬نستعمل رقمني مبواقع حمددة‪ ،‬فالرقم ‪ 2‬ميثل الكمية عشرون‪ ،‬والرقم ‪ 3‬ميثل الكمية ثالثة كما يف‬
‫الشكل (‪ )1-3‬الذي يوضح ذلك‪.‬‬
‫للنظام العشري خاصية مرتبة الرقم أو الوزن املوضعي ‪ ،Positional Weight‬فعلى سبيل املثال العدد ‪ 128‬جند أن‬
‫يتألف من الرقم األول ‪ 8‬يقع يف املرتبة األوىل مرتبة خانة اآلحاد‪ ،‬أي أن قيمته أو وزنه هو الثمانية‪ ،‬وتكون عبارة عن حاصل ضرب‬
‫الرقم الذي ميثل هذه املرتبة يف ‪ ،)8=1×8 ( 1‬أما الرقم الثاين فهو ‪ 2‬فإنه يقع يف املرتبة الثانية مرتبة العشرات وقيمته أو وزنه عبارة‬
‫عن حاصل ضرب الرقم الذي حيتل هذه املرتبة يف ‪ ،)20=10×2( 10‬أما الرقم الثالث ‪ 1‬فإنه يقع يف املرتبة الثالثة مرتبة املئات‬

‫‪74‬‬
‫أساسيات النظم الرقمية‬

‫وقيمته أو وزنه عبارة عن حاصل ضرب الرقم الذي حيتل هذه اخلانة يف ‪ ،)100=100×1( 100‬فإذا مجعنا قيمة أو وزن كل خانة‬
‫من اخلاانت السابقة حنصل على القيمة اليت ميثلها العدد‪ ،‬أي أن‪:‬‬
‫‪)100×1(+)10×2(+)100×1(=100+20+8=128‬‬

‫الرقم ‪ 3‬ميلك الوزن‬ ‫الرقم ‪ 2‬ميلك الوزن‬


‫‪ 1‬يف هذا املوقع‬ ‫‪ 10‬يف هذا املوقع‬

‫الشكل (‪ )1-3‬التعبري عن الرقم ‪ 23‬حسب الوزن‬

‫متثّل األعداد يف النظام العشري بواسطة قوى األساس ‪ ،10‬وهذه بدورها تسمى أوزان خاانت العدد‪ .‬فموقع كل رقم يف‬
‫العدد العشري يشري إىل مقدار الكمية اليت ميثلها واليت ميكن أن تلحق ابلوزن ‪ ،Weight‬األوزان ‪ Weights‬هي القوى املوجبة‬
‫للعشرة اليت تزداد من اليمني لليسار بدءا من ‪ 100=1‬للقسم الصحيح من العدد‪ ،‬وهي القوى السالبة للعشرة ابلنسبة للقسم‬
‫الكسري من العدد واليت تتناقص من اليسار إىل اليمني بدءاً من ‪ ، 10-1‬وابلتايل أوزان النظام هي‪:‬‬
‫الفاصلة العشرية‬

‫‪10‬‬
‫‪3‬‬
‫‪10‬‬
‫‪2‬‬
‫‪10‬‬
‫‪1‬‬
‫‪10‬‬
‫‪0‬‬
‫‪.‬‬ ‫‪10‬‬
‫‪–1‬‬
‫‪10‬‬
‫‪-2‬‬
‫‪10‬‬
‫‪-3‬‬

‫‪1000‬‬ ‫‪100‬‬ ‫‪10‬‬ ‫‪1‬‬ ‫‪0.1‬‬ ‫‪0.01‬‬ ‫‪0.001‬‬

‫مثال‬
‫للتعبري عن العدد ‪ 4659.32‬حسب املعادلة (‪:)1‬‬

‫= ‪4×103 + 6×102+ 5×101 + 9×100+ 3×10-1 + 2×10-2‬‬

‫= ‪4×1000 + 6×100+ 5×10 + 9×1 + 3× 0.1 + 2× 0.01‬‬

‫‪4000 + 600 + 50 + 9 +‬‬ ‫‪0.3 + 0.02 = 4659.32‬‬

‫‪75‬‬
‫أساسيات النظم الرقمية‬

‫‪Binary Number System‬‬ ‫‪ 4-2‬نظام العد الثنائي‬


‫هو طريقة أخرى للتعبري عن الكميات‪ ،‬وهو أقل تعقيداً من النظام العشري‪ ،‬ألنه يتكون من رقمني فقط‪.‬‬
‫قاعدة النظام الثنائي هي (‪ )r=2‬مؤلفة من العنصرين صفر وواحد {‪ ،}0،1‬وابلتايل فكل عدد ثنائي ينبغي أن يكون‬
‫مكوان من هذين العنصرين عرب تتاليهم (مع إمكانية استخدام اإلشارة املوجبة أو السالبة ألي عدد‪ ،‬كما يف النظام العشري‪ ،‬لكن‬
‫اإلشارة ليست من قاعدة النظام العددي وإمنا هي داللة على اجلهة)‪ .‬تسمى كل خانة ثنائية ابلبت ‪ .Bit‬وأوزان النظام هي‪:‬‬

‫‪2‬‬
‫‪3‬‬
‫‪2‬‬
‫‪2‬‬
‫‪2‬‬
‫‪1‬‬
‫‪2‬‬
‫‪0‬‬
‫‪.‬‬ ‫‪2‬‬
‫‪–1‬‬
‫‪2‬‬
‫‪-2‬‬
‫‪2‬‬
‫‪-3‬‬

‫‪8‬‬ ‫‪4‬‬ ‫‪2‬‬ ‫‪1‬‬ ‫‪0.5‬‬ ‫‪0.25‬‬ ‫‪0.125‬‬

‫مثال‬
‫العدد )‪ 11010.01(2‬ميثل عدداً يف النظام الثنائي‪ ،‬ميكن التعبري عنه حسب مراتب كل رقم منه على الشكل التايل‪:‬‬

‫)‪1x24 + 1x23 + 0x22 + 1x21 + 0x20 + 0x2-1 + 1x2-2 = 11010.01(2‬‬

‫‪ 5-2‬حتويل األعداد بني النظامني الثنائي والعشري‬


‫‪Numbers Conversion Between Binary and Decimal Systems‬‬
‫نظرا لالعتياد على مفاهيم األعداد العشرية بسبب االستخدام املتكرر يف احلياة العامة‪ ،‬فإننا سنحتاج عند التعامل مع أي‬
‫ً‬
‫نظام عددي إىل معرفة ما يعنيه ذلك العدد وفقا للمألوف يف النظام العشري‪ ،‬علما أن األعداد الثنائية هي أبسط بكثري من األعداد‬
‫العشرية‪ ،‬فالعدد الثنائي ال يتضمن سوى الصفر ‪ 0‬أو الواحد ‪.1‬‬
‫فيما أييت اجلدول (‪ )1-3‬يعرض جمموعة من األعداد العشرية وما يكافئها ابلقيمة يف النظام الثنائي‪:‬‬

‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬ ‫عشري‬ ‫ثنائي‬


‫‪0‬‬ ‫‪0‬‬ ‫‪4‬‬ ‫‪100‬‬ ‫‪8‬‬ ‫‪1000‬‬ ‫‪12‬‬ ‫‪1100‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪5‬‬ ‫‪101‬‬ ‫‪9‬‬ ‫‪1001‬‬ ‫‪13‬‬ ‫‪1101‬‬
‫‪2‬‬ ‫‪10‬‬ ‫‪6‬‬ ‫‪110‬‬ ‫‪10‬‬ ‫‪1010‬‬ ‫‪14‬‬ ‫‪1110‬‬
‫‪3‬‬ ‫‪11‬‬ ‫‪7‬‬ ‫‪111‬‬ ‫‪11‬‬ ‫‪1011‬‬ ‫‪15‬‬ ‫‪1111‬‬

‫اجلدول (‪ )1-3‬األعداد العشرية من ‪ 15~0‬وما يكافئها يف النظام الثنائي‬

‫‪76‬‬
‫أساسيات النظم الرقمية‬

‫بغية السهولة جنزء العدد إىل جزأين ‪:‬القسم الصحيح والقسم الكسري‪ ،‬فنحصل على أربع حاالت يف حتويل العدد من‬
‫النظام الثنائي إىل العشري وابلعكس‪ ،‬وسنسلك النهج نفسه يف النظم العددية األخرى‪.‬‬

‫العشري ‪Binary to Decimal Conversion‬‬ ‫‪ 1-5-2‬حتويل عدد من نظام العد الثنائي إىل عدد بنظام العد‬
‫لتحويل عدد ثنائي إىل عدد عشري‪ ،‬نقوم بنشر العدد ابستخدام املعادلة (‪ )1‬ابعتبار األساس الثنائي (‪ )r=2‬على شكل‬
‫كثري حدود لقوى خاانت األعداد ‪ i ،a‬متثل خانة العدد‪ ،‬فعندما تكون موجبة متثل اجلزء الصحيح من العدد‪ ،‬عندما ‪ i‬تكون‬
‫سالبة متثل اجلزء الكسري من العدد‪ .‬ويتم ذلك أبن أنخذ كل رقم من العدد الثنائي ونضربه ابلعدد اثنني مرفوعا لقوة تساوي مرتبة‬
‫الرقم مطروحا منها العدد واحد‪ ،‬مث جنمع النواتج‪ ،‬فنحصل على العدد العشري املكافئ للعدد الثنائي‪.‬‬
‫ميكن أن نعرض فيما أييت القاعدة العامة ونشتق منها الحقا القواعد املختصة بكل نظام‪.‬‬

‫القاعدة العامة األوىل‪:‬‬


‫لتحويل العدد الصحيح يف أي نظام عددي إىل النظام العشري‪ ،‬أنخذ كل رقم من العدد (مقيما ابلنظام العشري) ونضربه‬
‫بقاعدة النظام (مقيماً ابلنظام العشري) مرفوعة لقوة تساوي مرتبة الرقم مطروحاً منها العدد واحد‪ ،‬وجنمع النواتج‪،‬‬
‫فنحصل على العدد العشري املوافق‪.‬‬

‫مثال‬
‫إن مكافئ العدد الثنائي ‪ N=(1011)2‬هو ‪ (11)10‬يف النظام العشري‪ ،‬ميكننا حساب العدد العشري الذي يقابل العدد الثنائي‬
‫‪ 1011‬وفق القاعدة العامة األوىل‪ ،‬حيث جنري العمليات التالية‪:‬‬

‫‪1x21-1 = 1x20 =1x1=1‬‬ ‫الرقم األول بدء من اليمني هو الواحد‪ ،‬مرتبته هي األوىل‪ ،‬فقيمته العشرية هي‬

‫‪1x22-1 = 1x21 =1x2=2‬‬ ‫الرقم الثاين بدء من اليمني هو الواحد‪ ،‬مرتبته هي الثانية‪ ،‬فقيمته العشرية هي‬

‫‪0x23-1 = 0x22 =0x4=0‬‬ ‫الرقم الثالث بدء من اليمني هو الصفر‪ ،‬مرتبته هي الثالثة‪ ،‬فقيمته العشرية هي‬

‫‪1x24-1 = 1x23 =1x8=8‬‬ ‫الرقم الرابع بدء من اليمني هو الواحد‪ ،‬مرتبته هي الرابعة‪ ،‬فقيمته العشرية هي‬

‫‪8+0+2+1=11‬‬ ‫جنمع النواتج فنحصل على الناتج التايل‬

‫مالحظة ‪:1‬‬
‫املقصود ابلرقم هو العدد املؤلف من مرتبة واحدة‪ ،‬وابلتايل فالرقم يف النظام الثنائي سيكون إما صفراً ‪ 0‬وإما واحداً ‪.1‬‬

‫‪77‬‬
‫أساسيات النظم الرقمية‬

‫مالحظة ‪:2‬‬
‫يكون العدد الثنائي فردايً إذا كان الرقم األول فيه من اليمني هو الواحد‪ ،‬ويكون زوجياً إذا كان ذلك الرقم هو الصفر‪.‬‬

‫مالحظة ‪:3‬‬
‫يف مجيع أحناء العامل يتم التعامل مع األعداد وفقا للمنهجية العربية بدءاً من اليمني إىل اليسار (ومرتبة الرقم حتتسب اعتباراً من‬
‫اآلحاد(‪ ،‬وهذا حبد ذاته دليل كبري وهام على دور احلضارة العربية احملوري يف العلوم الرايضية‪.‬‬

‫مالحظة ‪:4‬‬
‫قولنا" مقيماً ابلنظام العشري "هامة جداً يف حالة كون قاعدة النظام العددي أكرب من عشرة‪ ،‬كما يف حالة النظام الست عشري‪،‬‬
‫لكن ال تظهر أمهيتها يف حالة النظم العددية اليت قاعدهتا أصغر من عشرة‪.‬‬

‫وهنا بعدما علمنا أنه ميكن للعدد أن يؤخذ أبكثر من نظام عددي‪ ،‬جند أنه ينبغي حتديد النظام الذي يؤخذ فيه العدد‬
‫لكي نتمكن من التعامل معه بشكل سليم‪ ،‬وهكذا نصطلح على وضع دليل جانيب صغري يدل على قاعدة النظام املعتمد للعدد‬
‫املأخوذ‪ ،‬وهكذا نعرب عن النتيجة احملصلة يف املثال كما يلي‪:‬‬

‫‪(1011)2=(11)10‬‬

‫ومعىن ذلك أن قيمة العدد الثنائي ‪ 1011‬تساوي قيمة العدد ‪ 11‬يف النظام العشري‪.‬‬

‫‪Binary to Decimal Conversion‬‬ ‫‪ 2-5-2‬حتويل العدد العشري الصحيح إىل النظام الثنائي‬

‫لتحويل العدد العشري الصحيح إىل النظام الثنائي‪ ،‬نقسم العدد العشري على العدد اثنني‪ ،‬ونكرر قسمة الناتج مراراً‬
‫آخذين يف كل مرة ابقي القسمة‪ ،‬مرتبني البواقي من اليمني إىل اليسار‪ ،‬ويف املرتبة األخرية نضع ابقي القسمة األخري‪ ،‬ويتم ذلك‬
‫وفق القاعدة العامة التالية‪:‬‬

‫القاعدة العامة الثانية‪:‬‬


‫لتحويل العدد العشري الصحيح إىل نظام عددي آخر نقسم العدد العشري على قاعدة النظام العددي(مقيمة ابلنظام‬
‫العشري)‪ ،‬ونكرر قسمة الناتج مراراً‪ ،‬آخذين يف كل مرة ابقي القسمة )مقيماً حسب النظام العددي الذي يتم التحويل‬
‫مرتبني البواقي حسب تسلسل ظهورها من اليمني إىل اليسار‪.‬‬ ‫إليه)‪،‬‬

‫‪78‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫لتحويل العدد ‪ (30)10‬من النظام العشري إىل الثنائي‪ ،‬نقوم ابلعمليات التالية‪:‬‬
‫‪ -‬أنخذ بواقي القسمة بدءًا من األول (األعلى إىل األسفل) ونرتبها من اليمني حنو اليسار‪.‬‬
‫‪ -‬نضع يف املرتبة األخرية أقصى اليسار ابقي القسمة األخري‪.‬‬

‫وابلتايل العدد الثنائي املقابل العدد ‪ (30)10‬هو ‪ ، (11110)2‬الشكل (‪ )2-3‬التايل يبني خطوات العمل مع حساب الباقي‬

‫العدد‬ ‫قاعدة النظام‬ ‫حساب الباقي‬ ‫ابقي القسمة‬


‫‪30‬‬ ‫‪2‬‬ ‫‪30-2x15=0‬‬ ‫‪0‬‬ ‫‪LSB‬‬
‫‪15‬‬ ‫‪2‬‬ ‫‪15-2x7=15-14=1‬‬ ‫‪1‬‬
‫‪7‬‬ ‫‪2‬‬ ‫‪7-2x3=7-6=1‬‬ ‫‪1‬‬
‫‪3‬‬ ‫‪2‬‬ ‫‪3-2x1=3-2=1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪2‬‬ ‫‪1-2x0=1‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪2‬‬ ‫‪1‬‬ ‫‪MSB‬‬

‫الشكل (‪ )2-3‬خطوات التحويل من عدد عشري إىل عدد ثنائي‬

‫مالحظة ‪:1‬‬
‫)‪،(Least Significant Bit‬‬ ‫تسمى اخلانة الواقعة يف أقصى اليمني يف العدد الثنائي ابخلانة الدنيا أو اخلانة األقــل أمهية‬
‫واختصاراً ‪ ،LSB‬وذلك ألهنا اخلانة األقل وزانً‪.‬‬

‫واختصاراً‬ ‫)‪،(Most Significant Bit‬‬ ‫يف حني تسمى اخلانة الواقعة يف أقصى اليسار ابخلانة العليا أو األكثر أمهية‬
‫نكتب ‪ ،MSB‬وذلك ألهنا اخلانة األعلى وزانً‪.‬‬

‫مالحظة ‪:2‬‬
‫حتويل العدد الصحيح من أي نظام عددي إىل أي نظام عددي آخر يعطي عدداً صحيحاً‪.‬‬

‫مالحظة ‪:3‬‬
‫الباقي يكون حتماً أصغر من قاعدة النظام وإال لكنا قسمناه عليها‪.‬‬

‫‪79‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫حتويل العدد ‪ (12)10‬من النظام العشري إىل الثنائي‪ ،‬موضح ابلشكل (‪:)3-3‬‬

‫الباقي‬

‫نتوقف عندما يصبح‬


‫انتج القسمة ‪0‬‬

‫الشكل (‪ )3-3‬حتويل العدد ‪ (12)10‬من النظام العشري إىل الثنائي مع توضيح اخلانة األقل أمهية واألكثر أمهية‬

‫مثال‬
‫حول األعداد ‪ ) 144 (10 ، ) 87 (10‬من النظام العشري إىل النظام الثنائي‪.‬‬
‫احلل‬
‫الباقي‬ ‫‪2 144‬‬ ‫الباقي‬ ‫‪2 87‬‬
‫يكتب الرقم الثنائىي من اعلى اىل اسفل‬
‫يكتب الرقم الثنائىي من اعلى اىل اسفل‬

‫‪0‬‬ ‫‪2‬‬ ‫‪72‬‬ ‫‪1‬‬ ‫‪2‬‬ ‫‪43‬‬


‫‪0‬‬ ‫‪2‬‬ ‫‪36‬‬ ‫‪1‬‬ ‫‪2‬‬ ‫‪21‬‬
‫‪0‬‬ ‫‪2‬‬ ‫‪18‬‬ ‫‪1‬‬ ‫‪2‬‬ ‫‪10‬‬
‫‪0‬‬ ‫‪2‬‬ ‫‪9‬‬ ‫‪0‬‬ ‫‪2‬‬ ‫‪5‬‬
‫‪1‬‬ ‫‪2‬‬ ‫‪4‬‬ ‫‪1‬‬ ‫‪2‬‬ ‫‪2‬‬
‫‪0‬‬ ‫‪2‬‬ ‫‪2‬‬ ‫‪0‬‬ ‫‪2‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪2‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬

‫‪) 10010000 (2 = ) 144 (10‬‬ ‫‪) 1010111 (2 = ) 87 (10‬‬

‫‪80‬‬
‫أساسيات النظم الرقمية‬

‫العشري ‪Binary Fraction to Decimal Conversion‬‬ ‫‪ 3-5-2‬حتويل العدد الكسري الثنائي إىل النظام‬
‫أما حالة اجلزء الكسري (أي اجلزء الواقع ميني الفاصلة)‪ ،‬فلتحويل اجلزء الكسري لعدد يف النظام الثنائي إىل النظام‬
‫العشري‪ ،‬أنخذ كل رقم من العدد الثنائي ونضربه ابلعدد اثنني مرفوعاً لقوة تساوي مرتبة الرقم مأخوذة ابألس السالب‪ ،‬وجنمع‬
‫النواتج‪ ،‬فنحصل على العدد الكسري العشري املوافق‪ .‬ويتم ذلك وفق القاعدة العامة التالية‪:‬‬

‫القاعدة العامة الثالثة‪:‬‬


‫لتحويل اجلزء الكسري يف أي نظام عددي إىل النظام العشري أنخذ كل رقم من العدد )مقيماً ابلنظام العشري(‪ ،‬ونضربه‬
‫بقاعدة النظام )مقيمة ابلنظام العشري)‪ ،‬مرفوعة لقوة تساوي مرتبة الرقم مأخوذ ة ابألس السالب‪ ،‬وجنمع النواتج‪،‬‬
‫فنحصل على اجلزء الكسري العشري املوافق‪ .‬ونتوقف عندما حنصل على العدد املطلوب من اخلاانت العشرية أو‬
‫عندما يصبح القسم الكسري صفر‪.‬‬

‫مثال‬
‫لتحويل العدد الثنائي الكسري ‪ (0.1011)2‬إىل العدد العشري املكافئ له جنري اخلطوات التالية‪:‬‬

‫‪1x2-1=1 x0.5=0.5‬‬ ‫الرقم األول ميني الفاصلة هو واحد نضربه ابلعدد اثنني مرفوعا لألس انقص واحد‬

‫‪0x2-2=0.5‬‬ ‫الرقم الثاين ميني الفاصلة هو صفر نضربه ابلعدد اثنني مرفوعا لألس انقص اثنني‬

‫‪1x2-3=1 x0.125=0.125‬‬ ‫الرقم الثالث ميني الفاصلة هو واحد نضربه ابلعدد اثنني مرفوعا لألس انقص ثالثة‬

‫‪1x2-4=1 x0.0625=0625‬‬ ‫الرقم الرابع ميني الفاصلة هو واحد نضربه ابلعدد اثنني مرفوعا لألس انقص أربعة‬

‫‪(0.1011)2=(0.6875)10‬‬ ‫جنمع النواتج فنحصل على العدد ‪ 0.6875‬وابلتايل جند‬

‫مالحظة‪:‬‬
‫مرتبة كل رقم يف العدد الكسري تبدأ من (‪ )1‬اعتبارا من الفاصلة حنو اليمني وتتناقص ابلقيمة السالبة‪.‬‬

‫مثال‬
‫أوجد املكافئ العشري للرقم الثنائي )‪N = 1110.101(2‬‬
‫نكتبه على الشكل التايل‪:‬‬
‫‪N(10) = 1x23 + 1x22 + 1x21 + 0x20 + 1x2-1 + 0x2-2 + 1x2-3‬‬
‫‪= 8‬‬ ‫‪+‬‬ ‫‪4 +‬‬ ‫‪2 +‬‬ ‫‪0+‬‬ ‫)‪0.5 + +0 + 0.125 = 14.625(10‬‬

‫‪81‬‬
‫أساسيات النظم الرقمية‬

‫‪Decimal Fraction to Binary Conversion‬‬ ‫‪ 4-5-2‬حتويل العدد العشري الكسري إىل النظام الثنائي‬
‫لتحويل عدد عشري كسري إىل النظام الثنائي‪ ،‬نضرب العدد العشري ابلعدد اثنني‪ ،‬ونقتطع منه اجلزء الناتج يسار‬
‫الفاصلة )هو ما يعترب اجلزء الصحيح من الناتج)‪ ،‬واضعني إايه يف املرتبة األوىل ميني الفاصلة لتشكيل العدد الثنائي‪ ،‬ونكرر العملية‬
‫حىت يصبح ما يبقى ميني الفاصلة من العدد العشري كله أصفارا‪.‬‬
‫وعملية حتويل العدد العشري إىل عدد ثنائي فقاعدهتا كما يلي‪:‬‬

‫القاعدة العامة الرابعة‪:‬‬


‫لتحويل العدد العشري الكسري إىل نظام عددي آخر نضرب العدد العشري بقاعدة النظام العددي (مقيمة ابلنظام‬
‫العشري)‪ ،‬مقتطعني اجلزء الصحيح من انتج الضرب )مقيمني إايه حسب النظام العددي)‪ ،‬واضعني إايه يف املرتبة التالية‬
‫ميني الفاصلة لتشكيل العدد املوافق‪ ،‬ونكرر العملية على اجلزء املتبقي من العدد العشري حىت يصبح ما يتبقى منه ميني‬
‫الفاصلة كله أصفاراً‪.‬‬

‫مالحظة‪:‬‬
‫اقتطاع اجلزء الصحيح من انتج الضرب يعين أن يبقى مكانه الصفر يسار الفاصلة‪.‬‬

‫مثال‬
‫لتحويل العدد الكسري الثنائي ‪ (0.05)10‬إىل عدد ثنائي‪ ،‬نتبع اخلطوات التالية‪:‬‬

‫عملية الضرب‬ ‫املقتطع‬ ‫الشرح‬


‫‪0.05x2=0.1‬‬ ‫‪0‬‬ ‫نضرب العدد العشري ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو صفر ‪0‬‬

‫‪0.1x2=0.2‬‬ ‫‪0‬‬ ‫نضرب الناتج ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو صفر ‪0‬‬

‫‪0.2x2=0.4‬‬ ‫‪0‬‬ ‫نضرب الناتج ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو صفر ‪0‬‬

‫‪0.4x2=0.8‬‬ ‫‪0‬‬ ‫نضرب الناتج ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو صفر ‪0‬‬

‫‪0.8x2=1.6‬‬ ‫‪1‬‬ ‫نضرب الناتج ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو واحد فيتبقى ‪0.6‬‬

‫‪0.6x2=1.2‬‬ ‫‪1‬‬ ‫نضرب ‪ 0.6‬ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو واحد فيتبقى ‪0.2‬‬

‫‪0.2x2=0.4‬‬ ‫‪0‬‬ ‫نضرب ‪ 0.2‬ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو واحد فيتبقى ‪0.4‬‬

‫‪0.4x2=0.8‬‬ ‫‪0‬‬ ‫نضرب الناتج ابثنني ونقتطع الرقم الظاهر يسار الفاصلة وهو صفر ‪0‬‬
‫‪......‬‬ ‫‪......‬‬ ‫نالحظ أنه سبق أن ضربنا ابلعدد ‪ 0.4‬وابلتايل تتكرر األرقام الظاهرة بعده‬

‫‪82‬‬
‫أساسيات النظم الرقمية‬

‫لتشكيل العدد الثنائي املوافق أنخذ األرقام املقتطعة يف العملية ونضعها مجيعا ميني الفاصلة مبتدئني من أوهلا‪ ،‬فيكون‬
‫العدد الثنائي املقابل للعدد العشري كما يلي‪0.0000110011 :‬‬

‫نتيجة ‪:‬إذا كان العدد الكسري العشري منتهي املراتب فليس من الضروري أن يكون مقابله الثنائي منتهيا‪.‬‬

‫مثال‬
‫حول الكسر العشرى ‪ ) 0 , 0625 (10‬إىل مقابله الثنائي‪.‬‬
‫نالحظ أننا نستمر ضرب القسم الكسري بـ ـ ‪ 2‬حىت حنصل على العدد املطلوب من اخلاانت العشرية‪ ،‬أو يصبح القسم‬
‫الكسري صفر كما هو موضح ابلشكل (‪ ،)4-3‬ونالحظ أنه مت الرتتيب من األعلى إىل األسفل ومن اليسار إىل اليمني‪.‬‬
‫‪)0 , 0625(10=)0.101)2‬‬ ‫ونكتب‬

‫نتوقف عندما حنصل على العدد املطلوب من اخلاانت‬


‫العشرية‪ ،‬أو عندما يصبح القسم الكسري صفر ‪0‬‬

‫الشكل (‪ )4-3‬حتويل الكسر العشرى ‪ ) 0 , 0625 (10‬إىل مقابله الثنائي‬

‫مالحظة ‪:1‬‬
‫حتويل أي عدد كسري من نظام عددي إىل أي نظام عددي آخر يعطي عددا كسراي‪.‬‬

‫مالحظة ‪:2‬‬
‫عند وجود عدد يتضمن جزأين أحدمها صحيح واآلخر كسري فإنه ميكن حتويل كل جزء على حدة ويف النهاية يتم ضم اجلزأين‪.‬‬
‫كما ميكن ترقيم عناصر العدد حنو اليسار واليمني بدء من الفاصلة‪.‬‬
‫مالحظة ‪:‬‬
‫توجد طرق حتويل أخرى للحاالت األربعة السابقة وهي حتويرات خمتلفة للمبدأ نفسه‪.‬‬

‫‪83‬‬
‫أساسيات النظم الرقمية‬

‫‪Binary Arithmetic‬‬ ‫‪ 6-2‬العمليات احلسابية يف النظام الثنائي‬


‫يعتمد احلاسب على منهجية خمتلفة عن املنهجية اليت نعتمدها يف معاجلة األعداد والعمليات عليها‪ ،‬ومجيع حساابته تؤول‬
‫إىل عمليات حسابية يف النظام الثنائي‪ ،‬وخباصة فهي تؤول إىل عملية اجلمع‪.‬‬

‫‪Addition‬‬ ‫‪ 1-6-2‬عملية اجلمع‬


‫هي العملية األساسية اليت يعتمد عليها احلاسب إلجراء مجيع العمليات األخرى‪.‬‬
‫وهبذا الصدد جيب أن نعرض حقيقتني‪:‬‬
‫أوالً‪ :‬أن احلاسب ال ميكنه أن جيمع أي عددين على اإلطالق إال العددين الصفر ‪ 0‬والواحد ‪ ،1‬وهو حييل أي عدد آخر إىل‬
‫شكله الثنائي‪.‬‬

‫اثنياً‪ :‬أن كافة العمليات احلسابية حتال إىل عملية اجلمع‪ ،‬فال حيتاج احلاسب إال لقواعد مجع هذين العددين‪ ،‬وهي تتم طبقاً‬
‫للقواعد األربعة اآلتية‪:‬‬

‫‪A‬‬ ‫العدد األول‬ ‫‪B‬‬ ‫العدد األول‬ ‫‪Sum‬‬ ‫اجملموع‬ ‫‪Carry‬‬ ‫الفيض‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬

‫إن هذه القواعد األربعة تكفي إلجناز أية عملية حسابية يف النظام الثنائي‪ ،‬وأصعب عملية تصادفه هي العملية ‪1+1+1‬‬
‫وحللها جنمع العددين األوليني وفق القاعدة الرابعة فنحصل على العملية ‪ 10+1‬وانجتها هو ‪ ،11‬ونالحظ أن الناتج حيتاج خلانتني‬
‫ثنائيتني للتعبري عنه‪.‬‬
‫وهذه القواعد جتري على اجلزء الكسري متاما كما جتري على اجلزء الصحيح‪.‬‬
‫مثال‬
‫امجع العدد ‪ 011‬مع العدد ‪1‬‬
‫جنمع العددين حسب قواعد اجلمع السابقة مع مراعاة الفيض ‪.Carry‬‬

‫‪84‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫امجع األعداد الثنائية التالية‪:‬‬

‫مثال‬
‫امجع األعداد الثنائية التالية ‪:‬‬
‫( ‪) 110 . 111 + 111 . 011 & 110 +101‬‬

‫مالحظة‪:‬‬
‫بقية العمليات املتقدمة يف احلساب كالرفع إىل قوة وغريها تؤول كما هو معلوم إىل العمليات األربعة األساسية‪.‬‬

‫‪Subtraction‬‬ ‫‪ 2-6-2‬عملية الطرح‬


‫تنم عملية الطرح يف النظام الثنائي انطالقا من العالقات التالية‪:‬‬

‫‪A‬‬ ‫العدد األول‬ ‫‪B‬‬ ‫العدد األول‬ ‫‪Sub‬‬ ‫الناتج‬ ‫‪Borrow‬‬ ‫االستعارة‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫‪85‬‬
‫أساسيات النظم الرقمية‬

‫املثال التايل يشرح عملية الطرح‬


‫اطرح العدد ‪ )011(2‬من العدد ‪)101(2‬‬

‫املكافئ الثنائي لكل عدد يتألف من ‪ 3‬ثالث خاانت‪ ،‬كل خانة تكون يف عمود‪:‬‬
‫‪Right column‬‬ ‫العمود األول على اليمني‬ ‫‪o‬‬

‫‪1-1=0‬‬ ‫عملية الطرح تكون‬


‫‪Middle column‬‬ ‫العمود الثاين يف الوسط‬ ‫‪o‬‬

‫‪borrow 1 from next column to the left‬‬ ‫يستعري واحد من العمود الذي يليه على اليسار‬
‫‪10-1=1‬‬ ‫تصبح عملية الطرح‬
‫‪Left column‬‬ ‫العمود الثالث يف اليسار‬ ‫‪o‬‬

‫عندما يعري واحد ‪ 1‬يبقى صفر ‪ When a 1 is borrowed a0 is left 0‬وابلتايل‬


‫‪0-0=0‬‬ ‫تصبح عملية الطرح‪:‬‬
‫وذلك يكون كما يلي‪:‬‬

‫مثال‬
‫& ‪) 11010 (2 - ) 101110 (2‬‬ ‫‪)10001 (2 - ) 101110 (2‬‬ ‫إطرح املقدار‬

‫املطروح منه‬
‫املطروح‬
‫ابقي الطرح‬

‫‪86‬‬
‫أساسيات النظم الرقمية‬

‫كما أسلفنا أن مجيع العلميات احلسابية يف احلاسب تؤول إىل عمليات حسابية يف النظام الثنائي‪ ،‬لذلك ابلنسبة للطرح‪،‬‬
‫فإنه يلجأ ملفهوم متمم العدد الثنائي‪ ،‬وهو مفهوم هام جدا يف نظام احلاسب وبدونه ال يستطيع احلاسب أن جيري العمليات‬
‫ويعرف متمم العدد الثنائي بشكلني‪ ،‬كما يلي‪:‬‬
‫احلسابية‪ّ .‬‬

‫‪ 3-6-2‬املتمم األحادي ‪1’s Complement‬‬

‫املتمم األحادي‪ 1’s Complement‬للعدد الثنائي‪ :‬هو عدد ثنائي مكافئ له بعدد اخلاانت وينتج منه بتبديل كل عنصر‬
‫من العدد الثنائي مبتممه (تبديل الواحد ‪ 1‬ابلصفر ‪ 0‬والصفر ‪ 0‬ابلواحد ‪.)1‬‬

‫أبسط طريقة للحصول على املتمم األحادي لعدد ثنائي ابستخدام الدوائر الرقمية تكون ابستخدام بواابت النفي‬
‫‪ Inverter gates‬على التوازي كما هو موضح ابلشكل (‪.)5-3‬‬

‫الشكل (‪ )5-3‬إجياد املتمم األحادي للعدد الثنائي ابستخدام بواابت النفي‬

‫نتيجة ‪ :1‬اخلانة األخرية إىل اليسار يف املتمم األحادي هي دائما الصفر ‪( 0‬إذا كان العدد مكتواب دون إضافة أصفار لليسار(‪.‬‬

‫نتيجة ‪ :2‬جمموع العدد الثنائي مع متممه األحادي يعطي عددا تكون مراتبه بعدد مراتب العدد األصلي وكل عناصره واحدات‪.‬‬

‫نتيجة ‪ :3‬املتمم األحادي للعدد الثنائي الفردي يكون زوجياً وابلعكس‪.‬‬

‫‪87‬‬
‫أساسيات النظم الرقمية‬

‫‪ 4-6-2‬املتمم الثنائي ‪2’s Complement‬‬

‫املتمم الثنائي ‪:‬هو املتمم األحادي مضافا إليه العدد واحد ‪.1‬‬
‫نستنتج أن جمموع العدد مع متممه الثنائي يعطي عددا تكون مراتبه مساوية ملراتب العدد الثنائي األساسي زائداً واحد‬
‫‪ ،1‬وتكون كل عناصره أصفارا ما عدا الواحد يف أقصى اليسار‪.‬‬
‫ولطرح عددين ثنائيني صحيحني يقوم احلاسب ابخلطوات التالية‪:‬‬
‫‪ -1‬أيخذ العدد املطروح فإذا كان عدد خاانته أقل من خاانت العدد املطروح منه فإنه يكملها ابألصفار من جهة اليسار‬
‫ليصبح العددان من نفس عدد اخلاانت‪.‬‬
‫‪ -2‬يوجد املتمم الثنائي للعدد املطروح‪.‬‬
‫‪ -3‬جيمع العدد الناتج من اخلطوة ‪ 3‬مع العدد املطروح منه‪.‬‬
‫‪ -4‬حيذف الرقم واحد الظاهر يف أقصى اليسار من انتج عملية اجلمع (يف حال وجوده)‪ ،‬والعدد املتبقي هو انتج الطرح‪.‬‬

‫مثال‬
‫طبق اخلطوات املذكورة يف إجراء عملية الطرح التالية (وضعنا عددين غري صحيحني لنبني إمكانية اتباع تلك املنهجية‬
‫جربايً)‪.‬‬
‫‪110100101.01-11011.1 =110001001.11‬‬
‫احلل‪:‬‬
‫‪ .1‬إن العدد املطروح هو ‪ 11011.1‬نتمم عدد خاانته لتصبح مساوية ابلعدد خلاانت املطروح منه‪ ،‬فنضيف صفرا من‬
‫جهة اليمني وأربعة أصفار من جهة اليسار فنحصل على العدد ‪000011011.10‬‬
‫‪ .2‬نوجد املتمم األحادي للعدد ‪ 000011011.10‬وهو العدد ‪111100100.01‬‬
‫‪ .3‬نوجد املتمم الثنائي له إبضافة واحد فنحصل على العدد ‪111100101.10‬‬
‫‪ .4‬جنمع هذا العدد مع العدد املطروح منه فنحصل على ‪1110001001.11‬‬
‫‪ .5‬حنذف الواحد الظاهر يف أقصى اليسار فنحصل على العدد ‪ 110001001.11‬وهو انتج الطرح‪.‬‬

‫‪111100100.10‬‬
‫‪+110100101.01‬‬
‫حيذف‬ ‫‪1110001001.11‬‬

‫‪88‬‬
‫أساسيات النظم الرقمية‬

‫املتمم الثنائي ميكن أن ينفذ ابستخدام بواابت النفي ودائرة اجلمع كما هو موضح ابلشكل (‪.)6-3‬‬

‫الشكل (‪ )6-3‬إجياد املتمم الثنائي للعدد الثنائي السالب ابستخدام بواابت النفي على التفرع ودائرة اجلمع‬

‫مالحظة ‪:1‬‬
‫إذا كان العدد املطروح أكرب من املطروح منه فإننا جنري العملية ابلعكس ونضع الناتج إبشارة سالبة‪ ،‬أو ميكن أن نتبع خطوات‬
‫العملية نفسها مث أنخذ متمم الناتج إبشارة سالبة‪.‬‬

‫مالحظة ‪:2‬‬
‫ميكن إجراء عملية الطرح ابلطريقة اجلربية وتعطي النتائج نفسها‪.‬‬

‫مالحظة ‪:3‬‬
‫عند عملية اجلمع أو الطرح لعددين يتضمنان أجزاء كسرية ينبغي وضع املراتب الصحيحة والعشرية مبحاذاة بعضها البعض ابتداء‬
‫من الفاصلة‪.‬‬

‫‪Multiplication‬‬ ‫‪ 5-6-2‬عملية الضرب‬


‫وهي عملية مجع متكرر‪ ،‬فيقوم احلاسب بتكرار عملية مجع أحد العددين مبقدار قيمة العدد اآلخر‪.‬‬

‫مثال‬
‫لضرب العددي ‪ 11×100‬نكرر مجع العدد ‪ 100‬مع نفسه ثالث مرات فنحصل على‬
‫‪1100=100+100+100‬‬
‫إذا كان كال العددين كسريني فيتم التخلص من الفاصلة وجترى عملية الضرب مث تعاد الفاصلة ملكاهنا‪.‬‬

‫‪89‬‬
‫أساسيات النظم الرقمية‬

‫مالحظة ‪:1‬‬
‫ميكن إجراء عملية الضرب ابلطريقة اجلربية لعددين ثنائيني حسب القواعد التالية‪:‬‬

‫العدد ‪1‬‬ ‫العدد ‪2‬‬ ‫الناتج‬


‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫مثال‬
‫نفذ عملية الضرب على األعداد الثنائية التالية االتية‪:‬‬

‫مثال‬
‫نفذ عملية الضرب على األعداد الثنائية التالية االتية‪:‬‬
‫‪) 101(2 x ) 10111 (2 & ) 110 (2 x ) 1101 (2‬‬

‫‪10111‬‬ ‫‪1101‬‬
‫‪101 x‬‬ ‫‪110‬‬ ‫‪x‬‬

‫‪10111‬‬ ‫‪0000‬‬
‫‪0000‬‬ ‫‪1101‬‬
‫‪10111‬‬ ‫‪+‬‬ ‫‪1101‬‬
‫‪+‬‬
‫‪1110011‬‬ ‫‪1001110‬‬

‫‪90‬‬
‫أساسيات النظم الرقمية‬

‫‪Division‬‬ ‫‪ 6-6-2‬عملية القسمة‬


‫عملية القسمة تتبع نفس اإلجراء كما يف األعداد العشرية‪ ،‬ولكن هي عملية طرح متكرر‪ ،‬فلقسمة عدد ثنائي على آخر‬
‫يقوم احلاسب بطرح العدد الثاين من األول مرارا حىت يصبح انتج الطرح صفرا‪ ،‬وتكون نتيجة القسمة هي عدد مرات الطرح‪ ،‬إذا مل‬
‫يتم الوصول إىل انتج طرح صفري فيتم االستمرار ابلعملية إبضافة صفر للمطروح منه واحتساب النتائج بعد الفاصلة‪.‬‬

‫مثال‬
‫لقسمة العدد ‪ 10101‬على العدد ‪ 101‬نقوم بعملية الطرح املتكرر كما يظهر يف الشكل (‪)7-3‬‬

‫‪10101‬‬ ‫‪10000‬‬ ‫‪1111‬‬ ‫‪1010‬‬ ‫‪101‬‬


‫‪-101‬‬ ‫‪-101‬‬ ‫‪-101‬‬ ‫‪-101‬‬ ‫‪-101‬‬
‫‪10000‬‬ ‫‪1111‬‬ ‫‪1010‬‬ ‫‪101‬‬ ‫‪0‬‬
‫الشكل (‪ )7-3‬شرح عملية القسمة يف النظام الثنائي‬
‫لدى إجراء الطرح مخس مرات حصلنا على الصفر‪ ،‬فناتج القسمة هو العدد مخسة‪ ،‬والعدد مخسة يف النظام الثنائي يكتب ‪101‬‬
‫وهو انتج القسمة‪ ،‬إذن نكتب‪:‬‬

‫‪10101÷101=101‬‬
‫مثال‬
‫نفذ عملية القسمة لألع ّداد الثنائية التالية‪:‬‬
‫‪(a)110 , 11 (b) 110 , 10‬‬

‫‪91‬‬
‫أساسيات النظم الرقمية‬

‫‪Octal System‬‬ ‫‪ 7-2‬نظام العد الثماين‬


‫هو نظام عددي يتألف من جمموعة العناصر عددها ‪ ،8‬أي قاعدة النظام الثماين (‪ )r=8‬وعناصره هي‪:‬‬
‫{‪}0،1،2،3،4،5،6،7‬‬
‫مسّي مثاين ألنه يتألف من ‪ 8‬أرقام تستخدم لتشكيل األعداد فيه‪ ،‬وكل عدد يتضمن رقماً ال ينتمي إىل هذه اجملموعة ليس‬
‫مثانياً‪.‬‬

‫‪ 8-2‬حتويل األعداد بني النظامني الثماين والعشري‬


‫‪Numbers Conversion Between Octal and Decimal Systems‬‬
‫ملعرفة قيمة العدد الثماين يف النظام العشري نعتمد على القواعد األربعة العامة املذكورة يف النظام الثنائي‪ ،‬لكن مع األخذ‬
‫بعني االعتبار أن عدد عناصر قاعدة النظام الثماين هي مثانية‪.‬‬

‫‪Octal to Decimal Conversion‬‬ ‫‪ 1-8-2‬حتويل العدد من النظام الثماين إىل النظام العشري‬
‫حتويل اجلزء الصحيح‬
‫أنخذ كل رقم من العدد الثماين ونضربه ابلعدد مثانية مرفوعا لقوة تساوي مرتبة الرقم مطروحاً منها العدد واحد‪ ،‬مث جنمع‬
‫النواتج‪ ،‬فنحصل على العدد العشري املكافئ للعدد الثماين‪.‬‬

‫مثال‬
‫العدد الثماين ‪ )125(8‬حيول إىل النظام العشري كما يلي‪:‬‬
‫‪1×82+2×81+5×80+85‬‬
‫‪1×64+2×8+5×1=85‬‬
‫‪64 + 16+ 5 =85‬‬
‫‪(125)8=(85)10‬‬ ‫ونكتب‬
‫حتويل اجلزء الكسري‬
‫أنخذ كل رقم من العدد الثماين ونضربه ابلعدد مثانية مرفوعا لقوة تساوي مرتبة الرقم مأخوذة ابألس السالب‪ ،‬وجنمع‬
‫النواتج‪ ،‬فنحصل على اجلزء الكسري املوافق يف النظام العشري‪.‬‬
‫مثال‬
‫العدد ‪ )0.5(8‬يف النظام الثماين حيول إىل النظام العشري كما يلي‪:‬‬
‫‪(0.5)8=5 x8-1= (0.625)10‬‬

‫‪92‬‬
‫أساسيات النظم الرقمية‬

‫‪Decimal to Octal Conversion‬‬ ‫‪ 2-8-2‬حتويل العدد من النظام العشري إىل النظام الثماين‬
‫العدد العشري الصحيح‬
‫نقسم العدد العشري على العدد مثانية‪ ،‬ونكرر قسمة الناتج مراراً آخذين يف كل مرة ابقي القسمة ‪ ،Remainder‬مرتبني‬
‫البواقي من اليمني إىل اليسار تبعاً لتسلسل احلصول عليها (من األعلى إىل األسفل)‪ ،‬املثال التايل يشرح العملية‪.‬‬

‫مثال‬
‫حول العدد العشري ‪ 359‬إىل النظام الثماين‬
‫العدد سبعة هو ابقي القسمة األول ‪ ،Remainder‬والعدد أربعة ابقي القسمة الثاين ‪ ،Remainder‬والعدد مخسة هو ابقي القسمة‬
‫األخري ‪ ، Remainder‬لذلك نرتبها من األعلى إىل األسفل ومن اليمني إىل اليسار‪ ،‬ونتوقف عندما انتج القسمة يساوي الصفر ‪0‬‬
‫كما هو موضح‪:‬‬

‫نكتب‬
‫‪.(359)10=(457)8‬‬

‫مثال‬
‫لتحويل العدد العشري ‪ 200‬إىل النظام الثماين خطوات العمل مع حاسب الباقي كما يلي‪:‬‬

‫املقسوم‬ ‫قاعدة النظام‬ ‫الباقي‬


‫‪200‬‬ ‫‪8‬‬ ‫‪200-8×25=0‬‬
‫‪25‬‬ ‫‪8‬‬ ‫‪12-8×3=1‬‬
‫‪3‬‬ ‫‪8‬‬ ‫‪3-8×0=3‬‬

‫‪93‬‬
‫أساسيات النظم الرقمية‬

‫العدد صفر ‪ 0‬هو ابقي القسمة األول ‪ ،Remainder‬والعدد واحد ‪ 1‬ابقي القسمة ‪ Remainder‬الثاين‪ ،‬والعدد ثالثة ‪ 3‬هو ابقي‬
‫القسمة ‪ Remainder‬األخري‪ ،‬إذاً نكتب ‪.(200)10=(310)8‬‬

‫حتويل اجلزء الكسري‬


‫نضرب العدد العشري ابلعدد مثانية ونقتطع منه اجلزء الناتج يسار الفاصلة‪ ،‬واضعني إايه يف املرتبة األوىل ميني الفاصلة‬
‫لتشكيل العدد الثماين‪ ،‬ونكرر العملية حىت يصبح ما يبقى ميني الفاصلة من العدد العشري كله أصفاراً‪.‬‬

‫مثال‬
‫حتويل العدد العشري الكسري ‪ 0.615‬إىل النظام الثماين يتم كما يلي‪:‬‬
‫نضرب هذا العدد العشري ابلرقم مثانية فنحصل على انتج‪ ،‬مث نقتطع من الناتج الرقم الظاهر يسار الفاصلة وهو الرقم أربعة‪ ،‬ونضعه‬
‫يف املرتبة األوىل بعد الفاصلة من العدد الثماين املطلوب فنحصل على‪ ، 0.9‬ونكمل حىت يتبقى من العدد العشري بعد اقتطاع‬
‫اجلزء الصحيح الصفر‪ ،‬أو حسب عدد اخلاانت العشرية املطلوب وحتول عملية التحويل‪:‬‬
‫‪0.615×8=4.920‬‬
‫‪0.920×8=7.360‬‬
‫‪0.360×8=2.880‬‬
‫‪0.880×8=7.040‬‬

‫نكتب الناتج من األسفل إىل األعلى ومن اليسار إىل اليمني ‪)0.615(10=)0.4727(8‬‬

‫‪Octal to Binary Conversion‬‬ ‫‪ 3-8-2‬حتويل العدد من النظام الثماين إىل النظام الثنائي‬
‫لتحويل العدد ابلنظام الثماين إىل مكافئه الثنائي‪ ،‬نستبدل كل رقم من أرقام العدد الثماين مبكافئه الثنائي املكون من‬
‫ثالث خاانت‪ ،‬وبذلك ينتج لدينا العدد الثنائي املكافئ للعدد الثماين املطلوب‪.‬‬

‫مثال‬
‫حول العدد الثماين ‪ )772.5(8‬إىل مكافئه الثنائي‬

‫‪7‬‬ ‫‪7‬‬ ‫‪2‬‬ ‫‪.‬‬ ‫‪5‬‬

‫‪111 111‬‬ ‫‪010‬‬ ‫‪101‬‬


‫نضم األرقام الثنائية مع بعضها البعض لنحصل على العدد املطلوب ونكتب‬
‫‪)772.5(8 =)111111010.101(2‬‬

‫‪94‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫حول األعداد الثمانية التالية إىل مكافئها الثنائي‬

‫‪Binary to Octal Conversion‬‬ ‫‪ 4-8-2‬حتويل العدد من النظام الثنائي إىل النظام الثماين‬
‫لتحويل العدد الصحيح الثنائي إىل مكافئه ابلنظام الثماين نتبع اخلطوات التالية‪:‬‬
‫‪ .1‬نقسم العدد الثنائي إىل جمموعات كل منها مكون من ثالث خاانت‪ ،‬حيث يبدأ التقسيم من اخلانة األقل أمهية ‪.LSB‬‬
‫‪ .2‬إذا كانت اجملموعة األخرية غري مكتملة نضيف يف هنايتها أصفار حىت تصبح مكونة من ثالث خاانت‪.‬‬
‫‪ .3‬نستبدل كل جمموعة ثنائية مبا يكافئها يف النظام العشري‪.‬‬
‫‪ .4‬نضم األرقام الثمانية معاً للحصول على العدد املطلوب‪.‬‬
‫‪ .5‬يف حالة العدد الثنائي الكسري نبدأ التقسيم إىل جمموعات من اخلانة القريبة من الفاصلة‪.‬‬

‫مثال‬
‫حول العدد الثنائي ‪ )1011011010.1011(2‬إىل مكافئه الثماين‬

‫‪001 011 011 010‬‬ ‫‪. 101 100‬‬

‫‪1‬‬ ‫‪3‬‬ ‫‪3‬‬ ‫‪2‬‬ ‫‪5‬‬ ‫‪4‬‬

‫نكتب ‪)1011011010.1011(2 =)1330.54(8‬‬

‫مثال‬
‫حول األعداد الثنائية التالية إىل مكافئها الثماين‬

‫‪95‬‬
‫أساسيات النظم الرقمية‬

‫‪Octal Arithmetic‬‬ ‫‪ 9-2‬العمليات احلسابية يف النظام الثماين‬


‫سنقتصر على العمليات احلسابية األربعة‪ ،‬علما أن بقية العمليات الرايضية األخرى تؤول إليها‪.‬‬

‫‪Addition‬‬ ‫‪ 1-9-2‬عملية اجلمع‬


‫كافة عمليات اجلمع جتري على غرار النظام العشري‪ ،‬إال إذا كان الناتج أكرب من العدد سبعة‪ ،‬فعندئذ توجد قاعدة‬
‫جديدة يف هذا النظام وهي أن‪ ،7+1=10 :‬ومع أخذ هذه املعلومة بعني االعتبار ميكن حل أي مسألة مجع يف النظام الثماين عرب‬
‫أتويلها إىل هذه العملية‪.‬‬

‫مثال‬
‫جلمع العددين ‪ 7+6‬نكتبهما على الشكل التايل‪:‬‬
‫‪7+6=7+)1+5(=)7+1(+5=10+5=15‬‬ ‫‪7+6=15‬‬
‫وهكذا فعندما جنمع عددين مثانيني مؤلفني من عدة خاانت فإننا فعليا نقوم بعمليات جزئية مشاهبة هلذه العملية يف كل مرتبة‪.‬‬

‫مثال‬
‫نفذ عملية اجلمع على األعداد الثمانية التالية‪:‬‬
‫‪541‬‬ ‫‪153‬‬ ‫‪34‬‬
‫‪642‬‬ ‫‪173 +‬‬ ‫‪42 +‬‬
‫‪572 +‬‬ ‫‪346‬‬ ‫‪76‬‬
‫‪2175‬‬

‫‪Subtraction‬‬ ‫‪ 2-9-2‬عملية الطرح‬


‫ميكن إجراء عملية الطرح ابلطريقة املعروفة يف النظام الثنائي مع األخذ بعني االعتبار إذا كان املطروح منه أكرب من‬
‫املطروح فيتم الطرح كاالرقام العشرية متاماً‪ ،‬إذا كان املطروح منه أصغر من املطروح فيتم استعارة (‪ )1‬من اخلانة التالية وتساوي ‪8‬‬

‫‪96‬‬
‫أساسيات النظم الرقمية‬

‫‪14‬‬
‫‪5 4 13‬‬ ‫‪6 13‬‬
‫مثال‬
‫‪653‬‬ ‫‪75‬‬ ‫نفذ عملية الطرح على األعداد الثمانية التالية‬
‫‪555 -‬‬ ‫‪7-‬‬
‫‪076‬‬ ‫‪66‬‬

‫‪Multiplication‬‬ ‫‪ 3-9-2‬عملية الضرب‬


‫وهو مجع متكرر وميكن التعامل معه عرب حساب انتج ضرب الرقمني وفقاً للنظام العشري مث حتويل الناتج إىل النظام‬
‫الثماين‪ ،‬فمثالً نقول يف النظام العشري أن ‪ 7×3=21‬لكن العدد ‪ 21‬العشري يكافئه ‪ 25‬يف النظام الثماين وابلتايل ‪ 7×3=25‬يف‬
‫النظام الثماين وهكذا‪.‬‬

‫مثال‬
‫أوجد حاصل ضرب األعداد الثمانية التالية‪)3(8 )726(8 :‬‬
‫‪726‬‬
‫×‪3‬‬
‫‪2602‬‬

‫‪)3(8 × )726(8 =)2602(8‬‬ ‫الناتج‬

‫‪Division‬‬ ‫‪ 4-9-2‬عملية القسمة‬


‫وهي أيضا عبارة طرح متكرر (والطرح بدوره كما ذكران يؤول إىل مجع مع املتمم(‪ ،‬كما وميكن إجراء القسمة يف النظام‬
‫عداد الثمانية ومراعاة أصول عملية الضرب (للنظام الثماين(‪.‬‬
‫الثماين ابلطريقة اجلربية مع األخذ بعني االعتبار القيم الفعلية لأل ّ‬

‫مثال‬
‫جند يف النظام الثماين أن ‪( 24/4=5‬يقابل ذلك يف النظام العشري ‪.)20/4=5‬‬
‫وميكن ابستخدام الطريقة التقليدية‪.‬‬

‫مثال‬
‫أوجد انتج قسمة األعداد الثمانية التالية‪ )2602(8 :‬على ‪)3(8‬‬
‫ننفذ عملية القسمة العادية كما يف النظام العشري كما يلي‪:‬‬

‫‪97‬‬
‫أساسيات النظم الرقمية‬

‫‪0726‬‬
‫‪3‬‬ ‫‪2602‬‬
‫‪25 -‬‬
‫‪010‬‬
‫‪6 -‬‬
‫‪22‬‬
‫‪22 -‬‬
‫‪00‬‬
‫‪)2602(8 ÷ )3(8 =)726(8‬‬ ‫الناتج‬

‫‪Hexadecimal System‬‬ ‫‪ 10-2‬نظام العد الست عشري‬


‫هو نظام عددي قاعدته مؤلفة من ستة عشر عنصراً (‪ ،)r=16‬والعنصر يقصد به رمز معني رقمي ‪ ،numeric‬أو حريف‬
‫‪ alphabetic characters‬ولرتميز هذه العناصر الست‪-‬عشرة نستخدم األرقام العشرة املعروفة يف النظام العشري‪ ،‬وحنتاج لستة‬
‫رموز أخرى للتعبري عن بقية عناصر القاعدة األساسية للنظام‪ ،‬وقد اصطلح على استخدام احلروف الستة األوىل من األجبدية‬
‫الالتينية‪ ،‬فتكون قاعدة النظام الست عشري هي‪:‬‬
‫{‪.}F،E،D،C، B، A,9،8،7،6،5،4،3،2،1،0‬‬
‫وعندما نرى عدداً ست عشري فينبغي أن نتوقع إمكانية أن يتضمن الرموز العشرية أو أحد هذه احلروف الستة‪ .‬ويف‬
‫احلقيقة فإن احلروف الستة ينبغي أن تكون بشكلها الكبري نظراً لعدم االلتباس‪.‬‬
‫مع رقم ست عشري واحد ميكن أن تعد حىت ‪ F16‬الذي يقال ‪ 16‬عشري‪ ،‬ومع رقمني ميكن أن نعد حىت ‪ FF16‬واليت‬
‫تقابل ‪ 255‬عشري‪ ،‬ومع ثالثة أرقام ميكن أن نعد حىت ‪ FFF16‬اليت تقابل ‪.65536‬‬
‫يف الواقع فإن النظام الست عشري يستخدم بشكل فعال يف احلاسب ألنه يسهل استخراجه من التوضعات الثنائية يف‬
‫ذاكرة احلاسب‪ ،‬وفائدته أنه يستوعب قيماً عددية أكثر من النظم األخرى‪.‬‬
‫االستخدام األساسي للنظام الست عشري أنه يعترب طريقة لعرض أو كتابة األرقام الثنائية‪ ،‬ولذلك لسهولة التحويل‬
‫بينهما‪ ،‬وهو مستخدم بشكل كبري يف تطبيقات احلواسيب واملعاجلات‪ ،‬فمعظم النظم الرقمية تعاجل البياانت الثنائية يف جمموعات‬
‫اليت هي من مضاعفات أربع بت‪ ،‬مما جيعل األرقام الست عشرية مرحية للغاية يف التعامل فكل كل رقم ست عشري ميثل رقم ثنائي‬
‫‪ 4‬أبربع خاانت ثنائية (‪ 4‬بت)‪.‬‬

‫بعد أن تعرفنا على قاعدة األرقام األساسية هلذه النظم العددية فمن الضروري معرفة كيفية كتابة األرقام القاعدية للنظم‬
‫العددية‪ ،‬كما يف اجلدول (‪ )2-3‬األرقام من ‪ 16 ~ 1‬عشراي مع مكافئها من األنظمة الثالثة‪( ،‬وقد ظللنا عمود األرقام القاعدية‬
‫لكل نظام عددي)‪.‬‬

‫‪98‬‬
‫أساسيات النظم الرقمية‬

‫ثنائي‬ ‫مثاين‬ ‫ست عشري‬ ‫عشري‬


‫‪0000‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0001‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪0010‬‬ ‫‪2‬‬ ‫‪2‬‬ ‫‪2‬‬
‫‪0011‬‬ ‫‪3‬‬ ‫‪3‬‬ ‫‪3‬‬
‫‪0100‬‬ ‫‪4‬‬ ‫‪4‬‬ ‫‪4‬‬
‫‪0101‬‬ ‫‪5‬‬ ‫‪5‬‬ ‫‪5‬‬
‫‪0110‬‬ ‫‪6‬‬ ‫‪6‬‬ ‫‪6‬‬
‫‪0111‬‬ ‫‪7‬‬ ‫‪7‬‬ ‫‪7‬‬
‫‪1000‬‬ ‫‪10‬‬ ‫‪8‬‬ ‫‪8‬‬
‫‪1001‬‬ ‫‪11‬‬ ‫‪9‬‬ ‫‪9‬‬
‫‪1010‬‬ ‫‪12‬‬ ‫‪A‬‬ ‫‪10‬‬
‫‪1011‬‬ ‫‪13‬‬ ‫‪B‬‬ ‫‪11‬‬
‫‪1100‬‬ ‫‪14‬‬ ‫‪C‬‬ ‫‪12‬‬
‫‪1101‬‬ ‫‪15‬‬ ‫‪D‬‬ ‫‪13‬‬
‫‪1110‬‬ ‫‪16‬‬ ‫‪E‬‬ ‫‪14‬‬
‫‪1111‬‬ ‫‪17‬‬ ‫‪F‬‬ ‫‪15‬‬
‫‪10000‬‬ ‫‪20‬‬ ‫‪10‬‬ ‫‪16‬‬
‫اجلدول (‪ )2-3‬األرقام من ‪ 16 ~ 1‬عشراي ممثلة ابألنظمة الثنائي والست عشري والثماين‬

‫مالحظة‪:‬‬
‫أي عدد ينتمي إىل قاعدة نظامني عددين أو أكثر فإن قيمته هي نفسها يف مجيع النظم العددية‪.‬أي أن‪:‬‬
‫‪(0)2 = (0)8 = (0)10 = (0)16‬‬
‫‪(1)2 = (1)8 = (1)10 = (1)16‬‬
‫‪(7)8 = (7)10 = (7)16‬‬
‫‪(9)10 = (9)16‬‬
‫مثال ‪:1‬‬
‫إىل أي نظام عددي ينتمي العدد التايل ‪1001010‬‬

‫احلل‪:‬‬
‫"ال نعلم"‪ ،‬فقد يكون عددا ثنائياً أو عددا مثانياً أو عددا عشرايً أو عدداً ست عشرايً أو عدداً من أي نظام عددي آخر‪.‬‬
‫فإذا كان يقصد به عدد ثنائي فهو ما يعادل العدد العشري ‪ ، 74‬وإذا كان يقصد به عدد مثاين فهو يكافئ العدد العشري‬
‫‪ ،262664‬وإذا كان يقصد به عدد عشري فهو العدد" مليون وألف وعشرة"‪ ،‬وإذا كان يقصد به عدد ستاعشري فهو ما يعادل‬
‫العدد العشري ‪ .16781328‬إذن ال بد من حتديد النظام العددي الذي يتم متثيل العدد به‪ ،‬ولذلك ينبغي وضع دليل جانيب صغري‬
‫حيسم التساؤل‪.‬‬

‫‪99‬‬
‫أساسيات النظم الرقمية‬

‫مثال ‪:2‬‬
‫العدد ‪ 5A1‬هو عدد ست عشري حتماً وال ميكن أن يكون عشرايً وال مثانياً وال ثنائياً‪ ،‬وذلك الحتوائه على الرموز‪.‬‬

‫‪ 11-2‬حتويل األعداد بني النظامني الست عشري والعشري‬


‫‪Numbers Conversion Between Hexadecimal and Decimal Systems‬‬
‫معرفة قيمة العدد الست عشري يف النظام العشري نعتمد القواعد العامة األربعة نفسها املذكورة يف النظام الثنائي‪ ،‬لكن‬
‫مع األخذ بعني االعتبار أن عدد عناصر قاعدة النظام الست عشري هي ستة عشر‪.‬‬

‫‪ 1-11-2‬حتويل العدد من النظام الست عشري إىل النظام العشري‬


‫‪Hexadecimal to Decimal Conversion‬‬
‫أنخذ كل رقم من العدد الست عشري ونضربه ابلعدد ‪ 16‬مرفوعاً لقوة تساوي مرتبة الرقم مطروحاً منها العدد واحد‪ ،‬مث‬
‫جنمع النواتج‪ ،‬فنحصل على العدد العشري املكافئ للعدد الست عشري‪.‬‬

‫مثال‬
‫حلساب قيمة العدد الست عشري ‪ 3DA‬نكتب‪:‬‬
‫= ‪(3DA)16 =Ax160+Dx161+3 x162‬‬
‫‪10x1+13 x16+3 x256 =(986)10‬‬

‫مثال‬
‫حول العدد ‪ )2AF3(16‬إىل مكافئه العشري‬
‫=‪)2AF3(16 =3x160 +Fx161 +A x162 +2 x163‬‬
‫‪3x1 +15 x 16+10 x 256 +2 4096=(986)10‬‬

‫‪ 2-11-2‬حتويل العدد من النظام العشري إىل النظام الست عشري‬


‫‪Decimal to Hexadecimal Conversion‬‬

‫حتويل اجلزء الصحيح‬


‫نقسم العدد العشري على العدد ‪ 16‬ونكرر قسمة الناتج مراراً‪ ،‬آخذين يف كل مرة ابقي القسمة‬ ‫من أجل التحويل ّ‬
‫‪( Remainder‬مقيمني إايه حسب النظام الست عشري(‪ ،‬مرتبني البواقي من اليمني إىل اليسار تبعاً لتسلسل احلصول عليها‪.‬‬

‫مثال‬
‫حول العدد العشري ‪ 650‬إىل مكافئه ابلنظام الست عشري‪.‬‬

‫‪100‬‬
‫أساسيات النظم الرقمية‬

‫‪hexadecimal‬‬ ‫لتحويل العدد العشري ‪ 650‬إىل النظام الست عشري جنري عمليات القسمة على ‪ 16‬حيث أنخذ بواقي القسمة‬
‫‪ Remainder‬ابلتسلسل بدء من أول ابق وهو العدد عشرة والذي يكتب ابلست عشري ‪ ،A‬مث العدد ‪ ،8‬مث بعد ذلك العدد‬
‫‪ ،2‬ومن مث نرتبهم من األسفل إىل األعلى ومن اليمني إىل اليسار‪ ،‬ونتوقف عندما انتج القسمة يساوي الصفر ‪ 0‬كما هو موضح ‪:‬‬

‫‪(650)10=(28A)16‬‬ ‫فنحصل على العدد ‪ 28A‬ويكون‬

‫مثال‬
‫لتحويل العدد العشري ‪ 1000‬إىل النظام الست عشري جنري عمليات القسمة على ‪ 16‬حيث أنخذ بواقي القسمة ابلتسلسل بدءاً‬
‫من أول ابق وهو العدد مثانية‪ ،‬مث العدد ‪ 14‬لكن هنا ننبه إىل أن العدد ‪ 14‬ال يؤخذ كما هو‪ ،‬وإمنا يؤخذ بشكله يف النظام الست‬
‫عشري أي احلرف ‪ ،E‬ومن بعد ذلك أنخذ ابقي القسمة التايل (وهو األخري( والذي هو أصغر من قاعدة النظام‪ ،‬كما يلي‪:‬‬

‫املقسوم‬ ‫قاعدة النظام‬ ‫الباقي‬


‫‪1000‬‬ ‫‪16‬‬ ‫‪1000-16×62=8‬‬

‫‪62‬‬ ‫‪16‬‬ ‫‪62-16×3=14‬‬

‫‪3‬‬ ‫‪16‬‬ ‫‪3-16×0=3‬‬

‫‪(1000)10=(3E8)16‬‬ ‫فنحصل على العدد ‪ 3E8‬ويكون‬

‫‪101‬‬
‫أساسيات النظم الرقمية‬

‫حتويل اجلزء الكسري‬


‫لتحويل عدد كسري ست عشري إىل النظام العشري نضرب العدد العشري ابلعدد ‪ 16‬ونقتطع منه اجلزء الناتج يسار‬
‫الفاصلة (مقيمني إايه حسب النظام الست عشري)‪ ،‬واضعني إايه يف املرتبة األوىل ميني الفاصلة لتشكيل العدد الست عشري‪،‬‬
‫ونكرر العملية حىت يصبح ما يبقى ميني الفاصلة من العدد العشري كله أصفاراً‪.‬‬

‫مثال‬
‫العدد العشري ‪ 0.640625‬حيول إىل النظام الست عشري أبن نضربه ابلعدد ‪ 16‬فنحصل على ‪ 10.2500‬نقتطع اجلزء الصحيح‬
‫منه وهو ‪ 10‬ونقيمه يف النظام الست عشري وهو احلرف ‪ A‬فنضعه يف املرتبة األوىل يسار الفاصلة ‪ ،0.A‬يتبقى من العدد العشري‬
‫القيمة ‪ ،0.25‬فنعيد الضرب ابلعدد ‪ 16‬فنحصل على ‪ .4.00‬نقتطع الرقم الظاهر يسار الفاصلة وهو ‪ 4‬وتقيمه يف النظام الست‬
‫عشري فيبقى نفسه‪ ،‬ونضعه يف املرتبة التالية فنحصل على ‪ ،0. A 4‬وبعد اقتطاع هذا الرقم نالحظ أن ما تبقى من العدد العشري‬
‫هو الصفر‪ ،‬وابلتايل تنتهي عملية التحويل‪ ،‬وابلتايل دائما نكمل حىت يتبقى من العدد العشري بعد اقتطاع اجلزء الصحيح الصفر‪،‬‬
‫أو حسب عدد اخلاانت العشرية املطلوب‪.‬‬
‫‪0.640625×16=920.10.250‬‬
‫‪0.250×16=4.00‬‬

‫نكتب الناتج من األسفل إىل األعلى ومن اليسار إىل اليمني ‪(0.640625)10=(0.A4)16‬‬

‫‪Hexadecimal to Binary Conversion‬‬ ‫‪ 3-11-2‬التحويل من النظام الست عشري إىل النظام الثنائي‬
‫لتحويل العدد ابلنظام الست عشري إىل مكافئه الثنائي‪ ،‬نتبع التايل‪:‬‬
‫‪ .1‬نستبدل كل اخلاانت املكتوبة بداللة احلروف يف النظام الست عشري إن وجدت ابألعداد العشرية املكافئة هلا‪.‬‬
‫‪ .2‬نستبدل كل عدد عشري مبكافئه الثنائي املكون من أربع خاانت‪.‬‬
‫‪ .3‬نضم األرقام الثنائية مع بعضها البعض حنصل على العدد املطلوب‪.‬‬

‫مثال‬
‫حول العدد الست عشري ‪ )D39A(16‬إىل مكافئه الثنائي‬
‫‪D‬‬ ‫‪3‬‬ ‫‪9‬‬ ‫‪A‬‬

‫‪13‬‬ ‫‪3‬‬ ‫‪9‬‬ ‫‪10‬‬

‫‪1101 0011 1001 1010‬‬

‫‪102‬‬
‫أساسيات النظم الرقمية‬

‫نضم االرقام الثنائية مع بعضها البعض لنحصل على العدد املطلوب ونكنتب‬

‫‪)D39A(16 =)1101001110011010(2‬‬

‫مثال‬
‫حول األعداد الست عشرية التالية إىل مكافئها الثنائي‬

‫ميكن أن حنول عدد من النظام الست عشري إىل النظام العشري‪ ،‬أبنه حنوله أوالً إىل الثنائي ومن مث من الثنائي إىل‬
‫العشري‪ ،‬واملثال التايل يوضح ذلك‪.‬‬

‫مثال‬
‫حول األعداد الست عشرية التالية إىل النظام العشري أبن تستخدم النظام الثنائي كوسيط‪.‬‬

‫‪Binary to Hexadecimal Conversion‬‬ ‫‪ 4-11-2‬التحويل من النظام الثنائي إىل النظام الست عشري‬
‫لتحويل العدد الصحيح الثنائي إىل مكافئه ابلنظام الست عشري نتبع اخلطوات التالية‪:‬‬
‫‪ .1‬نقسم العدد الثنائي إىل جمموعات كل منها مكون من أربع خاانت‪ ،‬حيث يبدأ التقسيم من اخلانة األقل أمهية ‪.LSB‬‬
‫‪ .2‬إذا كانت اجملموعة األخرية غري مكتملة نضيف يف هنايتها أصفار حىت تصبح مكونة من أربع خاانت‪.‬‬
‫‪ .3‬نستبدل كل جمموعة ثنائية مبكافئها ابلنظام العشري‪.‬‬
‫‪ .4‬نستبدل كل رقم عشري أكرب من ‪ 9‬بداللة حروف النظام الست عشري‪.‬‬

‫‪103‬‬
‫أساسيات النظم الرقمية‬

‫‪ .5‬نضم األرقام الست عشرية معاً للحصول على العدد املطلوب‪.‬‬


‫‪ .6‬يف حالة العدد الثنائي الكسري نبدأ التقسيم إىل جمموعات من اخلانة القريبة من الفاصلة‪.‬‬
‫مثال‬
‫حول العدد الثنائي ‪ )101001101101111001101(2‬إىل مكافئه الست عشري‬

‫‪0001‬‬ ‫‪0100‬‬ ‫‪1101‬‬ ‫‪1011‬‬ ‫‪1100‬‬ ‫‪1101‬‬

‫‪1‬‬ ‫‪4‬‬ ‫‪13‬‬ ‫‪11‬‬ ‫‪12‬‬ ‫‪13‬‬


‫‪1‬‬ ‫‪4‬‬ ‫‪D‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪D‬‬

‫نكتب ‪)101001101101111001101(2 =)14DBCD(16‬‬

‫مثال‬
‫حول العدد الثنائي إىل مكافئه الثماين‬

‫‪ 5-11-2‬التحويل بني النظام الثماين والنظام الست عشري‬


‫‪Conversion Between Octal and Hexadecimal‬‬
‫لتحويل أي عدد من النظام الست عشري إىل النظام الثماين‪ ،‬نقوم أوالً بتحويله إىل النظام الثنائي‪ ،‬ومن مث نقوم بتحويله‬
‫من النظام الثنائي إىل النظام الثماين‪ .‬ويتم ذلك ابلعكس إذا أردان التحويل من النظام الثماين إىل النظام الست عشري‪ ،‬نقوم‬
‫ابستخدام النظام الثنائي كوسيط حنول له أوالً‪ ،‬ومن مث حنول إىل النظام الثنائي إىل النظام الست عشري‪.‬‬

‫‪104‬‬
‫أساسيات النظم الرقمية‬

‫عشري ‪Hexadecimal Arithmetic‬‬ ‫‪ 12-2‬العمليات احلسابية يف النظام الست‬


‫‪Addition‬‬ ‫‪ 1-12-2‬عملية اجلمع‬
‫ينبغي االنتباه يف هذا النظام إىل أنه إذا كان جمموع الرقمني أقل من ستة عشر فإنه ميثل برمز واحد فمثالً جند أن‬
‫‪ A=1+9‬وال نكتب ‪ ،10=9+1‬وكذلك فإن ‪ B+3=E‬وهكذا‪.‬‬
‫والعملية احملورية يف هذا النظام هي العملية‪ F+1=10 :‬وعندما يكون جمموع رقمني ستاعشريني أكثر أو يساوي ‪ 16‬فإننا‬
‫نلجأ إىل هذه القاعدة‪ ،‬على غرار ما فعلنا يف النظام الثماين‪.‬‬

‫مثال‬
‫حلساب قيمة جمموع ‪ D+7‬جنري العملية كما يلي‪:‬‬
‫إن قيمة ‪ D‬هي ‪ 13‬فهي حتتاج للرقم ثالثة لكي تصبح ستة عشرة لذلك جنزء الرقم ‪ 7‬كما يلي‪:‬‬
‫‪D+7=D+)3+4(=)D+3(+4=10+4=14‬‬ ‫‪ 4+3=7‬ونكتب ‪D+7=14‬‬

‫وهكذا من أجل مجع أي عددين ست عشريني فإننا جنمع أرقامهما ابلطريقة نفسها‪.‬‬
‫ميكن إجراء عملية اجلمع كما ابلطريقة اجلربية العادية كما يف املثال التايل‪.‬‬

‫مثال‬
‫امجع األعداد الست عشرية التالية‪:‬‬

‫مثال‬
‫امجع األعداد الست عشرية التالية‪:‬‬

‫‪105‬‬
‫أساسيات النظم الرقمية‬

‫‪Subtraction‬‬ ‫‪ 2-12-2‬عملية الطرح‬


‫ميكن إجراء عملية الطرح مبساعدة مفهوم املتمم األحادي للعدد الست عشري‪ ،‬مع األخذ بعني االعتبار أن املتمم‬
‫األحادي يكون ذلك العدد الذي يكون انتج مجعه مع العدد األساسي هو عدد كل خاانته مؤلفة من احلرف ‪.F‬‬

‫مثال‬
‫لطرح العدد ‪ 6A‬من العدد ‪ 5DC‬أنخذ العدد املطروح ونتممه مرتبة إبضافة الصفر حنو اليسار فنحصل على ‪ ،06A‬وابلتايل فإن‬
‫متممه األحادي هو ‪ ،F95‬نضيف له العدد واحد فنحصل على ‪ F96‬جنمع هذا العدد مع املطروح منه فنحصل على‪:‬‬
‫‪ ،5DC+F96=1572‬حنذف الواحد الظاهر أقصى اليسار فنحصل على ‪ ،572‬إذن ‪5DC-6A=572‬‬

‫مالحظة‪:‬‬
‫ميكن إجراء عملية الطرح ابلطريقة اجلربية حيث تتم االستعارة من مراتب الحقة يف حال كون الرقم املطروح أكرب من املطروح منه‬
‫ولكن جيب االنتباه إىل أن الواحد املستعار من مرتبة الحقة تكون قيمته ستة عشرة (وليس عشرة)‪.‬‬

‫مثال‬
‫اطرح األعداد الست عشرية التالية‪:‬‬
‫‪AED‬‬ ‫‪8BE‬‬
‫‪826 -‬‬ ‫‪7DF -‬‬
‫‪27C‬‬ ‫‪0DF‬‬

‫‪Multiplication‬‬ ‫‪ 3-12-2‬عملية الضرب‬


‫وهو مجع متكرر وميكن التعامل معه عرب حساب انتج ضرب الرقمني وفقا للنظام العشري مث حتويل الناتج إىل النظام‬
‫الست عشري فمثال نعلم أن العشرة يف النظام الست عشري هي ‪ ،A‬وابلتايل فإن ‪ A×A‬هو العدد مئة يف النظام العشري حنوله إىل‬
‫الست عشري فنحصل على ‪ 64‬وابلتايل‪:‬‬
‫‪ A×A=64‬وهكذا ابلنسبة لبقية األرقام‪.‬‬
‫وميكن ابستخدام الطريقة التقليدية‪.‬‬
‫مثال‬
‫أوجد حاصل ضرب األرقام الست عشرية التالية‪:‬‬
‫‪A14‬‬
‫×‪5‬‬
‫‪3264‬‬
‫‪)5(16 × )A14(16 =)3264(16‬‬ ‫الناتج‬

‫‪106‬‬
‫أساسيات النظم الرقمية‬

‫‪Division‬‬ ‫‪ 4-12-2‬عملية القسمة‬


‫وهي أيضا عبارة طرح متكرر وميكن إجراؤها يف النظام الست عشري جرباي مع األخذ بعني االعتبار القيم الفعلية لألع ّداد‬
‫الست عشرية ومراعاة أصول عملية الضرب كما مر أعاله‪.‬‬
‫فمثال لقسمة العدد الست عشري ‪ 64‬على العدد مخسة نعلم من النظام العشري أن الناتج هو العدد العشري ‪ 20‬وهو يكتب‬
‫يف النظام الست عشري ‪ 14‬وابلتايل‪64/5=14 :‬‬
‫وميكن ابستخدام الطريقة التقليدية‪.‬‬

‫مثال‬
‫أوجد انتج قسمة األعداد الثمانية التالية‪ )3264(16 :‬على ‪)5(16‬‬
‫‪0A14‬‬
‫‪5‬‬ ‫‪3264‬‬
‫‪32 -‬‬
‫‪006‬‬
‫‪5-‬‬
‫‪14‬‬
‫‪14 -‬‬
‫‪00‬‬
‫‪)3264(16 ÷)5(16 =)A14(16‬‬ ‫الناتج‬

‫مالحظة‪:‬‬
‫ميكن إجراء عملية الضرب أو القسمة بتحويل األعداد املراد ضرهبا أو قسمتها إىل مكافئها الثنائي أو العشري واجراء العملية‬
‫املطلوبة‪ ،‬ومن مث حتويل الناتج إىل مكافئه الست عشري‪.‬‬

‫نتيجة ‪ :1‬إذا كان العدد منتهي املراتب يف النظام الثنائي فهو منتهي املراتب يف النظام الثماين‪ .‬ويف النظام الست عشري‬
‫وابلعكس‪.‬‬

‫نتيجة ‪ :2‬إذا كان لدينا عدد مثاين عدد مراتبه ‪ n‬فعند حتويله إىل عدد ثنائي فإن العدد الثنائي‪ ،‬سيشغل عدداً من املراتب نرمز له‬
‫‪ m‬وهو حيقق العالقة‪ ، 3)n-1(>m>3n+1 :‬وبدقة سيكون عدد خاانته مساوايً للقيمة (‪ 3)n-1‬مضافاً إليها عدد‬
‫اخلاانت الفعلية للرقم الثماين األخري من جهة اليسار‪.‬‬

‫‪107‬‬
‫أساسيات النظم الرقمية‬

‫نتيجة ‪ :3‬إذا كان لدينا عدد ست عشري عدد مراتبه ‪ n‬فعند حتويله إىل عدد ثنائي فإن العدد سيشغل عدداً من املراتب نرمز له‬
‫‪ m‬وهو حيقق العالقة‪ ،4)n-1(>m>4n+1 :‬وبدقة سيكون عدد خاانته مساوايً للقيمة (‪ 4)n-1‬مضافا إليها عدد اخلاانت‬
‫الفعلية للرقم الست عشري األخري من جهة اليسار‪.‬‬

‫نتيجة ‪ :4‬كلما كربت قاعدة النظام العددي كلما أمكن متثيل العدد مبراتب أقل‪.‬‬

‫نتيجة ‪ :5‬ملقارنة أي عددين يف نظام عددي حمدد يكون العدد األكرب هو العدد الذي مراتبه الصحيحة أكثر‪ ،‬فإذا تساوت املراتب‬
‫نقارن رقماً برقم اعتباراً من أقصى اليسار‪ ،‬فأول مرة جند يف أحدمها رقما أكرب من مقابله ابملرتبة يكون ذلك هو العدد األكرب‪ ،‬إذا‬
‫كانت مجيع األرقام يف املراتب الصحيحة متساوية نتابع على نفس املبدأ إىل ما بعد الفاصلة‪.‬‬

‫نتيجة ‪ :6‬للتحويل بني النظام الثماين والست عشري ميكن أن حنول العدد إىل النظام الثنائي ومن مث نعيد حتويله إىل النظام‬
‫املطلوب‪.‬‬

‫‪ -3‬متثيل األعداد بواسطة الفاصلة العائمة‬


‫‪Representation of Numbers by Floating Point‬‬
‫لتمثيل األعداد الصحيحة الكبرية حنتاج اىل العديد من اخلاانت الثنائية (البتات)‪ ،‬وهناك مشكلة إذا كان العدد حيتوي‬
‫على قسم كسري‪ ،‬نظام العدد ذو الفاصلة العائمة يعتمد على الرتميز العلمي‪ ،‬وهو قادر على متثيل األعداد الكبرية جداً‪ ،‬والصغرية‬
‫جداً واليت حتتوي قسم كسري بدون زايدة يف عدد اخلاانت الثنائية‪.‬‬

‫العدد ذو الفاصلة العائمة ‪ floating-point number‬يتألف من قسمني ابالضافة إىل االشارة‪ ،‬القسم الكسري‬
‫يكون القسم الذي ميثل عدد املواضع‬ ‫‪exponent‬‬ ‫يكون القسم الذي ميثل مقدار العدد ويكون بني ‪ 0‬و ‪ ،1‬واألس‬ ‫‪mantissa‬‬

‫اليت تتحركها الفاصلة العشرية (أو الفاصلة الثنائية)‪.‬‬

‫على سبيل املثال العدد العشري ‪241,506,800‬‬

‫‪.2415068‬‬ ‫القسم العشري ‪ mantissa‬يكون‬


‫األس ‪ exponent‬يكون ‪9‬‬

‫عندما نريد أن نعرب عن العدد الصحيح كرقم ذو فاصلة عائمة جيب نقل الفاصلة العشرية إىل اليسار عرب كل األرقام‬
‫حبيث يكون القسم العشري يكون رقم كسري‪ ،‬واألس يكون من قوى العشرة‪.‬‬

‫‪108‬‬
‫أساسيات النظم الرقمية‬

‫العدد ذو الفاصلة العائمة يكتب‪:‬‬

‫‪0.2415068×109‬‬

‫نالحظ أن موقع الفاصلة داخل العدد غري اثبت (عائم) ويعتمد على األس املرفوع له أساس نظام العد‪ ،‬وميكن اعتبار‬
‫أي عدد ممثل بواسطة الفاصلة العائمة منسجماً مع الشكل التايل‪:‬‬

‫‪-+M×E+-p‬‬
‫‪ M‬اجلزء الكسري من العدد‬ ‫حيث‬

‫‪ E‬أساس نظام العد‬

‫‪ P‬األس‬

‫يشرتط يف العدد املمثل بواسطة الفاصلة العائمة أال يكتب على شكل عدد صحيح وأال يكون أول رقم فيه على ميني‬
‫الفاصلة صفراً‪.‬‬

‫مت تعريف تنسيط دقة وشكل الرقم الثنائي املمثل بواسطة الفاصلة العائمة من قبل املعهد الوطين األمريكي للمعايري‬

‫)‪ ،(ANSI‬ومعهد مهندسي الكهرابء واالكرتون األمريكي‬ ‫‪The American National Standards Institute‬‬

‫‪ )IEEE( Institute of Electrical and Electronic Engineers‬يف ثالث أشكال‪:‬‬

‫‪ -‬أرقام ذات فاصلة عائمة أحادية الدقة ‪ Single-precision floating-point numbers‬بـ ـ ‪ 32‬خانة ثنائية‪.‬‬
‫‪ -‬أرقام ذات فاصلة عائمة مضاعفة الدقة ‪ double-precision numbers‬بـ ـ ‪ 64‬خانة ثنائية‪.‬‬
‫‪ -‬أرقام ذات فاصلة عائمة موسعة الدقة ‪ extended-precision numbers‬بـ ـ ‪ 80‬خانة ثنائية‪.‬‬

‫سنركز دراستنا على األرقام ذات الدقة األحادية‪.‬‬

‫‪ 1-3‬األرقام الثنائية املمثلة بواسطة الفاصلة العائمة ذات الدقة األحادية‬


‫‪Single-Precision Floating-Point Binary Numbers‬‬
‫يف الشكل القياسي من الرقم الثنائي املمثلة بواسطة الفاصلة العائمة ذات الدقة األحادية الذي يتألف من ‪ 32‬خانة كما‬
‫هو موضح يف الشكل (‪ ،)8-3‬تكون على كما يلي‪:‬‬
‫‪ -‬خانة اإلشارة (‪ )S‬تكون يف أقصى اليسار‪.‬‬

‫‪109‬‬
‫أساسيات النظم الرقمية‬

‫‪ -‬االس (‪ )E‬تكون اخلاانت الثمانية التالية‪.‬‬


‫‪ -‬والقسم الكسري أو (‪ )F‬يتضمن ‪ 23‬خانة املتبقية‬

‫الشكل (‪ )8-3‬األرقام الثنائية املمثلة بواسطة الفاصلة العائمة ذات الدقة األحادية‬

‫يف القسم الكسري الفاصلة الثنائية تكون يف أقصى يسار ‪ 23‬خانة‪ ،‬ويوجد ‪ 24‬خانة يف القسم الكسري ألن أي رقم‬
‫ثنائي اخلاانت يف أقصى اليسار دائما ‪ .1‬لذلك هذا الواحد ‪ 1‬يفهم على أنه موجود هناك مع أنه ال حيسب من مواقع اخلانة‬
‫الفعلية‪.‬‬

‫الذي حنصل عليه ابضافة ‪ 127‬لألس الفعلي‪،‬‬ ‫‪a biased exponent‬‬ ‫اخلاانت الثمانية لألس يعرب عنها كأس حميز‬
‫عداد الصغرية جداً‪ ،‬والكبرية جدا أن متثل بدون موقع خلانة االشارة لألس‪ ،‬األس املنحاز‬
‫اهلدف من هذا االحنياز أن نسمح لأل ّ‬
‫يسمح مبجال قيم لألس الفعلي من ‪ -126‬إىل ‪.+128‬‬

‫مثال‬
‫ليكن لدينا العدد الثنائي التايل ونريد متثيله كعدد ذو فاصلة عائمة بدقة أحادية‪.‬‬

‫‪1011010010001= 1.011010010001 ×212‬‬

‫ابفرتاض أن العدد موجب‪ ،‬ابلتايل خانة االشارة تكون ‪ ،0‬األس يكون ‪ ،12‬ويعرب عنه كأس منحاز ابضافة ‪ 127‬الشكل‬
‫التايل (‪ .)12+127=139‬وبعرب عنه كرقم ثنائي (‪ .)10001011‬القسم الكسري من العدد يكون (‪ ).011010010001‬ابلتايل‬
‫ميكن أن نعرب عن العدد ابستخدام الفاصلة العائمة كما يف الشكل (‪:)9-3‬‬

‫الشكل (‪ )9-3‬متثيل العدد الثنائي ذو الفاصلة العائمة احادية الدقة‬

‫‪110‬‬
‫أساسيات النظم الرقمية‬

‫‪Signed Numbers‬‬ ‫‪ -4‬األرقام املؤشرة‬


‫الرقم الثنائي املؤشرة ‪ Signed Binary Number‬هو الرقم الذي يتألف من معلومات عن اإلشارة وعن املقدار‪ ،‬اإلشارة‬
‫تشري فيما إذا كان الرقم موجب أو سالب‪ ،‬واملقدار يشري إىل قيمة العدد‪ ،‬وهناك ثالث طرق لتمثيل األرقام املؤشرة الثنائية‪ ،‬اإلشارة‬
‫‪.2’s complement‬‬ ‫واملقدار ‪ sign-magnitude‬كما يف الشكل (‪ ،)10-3‬املتمم األحادي ‪ ،1’s complement‬املتمم الثنائي‬
‫األكثر أمهية هي املتمم الثنائي‪ ،‬واألقل استخداماً هي طريقة اإلشارة واملقدار‪ .‬تناولنا سابقاً طرق املتممات وسنعرض طريقة اإلشارة‬
‫واملقدار‪.‬‬

‫الشكل (‪ )10-3‬رقم ثنائي ممثل بطريقة اإلشارة واملقدار‬

‫‪Sign-Magnitude‬‬ ‫‪ 1-4‬اإلشارة واملقدار‬


‫‪.Sign Bit‬‬ ‫ميكن حجز اخلانة األكثر أمهية ‪ MSB‬لتمثيل اإلشارة‪ ،‬وحتديد إشارة العدد ويرمز هلا خبانة اإلشارة‬
‫يبني الشكل التايل اخلانة اخلاصة ابإلشارة لرتميز عدد مؤلف من سبع خاانت ‪n = 7 bits‬‬

‫اإلشارة‬ ‫املقدار‬ ‫فعندما يكون‬


‫العدد موجب‬ ‫‪Sign Bit=0‬‬
‫العدد سالب‬ ‫‪Sign Bit=1‬‬

‫كمثال العدد ‪(29)10 = (0011101)2‬‬

‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪= 29‬‬ ‫ابلنسبة للقيمة املوجبة يتم وضع صفر ‪ 0‬يف خانة اإلشارة‬

‫اإلشارة‬ ‫املقدار‬
‫ومتثيل القيمة ‪ 29 -‬يتم بنفس الطريقة و لكن مع وضع ‪ 1‬يف خانة اإلشارة ألن القيمة سالبة‪.‬‬

‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪= - 29‬‬

‫اإلشارة‬ ‫املقدار‬

‫‪111‬‬
‫أساسيات النظم الرقمية‬

‫‪ 2-4‬العمليات احلسابية على األرقام املؤشرة‬


‫‪Arithmetic Operations with Signed Numbers‬‬
‫سنستخدم طريقة املتمم الثنائي ألنه أكثر الطرق استخداماُ يف احلواسيب واألنظمة اليت تعتمد على املعاجلات وسنكتفي‬
‫ابجلمع والطرح فقط‪.‬‬

‫‪Addition‬‬ ‫‪ 3-2-4‬عملية اجلمع‬


‫مجع عددين موجبني ينتج عدد موجب‪.‬‬

‫‪Subtraction‬‬ ‫‪ 4-2-4‬عملية الطرح‬


‫ميكن تبديل عملية الطرح بعملية اجلمع مع املتمم‪.‬‬
‫‪ -‬لطرح عدد ثنائي من عدد ثنائي نتبع اخلطوات التالية‪:‬‬
‫‪ .1‬نكتب العددين بعدد بتات متساوي‪.‬‬
‫‪ .2‬نوجد متمم العدد السالب‪.‬‬
‫‪ .3‬إذا حصلنا يف احلمل املدور األخري ‪ End Around Carry‬على‪:‬‬
‫• ‪ 1‬فإن نتيجة الطرح موجبة وميهل الفيض األخري‪.‬‬
‫• ‪ 0‬فإن نتيجة الطرح سالبة وحنصل على النتيجة النهائية إبجياد املتمم‪.‬‬
‫أمثلة‬

‫‪ -‬إذا كان كال العددي سالبني‬


‫فإننا نوجد املتمم الثنائي لكل منهما ونقوم ابجلمع‪ ،‬فتكون النتيجة سالبة ويهمل الفيض‪ ،‬وحنصل على النتيجة النهائية‬
‫إبجياد املتمم كما هو موضح ابملثال التايل‪:‬‬

‫‪112‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬حول االرقام الثنائية التالية اىل الشكل العشري‬


‫‪(a) 001 (b) 010 (c) 101 (d) 100001 (e) 1010 (f) 1011 (g) 1110 (h) 1111‬‬
‫‪ -2‬حول األعداد العشرية التايل إىل الشكل الثنائي‬
‫‪(a) 65 (b) 97 (c) 127 (d) 198 (e) 12 (f) 15 (g) 25 (h) 50‬‬
‫‪ -3‬حول الكسور العشرية التالية إىل الشكل الثنائي‬
‫)‪(a‬‬ ‫‪0.26 (b) 0.762 (c) 0.0975‬‬
‫‪ -4‬امجع األرقام الثنائية التالية‬
‫‪(a) 10 + 10 (b) 10 + 11 (c) 100 + 11‬‬
‫‪(d) 111 + 101 (e) 1111 + 111 (f) 1111 + 1111‬‬
‫‪ -5‬اطرح األرقام الثنائية التالية‬
‫‪(a) 1111 - 11 (b) 1101 - 101 (c) 110000 - 1111‬‬
‫‪ -6‬نفذ عملية الضرب على األرقام الثنائية التالية‬
‫)‪(a‬‬ ‫‪11 * 10 (b) 101 * 11 (c) 111 * 110‬‬
‫‪(d) 1100 * 101 (e) 1110 * 1110 (f) 1111 * 1100‬‬
‫‪ -7‬نفذ عملية القسمة على األرقام الثنائية التالية‬
‫‪(a) 110 /11 (b) 1010/ 10 (c) 1111/101‬‬
‫‪ -8‬نفذ عملية اجلمع على األرقام الثنائية املؤشرة التالية‬
‫‪(a) -57+33 (b) 22+33 (c) -46+25‬‬
‫‪ -9‬حول االرقام الست عشرية التالية اىل الشكل العشري‪ ،‬ومن ُث إىل الشكل الثنائي‬
‫‪(a) 4616 (b) 5416 (c) B416 (d) 1A316‬‬
‫‪(e) FA16 (f) ABC16 (g) ABCD16‬‬
‫‪ -10‬حول االرقام الثنائية التالية إىل الشكل الست عشري‪ ،‬ومن ُث نفس االرقام حوهلا إىل الشكل الثماين‬
‫‪(a) 1111 (b) 1011 (c) 11111 (d) 10101010‬‬

‫‪113‬‬
‫أساسيات النظم الرقمية‬

‫‪(e) 10101100 (f) 10111011‬‬


‫‪ -11‬نفذ عملية اجلمع على األرقام التالية‬
‫‪(a) 2516 + 3316 (b) 4316 + 6216 (c) A416 + F516 (d) FC16 + AE16‬‬
‫‪ -12‬حول األرقام الثمانية التالية إىل الشكل العشري أوال‪ُ ،‬ث نفس االرقام حوهلا إىل الشكل الثنائي‬
‫‪(a) 6358 (b) 2548 (c) 26738 (d) 77778 (e) 148 (b) 538 (c) 678 (d) 1748‬‬
‫‪ -13‬امجع األرقام التالية‬
‫‪(a) 6358 +2548 (b) 26738+ 77778 (c) 148 + 538 (d) 678 + 1748‬‬
‫‪ -14‬نفذ عملية اجلمع على األرقام التالية‬
‫‪(a) 2516 + 3316 (b) 4316 + 6216 (c) A416 + F516 (d) FC16 + AE16‬‬
‫‪ -15‬نفذ عملية الطرح على األرقام التالية‬
‫)‪(a‬‬ ‫‪6016 - 3916 (b) A516 - 9816 (c) F116 - A616 (d) AC16 - 1016‬‬
‫‪ -16‬حدد قيمة كل من األعداد الثنائية التالية املمثلة بواسطة الفاصلة العائمة ذو الدقة األحادية‬
‫‪(a) 1 10000001 01001001110001000000000‬‬
‫‪(b) 0 11001100 10000111110100100000000‬‬

‫‪114‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪Binary Number‬‬
‫‪93‬‬ ‫‪Remainder‬‬ ‫ابقي القسمة‬ ‫‪73‬‬ ‫نظام األعداد الثنائية‬
‫‪System‬‬
‫الدوائر االلكرتونية‬
‫‪98‬‬
‫‪Alphabetic‬‬
‫رموز حرفية‬ ‫‪73‬‬
‫‪Digital Electronic‬‬
‫‪Characters‬‬ ‫‪Circuits‬‬ ‫الرقمية‬
‫‪Decimal Number‬‬
‫‪98‬‬ ‫‪Numeric‬‬ ‫رمز رقمي‬ ‫‪73‬‬ ‫نظام األعداد العشرية‬
‫‪System‬‬

‫‪Floating-Point‬‬ ‫العدد ذو الفاصلة‬ ‫‪Octal Number‬‬


‫‪108‬‬ ‫‪73‬‬ ‫نظام األعداد الثمانية‬
‫‪Number‬‬ ‫العائمة‬ ‫‪System‬‬

‫‪Hexadecimal‬‬ ‫نظام األعداد الست‬


‫‪108‬‬ ‫‪Mantissa‬‬ ‫القسم الكسري‬ ‫‪73‬‬
‫‪Numbering System‬‬ ‫عشرية‬

‫‪108‬‬ ‫‪Exponent‬‬ ‫األس‬ ‫‪74‬‬ ‫‪System Base‬‬ ‫أساس النظام‬


‫‪The American Nati‬‬ ‫املعهد الوطين األمريكي‬ ‫مرتبة الرقم أو الوزن‬
‫‪109‬‬ ‫‪onal Standards Inst‬‬ ‫‪74‬‬ ‫‪Positional Weigh‬‬
‫)‪،ANSI )itute‬‬ ‫للمعايري‬ ‫املوضعي‬
‫‪Institute of‬‬ ‫معهد مهندسي‬
‫‪Electrical and‬‬ ‫‪Least Significant‬‬ ‫اخلانة الدنيا أو اخلانة‬
‫‪109‬‬ ‫الكهرابء واالكرتون‬ ‫‪79‬‬
‫‪Electronic‬‬ ‫)‪Bit(LSB‬‬ ‫األقــل أمهية‬
‫(‪Engineers)IEEE‬‬ ‫األمريكي‬
‫‪Single-Precision‬‬ ‫أرقام ذات فاصلة‬ ‫‪Most Significant‬‬ ‫اخلانة العليا أو األكثر‬
‫‪109‬‬ ‫‪Floating-point‬‬ ‫‪79‬‬
‫‪Numbers‬‬ ‫عائمة أحادية الدقة‬ ‫)‪Bit(MSB‬‬ ‫أمهية‬
‫أرقام ذات فاصلة‬
‫‪109‬‬
‫‪Double-Precision‬‬
‫‪87‬‬ ‫‪Inverter Gates‬‬ ‫بواابت النفي‬
‫‪Numbers‬‬ ‫عائمة مضاعفة الدقة‬
‫أرقام ذات فاصلة‬
‫‪109‬‬
‫‪Extended-Precision‬‬
‫‪87‬‬ ‫‪1’s Complement‬‬ ‫املتمم األحادي‬
‫‪Numbers‬‬ ‫عائمة موسعة الدقة‬
‫األرقام الثنائية املمثلة‬
‫‪Single-Precision‬‬
‫‪109‬‬ ‫‪Floating-Point‬‬ ‫بواسطة الفاصلة العائمة‬ ‫‪88‬‬ ‫‪2’s Complement‬‬ ‫املتمم الثنائي‬
‫‪Binary Numbers‬‬
‫ذات الدقة األحادية‬

‫‪115‬‬
‫أساسيات النظم الرقمية‬

‫‪111‬‬ ‫‪Signed Numbers‬‬ ‫األرقام املؤشرة‬ ‫‪110‬‬ ‫‪a biased exponent‬‬ ‫أس حميز‬
‫‪Signed Binary‬‬
‫‪111‬‬ ‫‪Sign Bit‬‬ ‫خانة اإلشارة‬ ‫‪111‬‬ ‫الرقم الثنائي املؤشرة‬
‫‪Number‬‬
‫‪112‬‬ ‫‪End Around Carry‬‬ ‫احلمل املدور األخري‬ ‫‪111‬‬ ‫‪Sign-Magnitude‬‬ ‫اإلشارة واملقدار‬

‫‪116‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الرابع ‪4‬‬


‫البوابات املنطقية‬
‫‪Logic Gates‬‬

‫‪117‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫التعرف على البواابت املنطقية األساسية‪ ،‬النفي ‪ ،NOT‬اآلند ‪ ،AND‬واألور ‪ OR‬وجدول احلقيقة لكل منها‪.‬‬
‫التعرف على عمل البواابت املنطقية مع مدخالت ذات نبضات متغرية املستوى‪.‬‬
‫وصف عمل البواابت انند ‪ ، NAND‬نور ‪ ،NOR‬اكس اور ‪ ،XOR‬اكس نور ‪.XNOR‬‬
‫استعمال البواابت املنطقية يف تطبيقات بسيطة‪.‬‬
‫التعرف على شرائح الدوائر املتكاملة للبواابت املنطقية‪.‬‬
‫التعرف على البوابة املنطقية العامة وكيفية بناء البواابت املنطقية األساسية من البوابة العامة‪.‬‬
‫كتابة التعابري املنطقية للبواابت املنطقية‪.‬‬

‫‪118‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫معظم األنظمة الرقمية‪ ،‬كاحلاسبات وأنظمة االتصاالت حتتوي على جمموعة من الدوائر املنطقية اليت تؤدي بضع‬
‫العمليات األساسية‪ ،‬واليت يتكرر تنفيذها كثرياً وبسرعة كبرية جداً‪ ،‬وهذه العمليات األساسية هي يف الواقع جمموعة من العمليات‬
‫املنطقية‪ ،‬ولذلك تسمى الدوائر البسيطة اليت تقوم هبذه العمليات ابلدوائر أو البواابت املنطقية‪.‬‬
‫متثل البواابت املنطقية حجر األساس لبناء أي دائرة منطقية‪ ،‬ومن مث أي نظام رقمي أو منطقي‪ ،‬فهي دوائر رقمية هلا‬
‫وظيفة حمددة‪ ،‬وعند جتميع عدد من البواابت املنطقية ميكن أن نبين الدائرة املنطقية‪ .‬وحيث أن كلمة منطق ترمز إىل عملية "صنع‬
‫القرار " لذا فإن بوابة املنطقية هي البوابة اليت تعطي خرج فقط عندما تتحقق شروط معينة على مداخل هذه البوابة‪.‬‬
‫يقدم هذا الفصل شرحاً تفصيلياً لكل بوابة من البواابت املنطقية األساسية‪ ،‬من حيث جدول احلقيقة هلذه البوابة والرمز‬
‫القياسي املستخدم يف املراجع لكل منها‪ ،‬مع بعض التطبيقات البسيطة لكل بوابة وشرح لبعض الشرائح املتاحة ىف السوق واليت حتقق‬
‫هذه البوابة‪ .‬من خالل الرتكيبات البسيطة للبواابت األساسية الثالث ميكننا احلصول على ابقي أنواع البواابت األخرى‪.‬‬
‫سنقدم أيضاً بعض البواابت األخرى كالبوابة اكس اور ‪ ،XOR‬والبوابة ‪ ،XNOR‬وسنتعرف على كيفية بناء البواابت‬
‫املنطقية األساسية من البوابة العامة انند ‪ ،NAND‬ونور ‪.NOR‬‬

‫املنطقية ‪Logic Signal Levels‬‬ ‫‪ -2‬مستوايت اإلشارة‬


‫جيب أوالً مراجعة لللمستوايت اليت تعمل عليها هذه‬ ‫‪LOGIC GATES‬‬ ‫قبل أن نبدأ بدراسة البواابت املنطقية‬
‫البواابت‪ ،‬واملنطق الذي يتبع ذلك واليت تعرفنا عليها يف الفصل األول‪.‬‬
‫تعمل البواابت املنطقية على السماح مبرور البياانت أو عدم مرورها‪ ،‬وعند مساحها للبياانت ابملرور مبكن أن يقاس ذلك‬
‫كجهد خرج هلا وكذلك عند منعها‪ ،‬أي أن هلا مستواين من جهد اخلرج‪ ،‬وابلطبع فإن جهد اخلرج عند السماح مبرور البياانت‬
‫خيتلف عن جهد اخلرج عند منع مرورها‪ ،‬وهذان املستواين للخرج يناسبان متاماً نظام األعداد الثنائية‪ ،‬وعلى ذلك إذا كان‪:‬‬
‫‪ -‬جهد اخلرج مرتفع ‪ HIGH‬فإنه يقابل املستوى الثنائي (‪)1‬‬
‫فإنه يقابل املستوى الثنائي (‪)0‬‬ ‫‪LOW‬‬ ‫‪ -‬جهد اخلرج منخفض‬
‫هناك نوعان من املنطق‪ ،‬يسمى األول املنطق املوجب ‪ ،Positive Logic‬والثاين املنطق السالب ‪.Negative Logic‬‬
‫إذا كان مستوى اشارة خرج البوابة الذي يقابل املستوى (‪ )1‬أكثر اجيابية من املستوى (‪ ،)0‬يقال أن البوابة تعمل على منطق‬
‫موجب‪ ،‬إما إذا كان املستوى (‪ )0‬أكثر اجيابية من املستوى (‪ ،)1‬يقال أن البوابة تعمل على منطق سالب‪.‬‬

‫‪119‬‬
‫أساسيات النظم الرقمية‬

‫‪Basic logic gates‬‬ ‫‪ -3‬البواابت املنطقية األساسية‬


‫)‪Logical Complementation (NOT‬‬ ‫‪ 1-3‬عملية النفي‪ ،‬املتمم املنطقي‬
‫يطلق عليها أيضاً عملية العكس املنطقي أو املتمم (‪ ،)Logical Inversion OR complementation‬وفيها يكون‬
‫اخلرج عبارة عن معكوس الدخل‪ ،‬فإذا كان الدخل مساوايً واحد ‪ 1‬فإن اخلرج يكون مساوايً صفر ‪ ،0‬و إذا كان الدخل مساوايً ‪0‬‬
‫صفر فإن اخلرج يكون مساوايً واحد ‪ .1‬يرمز للعملية بوضع خط فوق املتغري‪ ،‬مما يعين أنه معكوس‪.‬‬
‫‪X=NOT A‬‬
‫‪X= A‬‬

‫فإذا كان‪ A=1 :‬فإن ‪A=0‬‬


‫‪A‬‬ ‫‪X‬‬
‫وإذا كان ‪ A=0‬فإن ‪A=1‬‬
‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫جدول احلقيقة ‪ Truth Table‬لعملية النفي واملتمم املنطقي ‪ NOT‬يوضح مجيع احتماالت‬
‫الدخل واخلرج املقابل لكل منها‪.‬‬
‫الحظ أن الدخل هنا هو ‪ A‬واخلرج هو ‪ ،X‬والدخل يف هذه احلالة عبارة عن متغري واحد ميكن أن أيخذ واحدة من‬
‫قيمتني‪ ،‬إما صفر ‪ 0‬أو واحد ‪ ،1‬أي أن هناك احتمالني فقط للدخل‪.‬‬
‫البوابة املنطقية ‪ Logic Gate‬اليت تقوم إبجراء عملية النفي أو املتمم هي بوابة النفي ‪ (NOT Gate) NOT‬اليت يطلق‬
‫عليها أيضاً العاكس املنطقي ‪ .Logic Inverter‬الشكل (‪ )1-4‬يظهر أشكال البوابة وفق معايري (‪ ،(ANSI) /)IEEE‬مع‬
‫اإلشارة إىل النفي والقطبية‪ ،‬حيث لكل بوابة شكالن‪ ،‬الشكل األول يدعى الشكل املميز ‪ ،Distinctive shape‬وهو عبارة عن‬
‫مثلث ودائرة صغرية يف اخلرج أو الدخل‪ ،‬والشكل الثاين أيخذ شكل املربع ‪ Rectangular shape‬ويتم وضع حرف معني داخل‬
‫املربع مييز كل بوابة عن األخرى‪ ،‬وابلنسبة لدائرة النفي يتم وضع حرف ‪ I‬الكبري يف داخل املربع‪ ،‬ويتم وضع مثلث يف يف الدخل أو‬
‫اخلرج‪ ،‬والشكل (‪ )2-4‬يظهر شكل البوابة مع اخلرج الذي تعطيه من أجل نبضة دخل‪ ،‬حيث ‪ t1‬و ‪ t2‬تشري إىل الزمن الذي‬
‫تتحول فيه حالة شكل املوجة من احلالة املرتفعة ‪ HIGH‬إىل احلالة املنخفضة ‪ LOW‬وابلعكس‪ ،‬فعندما الدخل يف احلالة املنخفضة‬
‫‪ LOW‬فإن اخلرج يف احلالة املرتفعة ‪ ،HIGH‬وعندما الدخل يف احلالة املرتفعة ‪ ،HIGH‬فإن اخلرج يف احلالة املنخفضة ‪.LOW‬‬

‫)‪(a‬‬ ‫الشكل (‪ )1-4‬أشكال بوابة النفي ‪ NOT‬وفق معايري (‪ (ANSI) /)IEEE‬وهي الشكل املربع يف )‪ (b‬والشكل املميز يف‬

‫‪120‬‬
‫أساسيات النظم الرقمية‬

‫‪Output pulse‬‬ ‫الشكل (‪ )2-4‬التعبري املنقطي لبوابة النفي ‪ NOT‬مع نبضة الدخل ‪ Input pulse‬ونبضة اخلرج‬

‫تتوفر بوابة النفي ‪ Inverter‬على شكل دائرة متكاملة ‪ IC‬واحدة حتمل الرقم ‪ ،7404‬وهي من عائلة الدوائر املتكاملة‬
‫‪ 74‬ويبني الشكل (‪ )3-4‬توزع ستة بواابت عاكس ضمن الدائرة املتكاملة ‪.7404‬‬

‫‪Inverter‬‬ ‫الشكل (‪ )3-4‬الدائرة املتكاملة ‪ 7404‬اليت حتتوي ست بواابت نفي‬

‫‪Application on NOT Gate‬‬ ‫‪ 1-1-3‬تطبيق على بوابة النفي‬


‫التطبيقات على استخدام بوابة النفي ‪ Inverter‬كثرية ومتعددة‪ ،‬فبوابة النفي تقريباً من أكثر البواابت املنطقية استخداماً‪،‬‬
‫وكمثال على بوابة النفي لدينا الدائرة يف الشكل (‪ ،)4-4‬وهي الدائرة اليت تنتج املتمم األحادي ‪ 1’s complement‬لرقم ثنائي‬
‫بـ ـ ـثمان خاانت(‪ 8‬بت) ‪ ،8-bit binary number‬وهي دائرة تبىن من بواابت نفي على التوازي‪.‬‬

‫‪1’s complement‬‬ ‫الشكل (‪ )4-4‬تطبيق على بوابة بوابة النفي ‪ Inverter‬الدائرة اليت تنتج املتمم األحادي‬

‫‪121‬‬
‫أساسيات النظم الرقمية‬

‫)‪Logical Multiplication (AND‬‬ ‫‪ 2-3‬عملية الضرب املنطقي‬


‫يف عملية الضرب املنطقي ‪ Logical Multiplication‬يكون اخلرج مساوايً واحد ‪ 1‬فقط إذا كانت مجيع متغريات‬
‫الدخل مساوية واحد ‪ ،1‬و يكون اخلرج مساوايً صفر ‪ 0‬إذا كان أي متغري من متغريات الدخل مساوايً صفر ‪ .0‬الضرب املنطقي‬
‫يقابل العملية آند ‪ ،AND‬ومتثل ابستخدام البوابة آند ‪.AND Gate‬‬
‫الشكل (‪ )5-4‬يظهر أشكال البوابة آند ‪ ،AND Gate‬ومها الشكل املميز والشكل املربع وفق معايري (‪/)IEEE‬‬
‫)‪ ،(ANSI‬الشكل (‪ )6-4‬يظهر بوابة آند ‪ AND Gate‬مبدخلني‪ ،‬ثالث مداخل‪ ،‬وأربع مداخل مع التعبري املنطقي ‪Logical‬‬
‫‪ expression‬املقابل للخرج‪.‬‬
‫الشكلني (‪ )8-4()7-4‬يظهر املخطط الزمين لبوابة آند ‪ AND Gate‬تظهر فيه العالقة بني الدخل واخلرج عند تطبيق‬
‫إشارتني ‪ A‬و ‪ ،B‬ونالحظ أهنا تتبع جدول احلقيقة‪ ،‬حيث أن اخلرج ‪ X‬يف احلالة املرتفعة ‪ ،HIGH‬فقط عندما تكون مجيع املداخل‬
‫مع بعض يف احلالة املرتفعة ‪.HIGH‬‬

‫)‪(a‬‬ ‫الشكل (‪ )5-4‬أشكال البوابة آند ‪ AND Gate‬وفق معايري (‪ ،(ANSI) /)IEEE‬الشكل املربع يف )‪ ،(b‬الشكل املميز يف‬

‫ليكن لدينا املتحولني املنطقيني ‪ A‬و‪ B‬كمدخالن لبوابة منطقية من نوع آند ‪ AND Gate‬التعبري املنطقي الذي يعطى‬
‫‪A‬‬ ‫‪B‬‬ ‫‪X= A . B‬‬ ‫خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪X= A AND B‬‬

‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪X= A . B‬‬


‫‪X= AB‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫جدول احلقيقة ‪ Truth Table‬للبوابة آند ‪ AND Gate‬مبدخلني‬

‫الشكل (‪ )6-4‬أشكال بوابة آند ‪ AND Gate‬متعددة املداخل مع التعبري املنطقي املقابل‬

‫‪122‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )7-4‬يظهر املخطط الزمين لبوابة آند ‪ AND Gate‬تظهر فيه العالقة بني الدخل واخلرج‬

‫الشكل (‪ )8-4‬يظهر املخطط الزمين لبوابة آند ‪ AND Gate‬بثالثة مداخل‬

‫تتوفر بوابة آند ‪ AND Gate‬على شكل دائرة متكاملة واحدة‪ ،‬ويبني الشكل (‪ )9-4‬جمموعة من الدوائر املتكاملة من‬
‫‪quad 2-input AND‬‬ ‫سلسلة ‪ 74‬حتتوي كل منها عدد من بوابت آند ‪ ،AND Gate‬األوىل حتتوي على أربع بواابت مبدخلني‬
‫‪ gate‬حتمل الرقم ‪ xx( ،7408‬يعين أهنا قد تكون من نوع ‪ LS‬أو من نوع ‪.)HC‬‬

‫‪123‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )9-4‬أشكال الدوائر املتكاملة للبوابة آند ‪ AND Gate‬مع أرقامها‬

‫‪Application on AND Gate‬‬ ‫‪ 1-2-3‬تطبيق على البوابة آند‬


‫ميكن استخدام بوابة آند ‪ AND‬يف نظام انذار حزام األمان ‪ Seat belt‬يف السيارة‪ ،‬من أجل اكتشاف هل حزام األمان‬
‫مقفل ‪ Buckled‬أم غري مقفل ‪ ،Unbuckled‬الشكل (‪ )10-4‬يظهر ذلك‪ ،‬نالحظ من الشكل عناصر هذا النظام‪ ،‬عندما‬
‫يكون مفتاح التشغيل للسيارة ‪ ،ignition switch=on‬ينتج حالة جهد مرتفعة ‪ HIGH‬على املدخل ‪ A‬للبوابة آند ‪ ،AND‬أيضاً‬
‫وبنفس الوقت سوف يفعل املؤقت الذي يبدأ انتاج حالة مرتفعة ‪ HIGH‬على املدخل ‪ C‬للبوابة آند ‪ AND‬ملدة ‪ 30‬اثنية‪،‬‬
‫وعندما حزام األمان غري مقفل سينتج ينتج حالة مرتفعة ‪ HIGH‬على املدخل ‪ B‬للبوابة آند ‪ ،AND‬وابلتايل إذا كانت الشروط‬
‫الثالثة موجودة‪ ،‬السيارة يف حالة عمل (مفتاح التشغيل يف حالة ‪ ،)On‬وحزام األمان غري مقفل‪ ،‬واملؤقت الزمين يعمل‪ ،‬فإن مداخل‬
‫البوابة آند ‪ AND‬الثالثة يف حالة مرتفعة ‪ ،HIGH‬ابلتايل خرج البوابة سيكون فيي حالة مرتفعة ‪ ،HIGH‬ليفعل نظام التنبيه‬
‫الصويت ‪ Audible alarm circuit‬الذي يعلم السائق أن حزام األمان غري مقفل‪.‬‬

‫الشكل (‪ )10-4‬تطبيق على بوابة آند ‪ AND Gate‬نظام انذار حزام األمان ‪ Seat belt‬يف السيارة‬

‫‪124‬‬
‫أساسيات النظم الرقمية‬

‫)‪Logical Addition (OR‬‬ ‫‪ 3-3‬عملية اجلمع املنطقي‬


‫يف هذه العملية يكون اخلرج مساوايً واحد ‪ 1‬فقط إذا كان أي من متغريات الدخل مساوية واحد ‪ ،1‬و يكون اخلرج‬
‫يكون مساوايً صفر ‪ 0‬إذا كانت مجيع متغريات الدخل مساوية صفر ‪ .0‬اجلمع املنطقي يقابل العملية أور ‪ ،OR‬ومتثل ابستخدام‬
‫البوابة أور ‪ .OR Gate Gate‬الشكل (‪ )11-4‬يظهر أشكال البوابة أور ‪ OR Gate‬وفق معايري (‪.(ANSI) /)IEEE‬‬

‫)‪(a‬‬ ‫الشكل (‪ )11-4‬أشكال البوابة أور ‪ OR Gate‬وفق معايري (‪ ،(ANSI)/)IEEE‬الشكل املربع يف )‪ ،(b‬الشكل املميز يف‬
‫و‪ B‬كمدخالن لبوابة منطقية من نوع أور ‪ ،OR Gate‬التعبري املنطقي الذي يعطي‬ ‫‪A‬‬ ‫ليكن لدينا املتحولني املنطقيني‬
‫خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪A‬‬ ‫‪B‬‬ ‫‪X= A + B‬‬
‫‪X= A OR B‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪X= A + B‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫جدول احلقيقة ‪ Truth Table‬للبوابة أور ‪ OR‬مبدخلني‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫مبدخلني أو بثالث مداخل‪ ،‬أو أربع أو أكثر من ذلك حسب االستخدام‪ ،‬الشكل (‪-4‬‬ ‫‪OR Gate‬‬ ‫توجد بوابت أور‬
‫‪OR Gate‬‬ ‫‪ )12‬يظ هر هذه البواابت مع التعبري املنطقي املقابل للخرج‪ ،‬بينما يظهر الشكل (‪ )13-4‬املخطط الزمين لبوابة أور‬
‫تظهر فيه العالقة بني الدخل واخلرج عند تطبيق إشارتني ‪ A‬و ‪ ،B‬ونالحظ أهنا تتبع جدول احلقيقة‪ ،‬حيث أن اخلرج ‪ X‬يف احلالة‬
‫احلالة املرتفعة ‪ ،HIGH‬عندما أحد املدخلني ‪ A, B‬يف احلالة املرتفعة ‪.HIGH‬‬

‫الشكل (‪ )12-4‬يظهر بوابة أور ‪ OR Gate‬مبدخلني‪ ،‬ثالث مداخل‪ ،‬أربع مداخل مع التعبري املنطقي املقابل للخرج‬

‫‪125‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )13-4‬يظهر املخطط الزمين لبوابة أور ‪ OR Gate‬تظهر فيه العالقة بني الدخل واخلرج‬

‫تتوفر بوابة أور ‪ OR Gate‬على شكل دائرة متكاملة واحدة حتمل الرقم ‪ ،7432‬حتتوي هذه الدائرة على أربع بوابت‬
‫مبدخلني ‪ quad 2-input OR gate‬الشكل (‪.)14-4‬‬

‫الشكل (‪ )14-4‬الدائرة املتكاملة ‪ 7432‬حتتوي على أربع بوابت أور ‪ OR Gate‬مبدخلني‬

‫‪Application on OR Gate‬‬ ‫‪ 1-3-3‬تطبيق على البوابة أور‬


‫ميكن استخدام بوابة أور ‪ OR‬يف نظام للتنبيه وكشف التطفل أو السرقة املوضح يف الشكل (‪ ،)15-4‬هذا النظام ميكن‬
‫أن يستخدم يف غرفة هلا انفذاتن وابب واحد‪ ،‬املستشعرات تكون مفاتيح مغناطيسية تنتج اخلرج املرتفع ‪ HIGH‬عند الفتح‪ ،‬واخلرج‬
‫املنخفض ‪ LOW‬عند االغالق‪ ،‬هذه املفاتيح توصل إىل مداخل بوابة أور ‪ ،OR‬طاملا النوافذ والباب مغلقة ومؤمنة‪ ،‬املفاتيح مغلقة‬
‫ومجيع مداخل البوابة أور ‪ OR‬تكون جبهد منخفض ‪ ،LOW‬عندما أحد النوافذ‪ ،‬أو الباب يفتح‪ ،‬ينتج جهد مرتفع ‪ HIGH‬على‬

‫‪126‬‬
‫أساسيات النظم الرقمية‬

‫أحد مداخل البوابة أور ‪ ،OR‬وابلتايل خرج البوابة يصبح جبهد مرتفع ‪ ،HIGH‬والذي يفعل دائرة تنبيه للتحذير من وجود متطفل‬
‫أو وجود أحد قام ابلفتح‪.‬‬

‫أور ‪OR‬‬ ‫الشكل (‪ )15-4‬نظام كشف التطفل مبسط يستعمل بوابة‬

‫‪NAND Operation‬‬ ‫‪ 4-3‬العملية انند‬


‫بوابة الناند ‪ NAND Gate‬اليت تنفذ العملية املنطقية انند ‪ NAND Operation‬واحدة من البواابت الىت تستخدم‬
‫بكثرة ىف بناء الكثري من الدوال والتوابع واألنظمة الرقمية كما سنرى‪ ،‬حيث ميكن بناء النظام ابلكامل ابستخدام هذه البوابة‪ ،‬وسنرى‬
‫أيضا كيفية احلصول البواابت األساسية اآلند ‪ ،AND‬واألور ‪ ،OR‬والنفي ‪ ،NOT‬ابستخدام بوابة الناند ‪ ،NAND Gate‬وذلك‬
‫الحقاً‪.‬‬
‫بوابة الناند ‪ NAND Gate‬يكون هلا دخالن أو أكثر‪ ،‬وهى تقوم بعملية الضرب املنطقي على هذه املداخل مث عكسها‬
‫ووضعها على اخلرج الوحيد‪ ،‬إن ذلك يعىن أهنا عبارة عن بوابة اآلند ‪ AND‬متبوعة ببوابة النفي‪ .‬لذلك فإن خرج هذه البوابة يكون‬
‫صفر ‪ 0‬يف حالة واحدة فقط وهي عندما تكون كل املداخل تساوي واحدات ‪ ،1‬ويكون اخلرج واحد ‪ 1‬يف كل احلاالت األخرى‬
‫اليت يكون فيها أي من املداخل أو كل املداخل تساوي أصفار ‪ ،0‬الشكل (‪ )16-4‬يظهر أشكال البوابة الناند ‪NAND Gate‬‬
‫وفق معايري (‪.(ANSI) /)IEEE‬‬

‫يف)‪(a‬‬ ‫الشكل(‪ )16-4‬أشكال البوابة الناند ‪ NAND Gate‬وفق معايري(‪ ،(ANSI)/)IEEE‬الشكل املربع يف)‪ ،(b‬الشكل املميز‬

‫‪127‬‬
‫أساسيات النظم الرقمية‬

‫و‪ B‬كمدخالن لبوابة منطقية من نوع الناند ‪ ،NAND Gate‬التعبري املنطقي الذي‬ ‫‪A‬‬ ‫ليكن لدينا املتحولني املنطقيني‬
‫يعطى خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪X= A NAND B‬‬
‫‪A‬‬ ‫‪B‬‬ ‫‪X= A . B‬‬ ‫‪X= A AND B‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪X= A . B‬‬

‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪X= A B‬‬

‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬


‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫جدول احلقيقة ‪ Truth Table‬للبوابة انند ‪ NAND Gate‬مبدخلني‬

‫يظهر الشكل (‪ )17-4‬املخطط الزمين لبوابة الناند ‪ ،NAND Gate‬تظهر فيه العالقة بني الدخل واخلرج عند تطبيق‬
‫إشارتني ‪ A‬و ‪ ،B‬ونالحظ أهنا تتبع جدول احلقيقة‪ ،‬حيث أن ‪ A, B‬مع بعض يف احلالة املرتفعة ‪ HIGH‬خالل أربع فواصل زمنية‪،‬‬
‫يقابلهما اخلرج ‪ X‬يف احلالة املنخفضة ‪ ،LOW‬ويكون اخلرج يف احلالة املرتفعة ‪ HIGH‬يف ما عد ذلك‪.‬‬

‫الشكل (‪ )17-4‬يظهر املخطط الزمين لبوابة انند ‪ NAND Gate‬تظهر فيه العالقة بني الدخل واخلرج‬

‫بثالث مداخل أو أربع أو أكثر من ذلك حسب االستخدام‪ ،‬وتتوفر بوابة الناند‬ ‫‪NAND Gate‬‬ ‫توجد بوابت الناند‬
‫‪ NAND Gate‬على شكل دائرة متكاملة واحدة ويبني الشكل (‪ )17-4‬جمموعة من الدوائر املتكاملة من سلسلة ‪ 74‬حتتوي كل‬
‫منها عدد من بواابت انند ‪ ،NAND Gate‬تظهر األوىل حتتوي على أربع بواابت مبدخلني ‪ quad 2-input NAND gate‬حتمل‬
‫الرقم ‪ ،7400‬الثانية حتتوي على ثالث بوابت بثالثة مداخل ‪ triple 3-input NAND gate‬حتمل الرقم ‪ ،7410‬والثالثة حتتوي‬
‫على بوابتني أبربع مداخل ‪ dual 4-input NAND gate device‬حتمل الرقم ‪ ،7420‬الرابعة حتتوي على بوابة واحدة بثمانية‬
‫مداخل ‪ NAND gate single 8-input‬حتمل الرقم ‪.7430‬‬

‫‪128‬‬
‫أساسيات النظم الرقمية‬

‫‪NAND Gate‬‬ ‫الشكل (‪ )17-4‬أشكال الدوائر املتكاملة للبوابة انند‬

‫التعبري املنطقي لبوابة انند بثالثة مداخل ‪ triple 3-input NAND gate‬يكتب ابلشكل‪:‬‬

‫‪X= A . B. C‬‬

‫انند ‪Application on NAND Gate‬‬ ‫‪ 1-4-3‬تطبيق على البوابة‬


‫يف نظام كشف حمتوى سائل خمزن يف اثنني أو أكثر من الرباميل كما هو‬ ‫‪NAND Gate‬‬ ‫ميكن استخدام بوابة الناند‬
‫موضح يف الشكل (‪ ،)18-4‬كل برميل حيتوي على مستشعر (حساس) يكتشف اخنفاض مستوى السائل يف الربميل إىل أقل من‬
‫الربع‪ ،‬هذه املستشعرات تنتج جهد مرتفع ‪ HIGH‬أي ‪ 5v‬عندما مستوى السائل أكثر من الربع‪ ،‬وعندما ينخفض مستوى السائل‬
‫إىل أقل من الربع‪ ،‬املستشعر سوف ينتج جهد منخض ‪ LOW‬أي ‪ ،0v‬عندما يكون مستوى السائل يف كال الربميلني أكثر من‬
‫‪HIGH‬‬ ‫يف حالة جهد مرتفع‬ ‫‪NAND‬‬ ‫وابلتايل كال مدخل البوابة انند‬ ‫‪HIGH‬‬ ‫الربع‪ ،‬فإن املستشعرات سوف تنتج جهد مرتفع‬
‫أي ‪ 5v‬وخرجها سيكون جبهد منخفض ‪ LOW‬أي ‪ ،0v‬وابلتايل سيعمل الديود مضيء ابللون االخضر مشرياً على أن مستوى‬
‫السائل يف كال الربميلني أكثر من الربع‪.‬‬
‫‪light-‬‬ ‫دائرة الديود الضوئي‬
‫)‪ emitting diode (LED‬موصلة على أساس‬
‫أن اجلهد املنخفض ‪ LOW‬يشغل الديود‪.‬‬

‫الشكل (‪ )18-4‬يف نظام كشف حمتوى‬


‫سائل خمزن يف اثنني أو أكثر من الرباميل‬
‫يستعمل بوابة انند ‪NAND‬‬

‫‪129‬‬
‫أساسيات النظم الرقمية‬

‫انند ‪The Universal Property of NAND Gate‬‬ ‫‪ 2-4-3‬اخلاصية العامة للبوابة‬


‫يف الكثري من الدوائر العملية‪ ،‬وابلذات ىف تصنيع الدوائر املتكاملة املنطقية يكون ىف العادة من املفيد بناء كل الدائرة أو كل‬
‫النظام املنطقي من نوع واحد من البواابت‪ ،‬سنرى ىف هذا اجلزء كيف نستخدم بواابت انند ‪ NAND‬فقط للتعبري عن البواابت‬
‫املنطقية األساسية‪ ،‬وابلتايل ميكن منها بناء الدائرة املنطقية ابلكامل‪.‬‬
‫البوابة العامة ‪ ،Universal Gate‬حيث ميكن ابستخدام أي تركيبة من البوابة‬ ‫‪NAND GATE‬‬ ‫تسمى البوابة انند‬
‫العامة بناء أي دائرة منطقية‪.‬‬
‫يف اجلزء التايل سنوضح كيفية احلصول على البواابت األساسية الثالث‪ ،‬النفي ‪ ،NOT‬وأند ‪ ،AND‬وأور ‪ ،OR‬ابستخدام‬
‫بوابة انند ‪.NAND‬‬
‫بوابة النفي ‪:NOT‬‬
‫ميكن أن نقوم ابستخدام بوابة انند ‪ NAND‬كبوابة نفي منطقي بربط مجيع أطراف الدخل هلا يف طرف واحد‪ .‬ميكن أن‬
‫نرمز لبوابة انند ‪ NAND‬املستخدمة كبوابة نفي منطقي ببوابة ‪ NAND‬بطرف دخل واحد‪ ،‬الشكل (‪ )19-4‬يوضح ذلك‪ .‬وخرج‬
‫البوابة يف هذه احلالة هو‪:‬‬
‫‪A=A.A‬‬
‫بوابة آند ‪:AND‬‬
‫ميكن احلصول على البوابة آند ‪ AND‬وتنفيذ العملية آند ‪ AND‬عن طريق إجراء العملية انند ‪ NAND‬على املدخلني‬
‫األساسيني‪ ،‬ومن مث اخلرج ندخله على بوابة انند ‪ NAND‬تعمل كبوابة نفي منطقي‪ ،‬كما تشري املعادلة التالية‪ ،‬وموضح يف الشكل‬
‫(‪.)19-4‬‬
‫‪X= A B = A B‬‬
‫بوابة أور ‪:OR‬‬
‫ميكن احلصول على البوابة أور ‪ OR‬وتنفيذ العملية أور ‪ OR‬عن طريق إجراء العملية انند ‪ NAND‬تعمل كبوابة نفي‬
‫منطقي من أجل عكس كل من املدخلني األساسيني‪ ،‬ومن مث خرج بوابيت النفي ندخلهما على بوابة انند ‪ ،NAND‬كما تشري‬
‫املعادلة التالية‪ ،‬واخلرج النهائي حنصل عليه بتطبيق نظرية دميورغان‪ ،‬والشكل (‪ )19-4‬يوضح ذلك‪.‬‬

‫‪X=A B‬‬ ‫‪=A + B‬‬


‫بوابة نور ‪:NOR‬‬
‫وميكن ادخال خرج الرتكيبة السابقة من بواابت انند ‪ NAND‬املستخدمة للحصول على بوابة أور ‪ OR‬على بوابة انند‬
‫تعمل كبوابة نفي منطقي فنحصل على بوابة نور ‪ ،NOR‬كما تشري املعادلة‪:‬‬ ‫‪NAND‬‬

‫‪X= A + B‬‬

‫‪130‬‬
‫أساسيات النظم الرقمية‬

‫‪NAND‬‬ ‫فقط‪ ،‬عن طريق إجراء العملية انند‬ ‫‪NAND‬‬ ‫أي ميكن أيضاً تنفيذ البوابة نور ‪ NOR‬ابستخدام بوابة انند‬
‫تعمل كبوابة نفي منطقي من أجل عكس كل من املدخلني األساسيني‪ ،‬ومن مث خرج كل بوابة النفي ندخله على بوابة انند‬
‫‪ ،NAND‬ومن مث اخلرج ندخله على بوابة انند ‪ NAND‬تعمل كبوابة نفي منطقي‪ ،‬كما هو موضح ابلشكل (‪.)19-4‬‬

‫‪NAND‬‬ ‫الشكل (‪ )19-4‬اخلاصية العامة للبوابة انند‬

‫نور ‪NOR Operation‬‬ ‫‪ 5-3‬العملية‬


‫بوابة النور ‪ NOR Gate‬اليت تنفذ العملية نور ‪ NOR Operation‬واحدة أيضاً من البواابت الىت تستخدم بكثرة ىف بناء‬
‫الكثري من الدوال والتوابع واألنظمة الرقمية كما سنرى‪ ،‬حيث ميكن بناء النظام ابلكامل ابستخدام هذه البوابة وسنرى أيضا كيفية‬
‫احلصول البواابت األساسية اآلند ‪ ،AND‬واألور ‪ ،OR‬والنفي ‪ ، NOT‬ابستخدام بوابة النور ‪ ،NOR Gate‬وذلك الحقاً‪.‬‬
‫بوابة النور يكون هلا دخالن أو أكثر وهى تقوم بعملية اجلمع املنطقي على هذه املداخل مث عكسها ووضعها على اخلرج‬
‫الوحيد‪ ،‬إن ذلك يعين أهنا عبارة عن بوابة أور ‪ OR‬متبوعة ببوابة نفي ‪ ،NOT‬لذلك فإن خرج هذه البوابة يكون واحد ‪ 1‬يف حالة‬
‫واحدة فقط وهى عندما تكون كل املداخل تساوى أصفار ‪ ،0‬ويكون اخلرج صفر ‪ 0‬يف كل احلاالت األخرى الىت يكون فيها أي‬
‫واحد من املداخل أو كل املداخل تساوي واحدات ‪ .1‬الشكل (‪ )20-4‬يظهر أشكال البوابة نور ‪ NOR Gate‬وفق معايري‬
‫(‪.(ANSI) /)IEEE‬‬

‫‪131‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )20-4‬يظهر أشكال البوابة نور ‪ NOR Gate‬وفق معايري (‪ ،(ANSI)/)IEEE‬الشكل املربع يف )‪ ،(b‬الشكل املميز‬
‫يف )‪(a‬‬

‫ليكن لدينا املتحولني املنطقيني ‪ A‬و‪ B‬كمدخالن لبوابة منطقية من نوع نور ‪ ،NOR Gate‬التعبري املنطقي الذي يعطي‬
‫خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪X= A NOR B‬‬

‫‪X= A OR B‬‬
‫‪A‬‬ ‫‪B‬‬ ‫‪X= A + B‬‬
‫‪X= A + B‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫جدول احلقيقة ‪ Truth Table‬للبوابة نور ‪ NOR Gate‬مبدخلني‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬

‫يظهر الشكل (‪ )21-4‬املخطط الزمين لبوابة نور ‪ ،NOR Gate‬تظهر فيه العالقة بني الدخل واخلرج عند تطبيق‬
‫إشارتني ‪ A‬و ‪ ،B‬ونالحظ أهنا تتبع جدول احلقيقة‪ ،‬حيث يكون اخلرج ‪ X‬يف احلالة املرتفعة ‪ HIGH‬فقط عندما عندما يكون كال‬
‫الدخلني ‪ A, B‬يف احلالة املنخفضة ‪.LOW‬‬

‫الشكل (‪ )21-4‬يظهر املخطط الزمين لبوابة نور ‪ NOR Gate‬تظهر فيه العالقة بني الدخل واخلرج‬

‫‪NOR‬‬ ‫بثالث مداخل أو أربع أو أكثر من ذلك حسب االستخدام‪ ،‬وتتوفر بوابة نور‬ ‫‪NOR Gate‬‬ ‫توجد بوابت نور‬
‫‪ Gate‬على شكل دائرة متكاملة واحدة‪ ،‬ويبني الشكل (‪ )22-4‬شكلني من الدوائر املتكاملة من سلسلة ‪ ،74‬حتتوي كل منها‬

‫‪132‬‬
‫أساسيات النظم الرقمية‬

‫حتمل الرقم ‪،7402‬‬ ‫‪quad 2-input NOR gate‬‬ ‫عدد من بواابت نور ‪ ،NOR Gate‬األوىل حتتوي على أربع بواابت مبدخلني‬
‫الثانية حتتوي على ثالث بوابت بثالثة مداخل ‪ triple 3-input NOR gate‬حتمل الرقم ‪.7427‬‬

‫‪NOR Gate‬‬ ‫الشكل (‪ )22-4‬شكلني من الدوائر املتكاملة للبوابة نور‬

‫التعبري املنطقي لبوابة نور بثالثة مداخل ‪ triple 3-input NOR gate‬يكتب ابلشكل‪:‬‬

‫‪X= A + B + C‬‬

‫نور ‪Application on NOR Gate‬‬ ‫‪ 1-5-3‬تطبيق على البوابة‬


‫ميكن استخدام بوابة نور ‪ NOR Gate‬كجزء من نظام الرصد الوظيفي للطائرة‪ ،‬كما هو موضح يف الشكل (‪،)23-4‬‬
‫عداداً للهبوط‪،‬‬
‫إذ يلزم وجود دائرة لإلشارة إىل حالة تروس اهلبوط ‪ landing gears‬قبل اهلبوط‪ ،‬إذ يتم تنشيط ومتديد " الرتس" است ّ‬
‫يعمل النظام على الشكل التايل‪:‬‬
‫‪ -‬إذا كانت كل الرتوس تعمل بشكل صحيح فإن أجهزة االستشعار تنتج اجلهد املنخفض ‪ ،LOW‬و حنصل على‬
‫اجلهد املرتفع ‪ HIGH‬من البوابة نفي آند ‪ negative-AND gate‬اليت تعمل نفس عمل بوابة نور ‪ ،NOR‬وابلتايل‬
‫تشغيل شاشة الليد اخلضراء‪ ،‬إذاً يتم تشغيل شاشة الليد اخلضراء إذا كانت مجيع الرتوس الثالثة تعمل بشكل صحيح‬
‫(مداخل البوابة نفي آند يف حالة ‪.)HIGH‬‬
‫‪ -‬إذا كان واحد من الرتوس أو أكثر مل يعمل ومل ميتد‪ ،‬أجهزة االستشعار تنتج اجلهد املرتفع ‪ ،HIGH‬اليت تكون أحد‬
‫مداخل البوابة نور ‪ ،NOR Gate‬واليت تنتج على اخلرج اجلهد املنخفض ‪ ،LOW‬ويتم تشغيل شاشة الليد احلمراء‪.‬‬

‫‪133‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )23-4‬نظام رصد تروس اهلبوط للطائرة كمثال تطبيقي على البوابة نور ‪ ،NOR Gate‬إذ يكون الليد األخضر مضاء‬
‫إذا كانت الرتوس الثالثة ممتدة وتعمل بشكل صحيح‪ ،‬ويكون الليد األمحر مضاء يف حال أحد الرتوس ال يعمل‬

‫نور ‪The Universal Property of NOR Gate‬‬ ‫‪ 2-5-3‬اخلاصية العامة للبوابة‬


‫فقط‪ ،‬لبناء الدائرة املنطقية ابلكامل‪ ،‬إذ ميكن احلصول على البواابت‬ ‫‪NOR Gate‬‬ ‫ميكن أيضاً استخدام البوابة نور‬
‫واليت تسمى أيضاً البوابة العامة‬ ‫‪NOR Gate‬‬ ‫األساسية الثالث‪ ،‬النفي ‪ ،NOT‬وأند ‪ ،AND‬وأور ‪ ،OR‬ابستخدام البوابة نور‬
‫‪ ،Universal Gate‬وابستخدام أي تركيبة من البوابة العامة ميكن بناء أي دائرة منطقية‪.‬‬
‫بوابة النفي ‪:NOT‬‬
‫كبوابة نفي منطقي بربط مجيع أطراف الدخل هلا يف طرف واحد‪ .‬ميكن‬ ‫‪NOR Gate‬‬ ‫ميكن أن نقوم ابستخدام البوابة نور‬
‫أن نرمز لبوابة نور ‪ NOR‬املستخدمة كبوابة نفي منطقي ببوابة ‪ NOR‬بطرف دخل واحد‪ ،‬الشكل (‪ )24-4‬يوضح ذلك‪ .‬وخرج‬
‫البوابة يف هذه احلالة هو‪:‬‬
‫‪A=A+A‬‬

‫بوابة أور ‪:OR‬‬


‫ميكن احلصول على البوابة أور ‪ OR‬وتنفيذ العملية أور ‪ OR‬عن طريق إجراء العملية نور ‪ NOR‬على املدخلني‬
‫األساسيني‪ ،‬ومن مث اخلرج ندخله على بوابة نور ‪ NOR‬تعمل كبوابة نفي منطقي‪ ،‬كما تشري املعادلة التالية‪ ،‬وموضح يف الشكل‬
‫(‪.)4-24‬‬
‫‪X=A + B = A + B‬‬

‫‪134‬‬
‫أساسيات النظم الرقمية‬

‫بوابة آند ‪:AND‬‬


‫تعمل كعملية‬ ‫‪NOR‬‬ ‫عن طريق إجراء العملية نور‬ ‫‪AND‬‬ ‫وتنفيذ العملية آند‬ ‫‪AND‬‬ ‫ميكن احلصول على البوابة آند‬
‫النفي املنطقي من أجل نفي كل من املدخلني األساسيني‪ ،‬ومن مث خرج بوابيت النفي ندخلهما على بوابة نور ‪.NOR‬‬
‫املعادلة التالية توضح ذلك‪ ،‬واخلرج النهائي حنصل عليه بتطبيق نظرية دميورغان‪ ،‬والشكل (‪ )24-4‬يوضح ذلك‪.‬‬

‫‪X=A + B‬‬ ‫‪=A + B‬‬


‫‪NOR‬‬ ‫وميكن ادخال خرج الرتكيبة السابقة من بواابت نور املستخدمة للحصول على البوابة آند ‪ AND‬على بوابة نور‬
‫تعمل كبوابة نفي منطقي فنحصل على بوابة انند ‪ ،NAND‬كما تشري املعادلة‪:‬‬
‫‪X= A.B‬‬
‫بوابة انند ‪:NAND‬‬
‫تعمل‬ ‫نور ‪NOR‬‬ ‫أي ميكن أيضاً تنفيذ بوابة انند ‪ NAND‬ابستخدام بوابة نور ‪ NOR‬فقط‪ ،‬عن طريق إجراء العملية‬
‫كبوابة نفي منطقي من أجل نفي كل من املدخلني األساسيني‪ ،‬ومن مث خرج كل بوابة نفي ندخله على بوابة نور ‪ ،NOR‬ومن مث‬
‫اخلرج ندخله على بوابة نور ‪ NOR‬تعمل تعمل كبوابة نفي منطقي‪ ،‬كما هو موضح ابلشكل (‪.)24-4‬‬

‫نور ‪NOR‬‬ ‫الشكل (‪ )24-4‬اخلاصية العامة للبوابة‬

‫‪135‬‬
‫أساسيات النظم الرقمية‬

‫‪Exclusive OR, XOR‬‬ ‫‪ 6-3‬العملية أور املقصــورة اكس أور‬


‫البوابة أور املقصورةـ اكس أور ‪ XOR Gate‬عبارة عن تركيبة من البواابت األساسية السابقة‪ ،‬ونظرا لكثرة استخدامها يف‬
‫العديد من التطبيقات فقد مت إفراد رمز هلا واستخدامها كبوابة منفصلة‪ ،‬هذه البوابة هلا دخالن فقط ويكون خرجها واحد ‪ 1‬إذا كان‬
‫الدخالن خمتلفان‪ ،‬وتسمى عملية البوابة اكس أور ‪ XOR‬عملية االختالف‪ ،‬ويكون خرجها صفر ‪ 0‬إذا كان الدخالن متشاهبان‪،‬‬
‫وتنفذ ابستخدام البوابة اكس أور ‪ XOR Gate‬اليت هلا األشكال املوضحة يف الشكل (‪ )25-4‬وفق معايري (‪/)IEEE‬‬
‫)‪.(ANSI‬‬

‫الشكل (‪ )25-4‬يظهر أشكال البوابة اكس أور ‪ XOR Gate‬وفق معايري (‪ ،(ANSI)/)IEEE‬الشكل املربع يف )‪ ،(b‬الشكل‬
‫املميز يف )‪(a‬‬

‫ليكن لدينا املتحولني املنطقيني ‪ A‬و‪ B‬كمدخالن لبوابة منطقية من نوع‬


‫‪A‬‬ ‫‪B‬‬ ‫‪X‬‬
‫اكس أور ‪ ،XOR‬التعبري املنطقي الذي يعطى خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪X= A XOR B‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪X= A B + A B‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫جدول احلقيقة ‪ Truth Table‬للبوابة اكس أور ‪ XOR Gate‬مبدخلني‬
‫والدائرة املنطقية اليت تعرب عن اخلرج يف الشكل (‪ ،)26-4‬وهذه الرتكيبة مبنية من البواابت األساسية‪ ،‬تسمى بوابة أكس‬
‫أور ‪.XOR‬‬

‫أور ‪XOR‬‬ ‫الشكل (‪ )26-4‬تركيبة من البواابت األساسية متثل البوابة أكس‬

‫يرمز ملعامل عملية أور املقصورة ‪ XOR‬ابلشكل ⊕‪ ،‬ويكون التعبري املنطقي ابلشكل‪:‬‬
‫‪X= A⊕B‬‬

‫‪136‬‬
‫أساسيات النظم الرقمية‬

‫متكاملة واحدة‪ ،‬حتتوي على أربع بواابت مبدخلني ‪quad 2-input‬‬ ‫تتوفر البوابة اكس أور ‪ XOR Gate‬على شكل دائرة‬
‫‪ XOR gate‬حتمل الرقم ‪ ،7486‬الشكل (‪.)27-4‬‬

‫الشكل (‪ )27-4‬الدائرة املتكاملة للبوابة اكس أور ‪ ،XOR Gate‬حتتوي على أربع بواابت مبدخلني حتمل الرقم ‪7486‬‬

‫‪Application on XOR Gate‬‬ ‫‪ 1-6-3‬تطبيق على البوابة أور املقصـورة اكس أور‬
‫ميكن استخدام البوابة اكس أور ‪ ،XOR Gate‬يف نظام لكشف العطل يف دائرتني يعمالن على التفرع‪ ،‬يوصل خرجهما‬
‫على مدخل بوابة اكس أور ‪ ،XOR‬كما هو موضح يف الشكل (‪ ،)27-4‬طاملا هااتن الدائراتن تعمالن بشكل صحيح‪ ،‬فإن‬
‫خرج الدئراتني متشابه وخرج البوابة اكس أور ‪ XOR‬يف حالة جهد منخفض ‪ ،LOW‬وإذا حدث عطل يف إحدى الدائرتني فإن‬
‫خرج الدائرتني سيكون خملتف‪ ،‬وابلتايل خرج البوابة سيكون يف حالة جهد مرتفع ‪ HIGH‬إشارة إىل وجود عطل يف أحد الدوائر‪.‬‬

‫‪XOR Gate‬‬ ‫الشكل (‪ )27-4‬نظام كشف عطل يف أحد دائرتني ابستخدام البوابة اكس اور‬

‫‪Exclusive NOR, XNOR‬‬ ‫‪ 7-3‬العملية نور املقصــورة‪ ،‬اكس نور‬


‫تعمل البوابة نور املقصورة‪ ،‬اكس نور ‪ XNOR Gate‬بطريقة معاكسة للبوابة اكس أور ‪ ،XOR‬هذه البوابة هلا دخالن‬
‫فقط ويكون خرجها واحد ‪ 1‬إذا كان الدخالن متشاهبان وتسمى عملية البوابة اكس نور بعملية التشابه‪ ،‬ويكون خرجها صفر ‪ 0‬إذا‬
‫كان الدخالن متشاهبان‪ ،‬وتنفذ عملية نور املقصورة اكس نور ‪ XNOR‬ابستخدام البوابة اكس نور ‪ XNOR Gate‬اليت هلا‬
‫األشكال املوضحة يف الشكل (‪ )28-4‬وفق معايري (‪.(ANSI) /)IEEE‬‬

‫‪137‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )28-4‬يظهر أشكال البوابة اكس نور ‪ XNOR Gate‬وفق معايري (‪ ،(ANSI)/)IEEE‬الشكل املربع يف )‪ ،(b‬الشكل‬
‫)‪(a‬‬ ‫املميز يف‬

‫ليكن لدينا املتحولني املنطقيني ‪ A‬و‪ B‬كمدخالن لبوابة منطقية من نوع اكس نور ‪ ،XNOR‬التعبري املنطقي الذي يعطى‬
‫خرج البوابة يعرب عنه ابلعالقة‪:‬‬
‫‪A‬‬ ‫‪B‬‬ ‫‪X‬‬
‫‪X= A XNOR B‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪X= A B + A B‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫جدول احلقيقة ‪ Truth Table‬للبوابة اكس نور ‪ XNOR Gate‬مبدخلني‬

‫والدائرة املنطقية اليت تعرب عن اخلرج موضحة يف الشكل (‪ ،)29-4‬وهي مبنية من تركيبة من البواابت األساسية‪ ،‬وهذه‬
‫الرتكيبة تسمى بوابة أكس نور ‪ ،XNOR‬ونالحظ أن القسم املظلل ميثل بوابة أكس أور‪ ،‬وابلتايل بوابة أكس نور ‪ XNOR‬متثل‬
‫بوابة أكس أور ‪ XOR‬منفية‪.‬‬

‫‪XNOR‬‬ ‫الشكل (‪ )29-4‬تركيبة من البواابت األساسية متثل البوابة أكس نور‬

‫مبدخلني‪quad 2-‬‬ ‫تتوفر البوابة اكس نور ‪ XNOR Gate‬على شكل دائرة متكاملة واحدة‪ ،‬حتتوي على أربع بواابت‬
‫‪ input XNOR gate‬حتمل الرقم ‪ ،74266‬الشكل (‪.)30-4‬‬

‫‪138‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )30-4‬الدائرة املتكاملة للبوابة اكس أور ‪ ،XNOR Gate‬حتتوي على أربع بواابت مبدخلني حتمل الرقم ‪74266‬‬

‫يقدم الشكل (‪ )31-4‬ملخصاً عن كل البواابت املنطقية مع حاالت الدخل املمكنة واخلرج املقابل لكل منها‪( .‬توجد‬
‫بوابة نفي أور ‪ Negative-OR Gate‬وهي تعمل نفس بوابة انند ‪ NAND‬مع االختالف أن النفي يكون على املداخل‪ .‬أيضاً‬
‫البوابة نفي آند ‪ Negative-AND Gate‬وهي تعمل نفس بوابة نور ‪ NOR‬مع االختالف أن النفي يكون على املداخل)‪.‬‬

‫الشكل (‪ )31-4‬يظهر مجيع البواابت املنطقية مع حاالت الدخل املمكنة واخلرج املقابل لكل منها‬

‫‪139‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪ )1-4‬يلخص الدوائر املتكاملة اليت مت عرضها سابقاً‪ ،‬مع أرقامها وحمتوايهتا‬

‫رقمها‬ ‫نوع الدائرة املتكاملة‬


‫‪7404‬‬ ‫‪Hex (6) Inverter‬‬ ‫نفي‪NOT‬‬ ‫دائرة بست بواابت‬
‫‪7400‬‬ ‫‪Quad (4) 2-Input NAND Gate‬‬ ‫دائرة أبربع بواابت انند ‪ NAND‬مبدخلني‬
‫‪7408‬‬ ‫‪Quad (4) 2-Input AND Gate‬‬ ‫دائرة أبربع بواابت آند ‪ AND‬مبدخلني‬
‫‪7432‬‬ ‫‪Quad (4) 2-Input OR Gate‬‬ ‫دائرة أبربع بواابت أور ‪ OR‬مبدخلني‬
‫‪7486‬‬ ‫‪Quad (4) 2-Input XOR Gate‬‬ ‫دائرة أبربع بواابت اكس او ‪ XOR‬مبدخلني‬
‫‪74266‬‬ ‫‪Quad (4) 2-Input XNOR Gate‬‬ ‫دائرة أبربع بواابت اكس نور ‪ XNOR‬مبدخلني‬
‫‪7402‬‬ ‫‪Quad (4) 2-Input NOR Gate‬‬ ‫دائرة أبربع بواابت نور ‪ NOR‬مبدخلني‬
‫‪7410‬‬ ‫‪Triple (3) 3-Input NAND Gate‬‬ ‫دائرة بثالث بواابت انند ‪ NAND‬بثالثة مداخل‬
‫‪7411‬‬ ‫‪Triple (3) 3-Input AND Gate‬‬ ‫بثالثة مداخل‬ ‫آند ‪AND‬‬ ‫دائرة بثالث بواابت‬
‫‪7427‬‬ ‫‪Triple (3) 3-Input NOR Gate‬‬ ‫بثالثة مداخل‬ ‫نور‪NOR‬‬ ‫دائرة بثالث بواابت‬
‫‪7420‬‬ ‫‪Dual (2) 4-Input NAND Gate‬‬ ‫دائرة ببوابتني انند ‪ NAND‬أبربعة مداخل‬
‫‪7421‬‬ ‫‪Dual (2) 4-Input AND Gate‬‬ ‫دائرة ببوابتني انند ‪ AND‬أبربعة مداخل‬
‫‪7430‬‬ ‫‪8-Input NAND Gate‬‬ ‫دائرة ببوابة انند ‪ NAND‬واحدة بثمانية مداخل‬

‫اجلدول (‪ )1-4‬الدوائر املتكاملة اليت مت عرضها يف هذا الفصل‬

‫‪140‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫إذا كان شكل نبضات الدخل على‬ ‫‪A, B‬‬ ‫ذات املدخلني‬ ‫‪AND‬‬ ‫لبوابة آند‬ ‫‪X‬‬ ‫‪ -1‬ارسم شكل املخطط الزمين للخرج‬
‫املدخلني كما هو موضح ابلشكل (‪)32-4‬‬

‫الشكل (‪)32-4‬‬

‫إذا كان شكل نبضات الدخل على‬ ‫‪A, B‬‬ ‫ذات املدخلني‬ ‫‪OR‬‬ ‫‪ -2‬ارسم شكل املخطط الزمين للخرج ‪ x‬لبوابة أور‬
‫املدخلني كما هو موضح ابلشكل الشكل (‪)32-4‬‬

‫‪ -3‬ارسم شكل املخطط الزمين للخرج ‪ X‬لبوابة آند ‪ AND‬ذات الثالث مداخل ‪ A, B, C‬إذا كان شكل نبضات الدخل‬
‫على املداخل كما هو موضح ابلشكل (‪)33-4‬‬

‫الشكل (‪)33-4‬‬

‫‪ -4‬ارسم شكل املخطط الزمين للخرج ‪ X‬لبوابة آند ‪ AND‬ذات الثالث مداخل ‪ A, B, C‬إذا كان شكل نبضات الدخل‬
‫على املداخل كما هو موضح ابلشكل (‪)34-4‬‬

‫الشكل (‪)34-4‬‬

‫‪141‬‬
‫أساسيات النظم الرقمية‬

‫‪ -5‬أي من البواابت املنطقية تعطي املستوى املرتفع (‪ )1‬على خرجها عندما يكون كال مدخليها يف املستوي املنخفض‪.‬‬

‫‪ -6‬أي من البواابت املنطقية تعطي املستوى املرتفع (‪ )0‬على خرجها عندما يكون كال مدخليها يف املستوي املرتفع‪.‬‬

‫‪ -7‬مىت يكون خرج البوابة انند ‪ NAND‬يف املستوى املنخفض (‪.)0‬‬

‫‪ -8‬أي من البواابت املنطقية تعطي التعبري املنطقي التايل على خرجها‪.‬‬


‫‪X= A B + A B‬‬

‫‪ -9‬ارسم الدوائر اليت تعرب عنها التعابري املنطقية التالية ابستخدام كل من بوابيت انند ‪ NAND‬ونور ‪. NOR‬‬
‫𝐀=‪X‬‬
‫𝐀(‪̅ 𝑩+ C D+‬‬ ‫̅̅̅̅̅ ‪+ 𝐁) (A C D+‬‬
‫̅̅̅̅̅̅̅̅‬ ‫)𝐄 𝐁‬

‫̅̅̅̅̅̅̅̅ ‪X=A B‬‬


‫̅̅̅̅̅ ‪𝐂 + 𝐃 + D 𝐄̅ F+‬‬
‫)𝐅 𝐀‬

‫‪ -10‬اكتب اخلرج اليت تعرب عنه الداوائر املنطقية يف الشكل (‪)35-4‬‬

‫‪ -11‬اكتب جدول احلقيقة للداوائر املنطقية يف الشكل (‪)35-4‬‬

‫الشكل (‪)35-4‬‬

‫‪ -12‬ارسم شكل املخطط الزمين للخرج ‪ x‬لبوابة آند ‪ AND‬ذات الثالثة مداخل ‪ A, B,C‬إذا كان شكل نبضات الدخل‬
‫على املداخل كما هو موضح ابلشكل الشكل (‪)36-4‬‬

‫‪142‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪)36-4‬‬

‫‪ -13‬اكتب التعبري املنطقي اليت تعرب عنه كل من الدوائر يف الشكل (‪ُ ،)37-4‬ث ارسم الدائرة ابستخدام نوع واحد من‬
‫البواابت‪.‬‬

‫الشكل (‪)37-4‬‬

‫‪ -14‬املخطط الزمين للدائرة يف الشكل (‪ )38-4‬يعطي اشارة خرج خاطئة من أجل الدخل املطبق على مداخلها‪ ،‬ارسم‬
‫اشارة اخلرج الصحيحة‪ُ ،‬ث حدد أي دئراة ميكن ان نصحح خرجها فتكون اشارة اخلرج صحيحية‪.‬‬

‫الشكل (‪)38-4‬‬

‫‪143‬‬
‫أساسيات النظم الرقمية‬

‫‪ -15‬ارسم الدائرة اليت يعرب عنها جدول احلقيقة التايل‪:‬‬

‫‪144‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪Quad 2-Input‬‬
‫أربع بواابت آند‬ ‫مستوايت االشارة‬
‫‪123‬‬ ‫‪119‬‬ ‫‪Logic Signal Levels‬‬
‫‪AND‬‬ ‫‪ AND‬مبدخلني‬ ‫املنطقية‬

‫‪124‬‬ ‫‪Ignition Switch‬‬ ‫مفتاح تشغيل‬ ‫‪119‬‬ ‫‪Logic Gates‬‬ ‫البواابت املنطقية‬

‫‪124‬‬
‫‪audible Alarm‬‬
‫نظام التنبيه الصويت‬ ‫‪119‬‬ ‫‪Positive Logic‬‬ ‫املنطق املوجب‬
‫‪Circuit‬‬

‫‪124‬‬ ‫‪Seat Belt‬‬ ‫حزام األمان‬ ‫‪119‬‬ ‫‪Negative Logic‬‬ ‫املنطق السالب‬

‫‪125‬‬
‫‪Logical Addition‬‬
‫عملية اجلمع املنطقي‬ ‫‪120‬‬
‫‪Logical‬‬
‫املتمم املنطقي‬
‫)‪(OR‬‬ ‫‪Complementation‬‬

‫‪quad 2- OR gate‬‬ ‫‪OR‬‬ ‫أربع بوابت أور‬


‫‪126‬‬ ‫‪120‬‬ ‫‪Logical Inversion‬‬ ‫النفي املنطقي‬
‫‪input‬‬ ‫مبدخلني‬
‫أربع بوابت انند‬
‫‪128‬‬
‫‪Quad 2-Input‬‬
‫‪120‬‬ ‫‪Truth Table‬‬ ‫جدول احلقيقة‬
‫‪NAND Gate‬‬ ‫‪ NAND‬مبدخلني‬
‫ثالث بوابت انند‬
‫‪128‬‬
‫‪Triple 3-Input‬‬
‫‪120‬‬ ‫‪NOT Gate‬‬ ‫بوابة النفي‬
‫‪NAND Gate‬‬ ‫‪ NAND‬بثالثة مداخل‬
‫‪Dual 4-Input‬‬ ‫بوابتني انند‬
‫‪128‬‬ ‫‪NAND Gate‬‬ ‫‪120‬‬ ‫‪Distinctive Shape‬‬ ‫الشكل املميز‬
‫‪Device‬‬ ‫‪ NAND‬أبربع مداخل‬
‫‪NAND‬‬ ‫بوابة انند‬
‫‪128‬‬
‫‪Single 8-Input‬‬
‫‪120‬‬ ‫‪Rectangular Shape‬‬ ‫الشكل املربع‬
‫‪NAND Gate‬‬ ‫بثمانية مداخل‬
‫‪Light-Emitting‬‬
‫‪129‬‬ ‫الديود الضوئي‬ ‫‪121‬‬ ‫‪1’s Complement‬‬ ‫املتمم األحادي‬
‫)‪Diode (LED‬‬
‫الرقم الثنائي ب ـ ــثمان‬
‫‪130‬‬ ‫‪Universal Gate‬‬ ‫البوابة العامة‬ ‫‪121‬‬ ‫‪8-Bit Binary Number‬‬
‫خاانت‬

‫‪131‬‬ ‫‪NOR Operation‬‬ ‫‪NOR‬‬ ‫العملية نور‬ ‫‪122‬‬


‫‪Logical‬‬
‫الضرب املنطقي‬
‫)‪Multiplication (AND‬‬
‫نور ‪NOR‬‬ ‫أربع بواابت‬
‫‪132‬‬
‫‪Quad 2-Input‬‬
‫‪122‬‬ ‫‪Logical Expression‬‬ ‫التعبري املنطقي‬
‫‪NOR Gate‬‬ ‫‪ NOR‬مبدخلني‬

‫‪145‬‬
‫أساسيات النظم الرقمية‬

‫‪Quad 2-Input‬‬ ‫بوابة أور املقصورة اكس‬ ‫‪Triple 3-Input NOR‬‬


‫ثالث بواابت نور‬
‫‪137‬‬ ‫‪132‬‬
‫‪XOR Gate‬‬ ‫أور ‪ XOR‬مبدخلني‬ ‫‪Gate‬‬ ‫‪ NOR‬بثالثة مداخل‬

‫‪Exclusive NOR,‬‬ ‫العملية نور املقصورة‬


‫‪137‬‬ ‫‪133‬‬ ‫‪Landing Gears‬‬ ‫تروس اهلبوط‬
‫‪XNOR‬‬ ‫نور‪XNOR‬‬ ‫اكس‬

‫‪Quad 2-Input‬‬
‫أربع بواابت اكس نور‬
‫‪138‬‬ ‫‪133‬‬ ‫‪Negative-AND Gate‬‬ ‫آند ‪AND‬‬ ‫بوابة نفي‬
‫‪XNOR Gate‬‬ ‫‪ XNOR‬مبدخلني‬

‫‪Negative-OR‬‬ ‫العملية أور املقصورة‬


‫‪139‬‬ ‫أور ‪OR‬‬ ‫بوابة نفي‬ ‫‪136‬‬ ‫‪Exclusive OR, XOR‬‬
‫‪Gate‬‬ ‫أور ‪XOR‬‬ ‫اكس‬

‫‪146‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الخامس ‪5‬‬


‫اجلرب البولياني وتبسيط التعابري املنطقية‬
‫‪Boolean Algebra And Logic Simplification‬‬

‫‪147‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫التعرف على أساسيات جرب بول‪.‬‬
‫التعرف على املتغري املنطقي والتعبري املنطقي‪.‬‬
‫التعرف على العمليات البوليانية األساسية‪.‬‬
‫التعرف على قواعد وقوانني ونظرايت جرب بول‪.‬‬
‫التعرف على كيفية استنتاج التعبري البولياين للدائرة املنطقية‪.‬‬
‫القدرة على متثيل دائرة منظقية بداللة التعبري البولياين‪.‬‬
‫كتابة التعابري املنطقية والتعامل معها ابستخدام صيغة جمموع مضاريب ‪ ،SOP‬ومضروب جماميع ‪.POS‬‬
‫القدرة على التحويل فيما بني أشكال التعابري املنطقية‪.‬‬
‫القدرة على حتويل تعبري منطقي إىل جدول احلقيقة وابلعكس‪.‬‬
‫اختصار وتبسيط التعابري املنطقية ابستخدام قوانني جرب بول‪.‬‬
‫التعرف على جداول كارنوف واستخدامها‪.‬‬
‫املقدرة على تبسيط التعابري املنطقية ابستخدام جداول كارنوف‪.‬‬

‫‪148‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫يسمى جرب بول ‪ Boolean Algebra‬على اسم العامل االجنليزي جورج بول ‪ George Boole‬الذي كان أول من وضع‬
‫أساسيات ونظرايت اجلرب املنطقي يف سنة ‪ . 1854‬جرب بول أو جرب املتغريات املنطقية‪ ،‬هو جمموعة من النظرايت والقواعد‬
‫والقوانني اليت تسهل التعامل مع الدوائر املنطقية‪ ،‬وسنغطي يف هذا الفصل هذه القواعد والقوانني والنظرايت‪ ،‬وسنرى من خالل هذا‬
‫الفصل كيف ميكن أن نعرب عن أي دائرة منطقية مبعادلة جربية‪ ،‬وكيف نقوم إبع ّداد جدول احلقيقة هلذه املعادلة‪ ،‬مث سنتعرف على‬
‫طرق تبسيط هذه الدوائر إىل أبسط شكل ممكن ابستخام جرب بول وابستخدام خمطط كارنوف‪ ،‬ومن مث كيفية بناء هذه الدوائر‪.‬‬

‫‪Operations and Expression Logic‬‬ ‫‪ -2‬العمليات والتعابري املنطقية‬


‫املنطقي ‪Logical Variable‬‬ ‫‪ 1-2‬املتغري‬
‫املتغري املنطقي ‪ Logical variable‬هو رمز يستخدم لتمثيل كمية منطقية تكون إما حدث أو شرط أو بياانت‪ ،‬هذا‬
‫املتغري ميكن أن أيخذ قيمة واحدة فقط من قيمتني‪ ،‬يرمز إلحدى القيمتني ابلرمز واحد ‪ 1‬و للقيمة األخرى ابلرمز صفر ‪ ... 0‬فأي‬
‫متغري منطقي ال ميكن أن أيخذ إال إحدى هاتني القيمتني‪ ،‬وال يوجد أي احتمال اثلث‪ .‬فإذا كان ‪ X‬متغري منطقي فإنه إما أن‬
‫يكون ‪ X =0‬أو ‪X =1‬‬

‫تستخدم احلروف الكبرية لتمثيل املتغري املنطقي واملتمم له‪.‬‬


‫املتمم ‪ complement‬هو العكس أو النفي للمتغري ويشار إليه بوضح خط أو شرطة فوق املتغري ‪ ،overbar‬مثالً املتمم‬
‫للمتغري ‪ A‬هو ‪ ،A‬متمم املتغري ‪ A‬يقرأ ابلشكل ليس ‪ )not A ( A‬أو ‪ A‬ابر‪.‬‬
‫كأمثلة عن الكميات املنطقية‪:‬‬
‫خطأ‬ ‫أو‬ ‫صواب‬
‫‪True‬‬ ‫أو‬ ‫‪False‬‬
‫‪ON‬‬ ‫أو‬ ‫‪OFF‬‬
‫‪0 Volts‬‬ ‫أو‬ ‫‪+5 Volts‬‬
‫‪High‬‬ ‫أو‬ ‫‪Low‬‬
‫أسود‬ ‫أو‬ ‫أبيض‬
‫‪Male‬‬ ‫أو‬ ‫‪Female‬‬

‫‪149‬‬
‫أساسيات النظم الرقمية‬

‫‪Logical Operations‬‬ ‫‪ 2-2‬العمليات املنطقية‬


‫العمليات املنطقية ‪ Logical Operations‬هي العمليات اليت ميكن إجراؤها على املتغريات املنطقية‪ ،‬وقد تناولناها‬
‫ابلتفصيل ابلفصل الرابع‪ ،‬بعض هذه العمليات هي عمليات أساسية مثل اجلمع املنطقي أور ‪ OR‬والضرب املنطقي آند ‪AND‬‬
‫والنفي املنطقي ‪ ،NOT‬وبعضها عمليات غري أساسية‪ ،‬مثل عمليات ‪ XOR‬و ‪ NOR‬و ‪ ، NAND‬وهذه العمليات ميكن التعبري‬
‫عنها ابستخدام العمليات األساسية‪.‬‬

‫‪Boolean Multiplication‬‬ ‫‪ 3-2‬الضرب البولياين (املنطقي)‬


‫الضرب البولياين أو املنطقي ‪ Boolean Multiplication‬يكافئ ابلعملية آند ‪ ،AND‬قواعده األساسية وعالقته ابلبوابة‬
‫على‬ ‫‪AND‬‬ ‫موضحة ابلشكل (‪ ،)5-1‬ويف جرب بول حد الضرب ‪ product term‬ينتج من تطبيق عملية آند‬ ‫‪AND‬‬ ‫آند‬
‫جمموعة من املتغريات‪ ،‬وال يتضمن العملية أور ‪ ،OR‬وكأمثلة‪:‬‬

‫‪A . B, A . B . C, A . B . C . D, C . D‬‬

‫حد الضرب يساوي واحد ‪ 1‬إذا كانت كل متغرياته تساوي الواحد ‪.1‬‬
‫حد الضرب يساوي صفر ‪ 0‬إذا كان أحد متغرياته يساوي الصفر ‪.0‬‬

‫‪AND‬‬ ‫الشكل (‪ )1-5‬الضرب البولياين وقواعده األساسية وعالقته ابلبوابة آند‬

‫‪Boolean Addition‬‬ ‫‪ 4-2‬اجلمع البولياين (املنطقي)‬


‫اجلمع البولياين أو املنطقي ‪ Boolean Addition‬يكافئ ابلعملية أور ‪ ،OR‬قواعده األساسية وعالقته ابلبوابة أور ‪،OR‬‬
‫موضحة ابلشكل (‪ ،)5-2‬ويف جرب بول حد اجملموع ‪ sum term‬ينتج من تطبيق عملية أور ‪ ،OR‬على جمموعة من املتغريات‪،‬‬
‫وال يتضمن العملية آند ‪ ،AND‬وكأمثلة‬
‫) ̅𝐶‪(A + B + C) ( A + 𝐵̅ ) ( B+‬‬

‫حد اجملموع يساوي صفر ‪ 0‬إذا كانت كل متغرياته تساوي الصفر ‪.0‬‬
‫حد اجملموع يساوي واحد ‪ 1‬إذا كان أحد متغرياته يساوي الواحد ‪.1‬‬

‫‪150‬‬
‫أساسيات النظم الرقمية‬

‫أور ‪OR‬‬ ‫الشكل (‪ )2-5‬اجلمع البولياين وقواعده األساسية وعالقته ابلبوابة‬

‫‪Logical Expression‬‬ ‫‪ 5-2‬التعبري املنطقي‬


‫هو عبارة عن جمموعة من املتغريات املنطقية املرتبطة مع بعضها البعض بعمليات‬ ‫‪Logical Expression‬‬ ‫التعبري املنطقي‬
‫منطقية‪ ،‬كمثال التعبري املنطقي‬
‫‪X= A + B . C‬‬

‫‪ AND‬والنفي ‪NOT‬‬ ‫تربط بينها عمليات أور ‪ OR‬وآند‬ ‫هي ‪X, A, B, C‬‬ ‫يتكون التعبري املنطقي هنا من أربعة متغريات‬
‫وعملية التكافؤ =‪.‬‬
‫‪Operation Precedence‬‬ ‫أسبقية إجراء العمليات‬
‫يتم إجراء العمليات املنطقية األساسية الثالث ابلرتتيب التايل‪:‬‬
‫‪ -1‬عملية النفي املنطقي ‪.NOT‬‬
‫‪ -2‬عملية الضرب املنطقي ‪.AND‬‬
‫‪ -3‬عملية اجلمع املنطقي ‪.OR‬‬

‫‪AND‬‬ ‫ففي التعبري أعاله‪ ،‬مثالً يتم أوالً إجراء عملية النفي املنطقي للمتغريين ‪ C,B‬أوالً‪ ،‬مث عملية الضرب املنطقي آند‬
‫بني ‪ A‬و ‪ ،C‬وأخرياً عملية اجلمع املنطقي أور ‪.OR‬‬
‫يف حالة ظهور عدة عمليات متساوية من حيث األسبقية يف التعبري املنطقي يتم إجراؤها ابلرتتيب من اليسار لليمني‪.‬‬
‫ميكن استخدام األقواس للتحكم يف ترتيب إجراء العمليات‪ ،‬حيث أن األقواس هلا األسبقية العليا‪ ،‬أي أن ما بني األقواس يتم‬
‫حسابه دائماً أوالً‪ ،‬مثالً إذا قمنا يف التعبري السابق إبضافة قوسني كالتايل‪:‬‬

‫‪X= (A + B) . C‬‬

‫فيتم إجراء اجلمع املنطقي أور ‪ OR‬املوجودة بني قوسني قبل العملية آند ‪ ،AND‬وذلك على الرغم من أن عملية آند‬
‫‪ AND‬هلا أسبقية أعلى من عملية أور ‪ ،OR‬والسبب وجودها بني القوسني‪ ،‬حيث يتم احتساب ما بني القوسني أوالً‪ ،‬فيتم إجراء‬

‫‪151‬‬
‫أساسيات النظم الرقمية‬

‫عملية النفي املنطقي للمتغري ‪ ،B‬مث عملية أور ‪ OR‬بني ‪ A‬و ‪ ،B‬وبعد االنتهاء من األقواس يتم إجراء العمليات خارجها‪ ،‬فيتم‬
‫إجراء عملية النفي املنطقي للمتغري ‪ ،C‬مث عملية آند ‪ AND‬ملا بني القوسني و ‪.C‬‬

‫احلقيقة ‪Truth Table‬‬ ‫‪ 6-2‬جدول‬


‫ميكن أن ننشئ ألي دائرة منطقية هلا ‪ n‬مدخل وخمرج وحيد ‪ X‬جدوالً يسمى جدول احلقيقة ‪ ،Truth Table‬عدد‬
‫أعمدته يساوي إىل ‪ n+1‬وعدد سطوره يساوي إىل ‪ ، 2n‬حبيث حتتوي أعمدة املداخل على خملتف تراكيب متغريات الدخل‪ ،‬بينما‬
‫يُظهر عمود اخلرج قيم خرج الدائرة املنطقية احملتملة جلميع قيم الدخل املقابلة‪.‬‬
‫‪ :n‬عدد املتغريات‬ ‫‪( :2n‬عدد احلاالت)‬
‫من أجل ‪ 2‬من متغريات الدخل فإن ‪ N= 22= 4‬تراكيب‪.‬‬
‫من أجل ‪ 3‬من متغريات الدخل فإن ‪ N= 23= 8‬تراكيب‪.‬‬
‫من أجل ‪ 4‬من متغريات الدخل فإن ‪ N= 24= 16‬تراكيب‪.‬‬

‫من الرتاكيب املخلتفة من متغريات الدخل‬ ‫‪N= 23= 8‬‬ ‫جدول احلقيقة من أجل ‪ 3‬متغريات‪ ،‬نالحظ وجود‬

‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪X= A + B + C‬‬ ‫‪X= A . B . C‬‬


‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫نالحظ أن اخلرج ميثل‬

‫عملية أور ‪ OR‬ثالثية املداخل‬ ‫‪X= A + B + C‬‬

‫عملية آند ‪ AND‬ثالثية املداخل‬ ‫‪X= A . B . C‬‬

‫‪152‬‬
‫أساسيات النظم الرقمية‬

‫املنطقية ‪Logic Circuit‬‬ ‫‪ 7-2‬الدائرة‬


‫هي دائرة الكرتونية ‪ Logic Circuit‬رقمية هلا عدد من املداخل واملخارج حتتوي على عدد من البواابت املنطقية‪ ،‬وتؤدي‬
‫وظيفة حمددة‪ .‬واخلطوة األوىل يف تصميم أي دائرة منطقية هي حتديد مواصفات تلك الدائرة بدقة ‪Determine the logical circuit‬‬
‫‪ ،specification‬ويتم ذلك إبعطاء‪:‬‬

‫)‪(Logic Expression‬‬ ‫‪ -1‬تعبري منطقي‬


‫)‪(Logic Diagram‬‬ ‫‪ -2‬خمطط منطقي‬
‫)‪(Truth Table‬‬ ‫‪ -3‬جدول احلقيقة‬

‫لتصميم دائرة منطقية من الضروري أن نتبع خطوات التصميم التالية‪:‬‬

‫خطوات تصميم دائرة منطقية‬


‫‪ -1‬حتديد مداخل وخمارج الدائرة‪.‬‬
‫‪ -2‬وضع جدول احلقيقة وذلك حسب معطيات الدائرة املطلوبة‪.‬‬
‫‪ -3‬إجياد التعابري املنطقية ملخارج الدائرة بداللة مداخلها‪.‬‬
‫‪ -4‬اختصار التوابع املنطقية الناجتة‪.‬‬
‫‪ -5‬رسم الدائرة‪.‬‬

‫‪Boolean Analysis of Logic Circuits‬‬ ‫‪ 8-2‬التحليل البولياين للدوائر املنطقية‬


‫ميكن التعبري عن أي دائرة منطقية توافقية مهما كانت معقدة ابستخدام العالقات اجلربية اليت تصف عمل البواابت‬
‫املنطقية اليت تشكل هذه الدائرة وميكن أن نكتب املعادلة البوليانية هلا‪ ،‬وميكن متثيل أي تعبري منطقي بدائرة منطقية‪ ،‬حيث ننظر‬
‫للعمليات املنطقية املوجودة ابلتعبري ونقوم بربط البواابت املنطقية ليت تقوم إبجراء تلك العمليات ابألسلوب املناسب‪.‬‬

‫مثال‪:‬‬
‫التايل‪:‬‬ ‫التعبري املنطقي‬

‫‪153‬‬
‫أساسيات النظم الرقمية‬

‫عدد املتغريات يف التعبري هو مخس متغريات‪ ،‬نصمم الدائرة اليت تنفذ التعبري املنطقي وهي يف الشكل (‪ ،)3-5‬حيث فيه‬
‫حدين منفذ عليهم عملية اجلمع املنطقي تنفذه البوابة أور ‪ ،OR‬حيث‪:‬‬
‫احلد األول متغريين ‪ A, B‬منفذ عليهم عملية الضرب املنطقي تنفذه البوابة آند ‪.AND‬‬ ‫▪‬

‫احلد الثاين ثالث متغريات ‪ C, D, E‬منفذ عليهم عملية الضرب املنطقي تنفذه العملية آند ‪.AND‬‬ ‫▪‬

‫‪X=AB+CDE‬‬ ‫الشكل (‪ )3-5‬الدائرة املنطقية املعربة عن التابع‬

‫مثال‪:‬‬
‫التايل‪:‬‬ ‫التعبري املنطقي‬

‫التعبري املنطقي جيب أن حيول إىل شكل جمموع مضاريب كما يلي‪:‬‬

‫عدد املتغريات يف التعبري هو ست متغريات‪ ،‬نصمم الدائرة اليت تنفذ التعبري املنطقي وهي يف الشكل (‪ ،)4-5‬حيث فيه‬
‫حدين مضاريب منفذ على كل واحد منهم عملية الضرب املنطقي تنفذه البوابة آند ‪ ،AND‬هذين احلدين منفذ عليهم عملية‬
‫اجلمع املنطقي تنفذه البوابة أور ‪ ،OR‬وفيه قبل عملية التحويل إىل شكل مضاريب التايل‪:‬‬
‫املتغريين ‪ A, B‬منفذ عليهم عملية الضرب املنطقي تنفذه البوابة آند ‪.AND‬‬ ‫▪‬

‫املتغريين ‪ C, D‬منفذ عليهم عملية الضرب املنطقي تنفذه البوابة آند ‪ ،AND‬ميثل احلد األول لعملية اجلمع املنطقي‪.‬‬ ‫▪‬

‫املتغريين ‪ E,F‬منفذ عليهم عملية الضرب املنطقي تنفذه البوابة آند ‪ ،AND‬ميثل احلد الثاين لعملية اجلمع املنطقي‪.‬‬ ‫▪‬

‫عملية اجلمع املنطقي تنفذه البوابة أور ‪ OR‬على احلد األول والثاين‪.‬‬ ‫▪‬

‫‪154‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )4-5‬متثيل دائرة ابستخدام التعبري البولياين‬

‫‪ 9-2‬متثيل دائرة منطقية ابستخدام جدول احلقيقة‬


‫‪Represent a Logical Circuit using the Truth Table‬‬
‫ميكن انطالقاً من جدول احلقيقة متثيل دائرة منطقية‪ ،‬فيمكن أن نكتب التعبري املنطقي مباشرة من جدول احلقيقة على‬
‫شكل جمموع مضاريب )‪ ،sum-of-products form (SOP‬ومن مث ننفذ الدائرة املنطقية‪ ،‬كما شرحنا سابقاً‪.‬‬

‫مثال‬

‫املداخل‬ ‫اخلرج‬ ‫من أجل جدول احلقيقة التايل‪:‬‬


‫‪Inputs‬‬ ‫‪Output‬‬
‫▪ استنتج التابع املنطقي‬
‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪X‬‬
‫▪ ارسم الدائرة املنطقية اليت متثل التعبري املنطقي‪.‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬

‫من جدول احلقيقة إلجياد التعبري املنطقي على شكل جمموع مضاريب ننظر إىل عمود اخلرج‪ ،‬ونقوم أبخذ احلدود املنطقية‬
‫اليت يكون فيها عمود اخلرج مساوايً واحد ‪ ،1‬أي ‪.X=1‬‬
‫من جدول احلقيقة التايل حنصل على التعبري املنطقي التايل‪:‬‬

‫‪155‬‬
‫أساسيات النظم الرقمية‬

‫حد الضرب‬
‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪X‬‬
‫‪Product term‬‬
‫أصبحت لدينا حالة كاحلالة السابقة‪ ،‬نقوم برسم‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫الدائرة املنطقية حسب اخلطوات اليت درسناسابقاً‪ ،‬وهي يف‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫الشكل (‪)5-5‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫𝐂𝐁 ̅‬
‫𝐀‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫̅𝐂 ̅‬
‫𝐁𝐀‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬

‫الشكل (‪ )5-5‬دائرة منطقية متثل تعبري منطقي مت استنتاجه من جدول احلقيقة‬

‫‪ 10-2‬استنتاج التعبري البولياين املنطقي من دائرة منطقية‬


‫‪Boolean Expression for a Logic Circuit‬‬
‫الستنتاج التعبري البولياين املنطقي ألي دائرة منطقية نبدأ من املدخالت يف أقصى اليسار متجهني إىل اخلرج النهائي‬
‫للدائرة‪ ،‬وذلك بكتابة اخلرج لكل بوابة كما يف الدائرة يف الشكل (‪.)6-5‬‬

‫الشكل (‪ )6-5‬كتابة التعبري البولياين لدائرة منطقية‬

‫‪156‬‬
‫أساسيات النظم الرقمية‬

‫من الدائرة املنطقية يف الشكل (‪ )6-5‬جند أن التعبري املنطقي الذي ميثل الدائرة هو‪:‬‬

‫)‪A(B+CD‬‬

‫‪Logic Diagram‬‬ ‫‪ 11-2‬املخطط املنطقي‬


‫املخطط املنطقي ‪ Logic Diagram‬هو عبارة عن خمطط مبسط يوضح متغريات الدخل للدائرة املنطقية ومسمياهتا‬
‫ومتغريات اخلرج ومسمياهتا ابإلضافة إىل اسم الدائرة الدال على وظيفتها‪.‬‬

‫مثالً‪ ،‬الداوائر املنطقية يف األشكال (‪ )6-5( ،)5-5( ،)4-5‬ميكن متثيلهما ابملخطط املنطقي يف الشكل (‪.)7-5‬‬

‫‪Logic Diagram‬‬ ‫الشكل (‪ )7-5‬املخطط املنطقي‬

‫املخطط املنطقي هنا يوضح أن الدائرة املطلوب تصميمها هلا ثالثة متغريات دخل ‪ A, B, C‬ومتغريا خرج مها ‪.X, Y‬‬
‫الشكل (‪ )8-5‬يظهر املخطط املنطقي لبعض الدوائر املنطقية‪( ،‬املقارن واملشفر ‪ 8‬إىل ‪ ،)3‬حيث نقوم ابستخدام‬
‫املخططات املنطقية كبديل للدائرة املنطقية املفصلة كنوع من التبسيط‪ ،‬وذلك عندما ال نكون حباجة للتفاصيل الداخلية للدائرة‬
‫املنطقية‪ ،‬كما يف الدوائر املعقدة املكونة من عدد من الدوائر الصغرية املربوطة مع بعضها البعض‪ ،‬حيث نقوم بتمثيل تلك الدوائر‬
‫الصغرية مبخططاهتا املنطقية‪.‬‬

‫الشكل (‪ )8-5‬املخطط املنطقي املخطط املنطقي لبعض الدوائر املنطقية (املقارن‪ ،‬املشفر ‪ 8‬إىل ‪)3‬‬

‫‪157‬‬
‫أساسيات النظم الرقمية‬

‫‪Boolean Algebra‬‬ ‫‪ -3‬جرب بول‬


‫جرب بول ‪ Boolean Algebra‬هو رايضيات املنطق الرقمي‪ ،‬يتألف من عدد من القواعد والقوانني والنظرايت اليت‬
‫تساعد يف فهم وحتليل الدوائر املنطقية‪ .‬خيلتف جرب بول عن اجلرب العادي يف أن متغريات وثوابت جرب بول أتخذ قيمتني فقط ومها‬
‫الصفر " ‪ " 0‬والواحد " ‪." 1‬‬
‫ميكن أن ميثل املتغري املنطقي جهد اخلرج أو الدخل لدائرة الكرتونية‪ ،‬حيث‪:‬‬
‫أيخذ املتغري القيمة صفر منطقي " ‪ " 0‬عندما يكون اجلهد الكهرابئي يف خرج الدائرة يقع ضمن اجملال من ‪0‬‬

‫إىل ‪.0.8v‬‬
‫بينما أيخذ املتغري القيمة واحد منطقي " ‪ " 1‬عندما يكون جهد اخلرج يقع ضمن اجملال من ‪ 3‬إىل ‪.5v‬‬
‫تستخدم األحرف الكبرية املائلة عادة (‪ )usually an italic uppercase letter‬لتمثيل املتغريات املنطقية‪ ،‬فمثالً احلرف‬
‫‪ A‬ميكن أن ميثل متغري منطقي يعرب عن دخل أو خرج دائرة منطقية أتخذ فقط القيمتني املنطقيتني صفر " ‪ " 0‬أو واحد " ‪. " 1‬‬
‫مبا أن جرب بول يتعامل فقط مع قيمتني فال يوجد يف جرب بول طرح‪ ،‬أو قسمة‪ ،‬أو جزر تربيعي‪ ،‬أو فواصل‪ ،‬أو أرقام‬
‫سالبة‪ ،‬أو تربيع أو تكعيب‪ ،‬أو لوغاريتمات‪.‬‬

‫‪Laws and Rules of Boolean Algebra‬‬ ‫‪ 1-3‬قواعد وقوانني جرب بول‬


‫كما هو احلال يف جماالت الرايضيات األخرى‪ ،‬هناك بعض القواعد والقوانني اليت جيب اتباعها من أجل تطبيق صحيح‬
‫جلرب بول أمهها املقدمة يف هذا القسم‪.‬‬

‫‪Laws of Boolean Algebra‬‬ ‫‪ 1-1-3‬قوانني جرب بول‬


‫‪ )1‬قوانني التبديل ‪Commutative Laws‬‬

‫‪A+B=B+A‬‬
‫‪A.B=B.A‬‬

‫‪158‬‬
‫أساسيات النظم الرقمية‬

Associative Laws ‫) قوانني التجميع‬2

A +( B + C )= ( A + B ) + C
A.(B.C)=(A.B).C

Distributive Laws ‫) قوانني التوزيع‬3

A . ( B + C ) = A . B + A. C
A+(B.C)=(A+B).(A+C)

159
‫أساسيات النظم الرقمية‬

‫‪Rules of Boolean Algebra‬‬ ‫‪ 2-1-3‬قواعد جرب بول‬


‫القواعد األساسية جلرب بول هي ‪ 12‬قاعدة‪ ،‬تساعد يف معاجلة وتبسيط التعابري البوليانية املنطقية‪ ،‬وهي التالية‪:‬‬

‫القواعد من ‪ 1‬حىت ‪ 9‬قواعد أساسية‪ ،‬والقواعد من ‪ 10‬حىت ‪ 12‬ميكن أن تشتق ابستخدام القواعد والقوانني السابقة‪.‬‬
‫املتغريات ‪ A,B,C‬ميكن أن تكون متغريات مفردة أو ميكن أن تكون أي تركيب من املتغريات‪.‬‬

‫‪ .1‬القاعدة ‪Rule 1‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية أور ‪ OR‬مع الصفر ‪ 0‬بيقى املتغري نفسه‪.‬‬
‫‪A+0=A‬‬

‫‪ .2‬القاعدة ‪Rule 2‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية أور ‪ OR‬مع الواحد ‪ ،1‬فإن الناتج هو الواحد ‪.1‬‬
‫‪A+1 =1‬‬

‫‪160‬‬
‫أساسيات النظم الرقمية‬

‫‪ .3‬القاعدة ‪Rule 3‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية آند ‪ AND‬مع الصفر ‪ 0‬فإن الناتج هو الصفر ‪.0‬‬

‫‪A.0=0‬‬

‫‪ .4‬القاعدة ‪Rule 4‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية آند ‪ AND‬مع الواحد ‪ 1‬فإن الناتج هو املتغري نفسه‪.‬‬
‫‪A.1=1‬‬

‫‪ .5‬القاعدة ‪Rule 5‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية أور ‪ OR‬مع نفسه يبقى املتغري نفسه‪.‬‬
‫‪A+A=A‬‬

‫إذا كانت ‪ A‬تساوي الصفر ‪ 0‬فإن‬


‫‪(0).(0) = 0‬‬
‫إذا كانت ‪ A‬تساوي الواحد ‪ 1‬فإن‬
‫‪(1).(1) = 1‬‬

‫‪161‬‬
‫أساسيات النظم الرقمية‬

‫‪ .6‬القاعدة ‪Rule 6‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية أور ‪ OR‬مع متممه فإن الناتج هو الواحد ‪.1‬‬
‫‪A + 𝐴̅ = 1‬‬

‫‪ .7‬القاعدة ‪Rule 7‬‬

‫أي متغري إذا نفذت عليه العملية آند ‪ AND‬مع نفسه يبقى املتغري نفسه‪.‬‬
‫‪A.A=A‬‬

‫إذا كانت ‪ A‬تساوي الصفر ‪ 0‬فإن‬


‫‪(0)+(0̅) = (0)+(1) = 1‬‬

‫إذا كانت ‪ A‬تساوي الواحد ‪ 1‬فإن‬


‫‪(1)+( 1̅) = (1)+(0) = 1‬‬
‫‪ .8‬القاعدة ‪Rule 8‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية آند ‪ AND‬مع متممه فإن الناتج هو الصفر ‪.0‬‬
‫‪A . 𝐴̅ = 0‬‬

‫‪162‬‬
‫أساسيات النظم الرقمية‬

‫‪ .9‬القاعدة ‪Rule 9‬‬

‫أي متغري مثل ‪ A‬إذا نفذت عليه العملية النفي (املتمم) مرتني فإنه يبقى نفسه‪.‬‬

‫‪𝐴̿ = A‬‬

‫‪ .10‬القاعدة ‪Rule 10‬‬

‫‪A+A.B=A‬‬

‫هذه القاعدة ميكن اثباهتا بتطبيق قانون التوزيع والقاعدة ‪ ،2‬والقاعدة ‪ 4‬كالتايل‪:‬‬

‫وميكن اثباهتا ابستخدام جدول احلقيقة التايل‪ ،‬مث نرسم الدائرة قبل وبعد التبسيط‪:‬‬

‫وحسب مبدأ الثنوية فإن‪:‬‬


‫‪A . (A+B) = A‬‬
‫‪ .11‬القاعدة ‪Rule 11‬‬

‫‪A + 𝐴̅ . B = A + B‬‬

‫هذه القاعدة ميكن اثباهتا على الشكل التايل‪:‬‬

‫‪163‬‬
‫أساسيات النظم الرقمية‬

‫وميكن اثباهتا ابستخدام جدول احلقيقة التايل‪ ،‬مث نرسم الدائرة قبل وبعد التبسيط‪:‬‬

‫وحسب مبدأ الثنوية فإن‪:‬‬


‫‪A . ) 𝐴̅ + B ( = A . B‬‬

‫‪ .12‬القاعدة ‪Rule 12‬‬

‫‪( A + B )( B +C) = A + B . C‬‬

‫هذه القاعدة ميكن اثباهتا على الشكل التايل‪:‬‬

‫‪164‬‬
‫أساسيات النظم الرقمية‬

‫وميكن اثباهتا ابستخدام جدول احلقيقة التايل‪ ،‬مث نرسم الدائرة قبل وبعد تبسيط‪:‬‬

‫‪DeMorgan’s Theorems‬‬ ‫‪ 3-1-3‬نظرايت دميورغان‬


‫تعترب نظريتا دميورغان من أهم نظرايت جرب بول وتستخدمان بشكل كبري يف تبسيط التعابري املنطقية‪ ،‬وتعطيان وفق‬
‫املعادلتني‪:‬‬
‫̅̅̅̅̅̅̅̅‬
‫𝑨(‬ ‫𝐁‪̅.‬‬
‫𝐀 = )𝑩 ‪+‬‬ ‫̅‬
‫̅̅̅̅̅‬
‫‪(𝑨.‬‬ ‫𝐁‪̅+‬‬
‫𝑨 = )𝑩‬ ‫̅‬

‫أيضا على أكثر من متغريين‪.‬‬


‫وميكن تطبيق النظريتني ً‬
‫وميكن أن نعرب عن نظريتا دميورغان ابستخدام البوابت املنطقية‪ ،‬واثباهتما ابستخدام جدول احلقيقة كالتايل‪:‬‬

‫‪165‬‬
‫أساسيات النظم الرقمية‬

‫الثنوية ‪Dual Theorem‬‬ ‫مبدأ‬


‫لكل نظرية أو قاعدة من جرب بول نظرية أو قاعدة مقابلة‪ ،‬وللحصول على هذه النظرية أو القاعدة املقابلة‪ ،‬نقوم إبجراء‬
‫التبديالت التالية يف النظرية األصلية‪:‬‬
‫مبدأ الثنوية ‪ Dual Theorem‬يكون‪ :‬إذا كان لدينا عالقة صحيحة عندها حنصل على عالقة صحيحة أخرى بتبديل‬
‫‪ AND‬ب ‪OR‬‬ ‫كل‬
‫‪ OR‬ب ‪AND‬‬ ‫وكل‬
‫‪1‬‬ ‫ب‬ ‫‪0‬‬ ‫وكل‬
‫‪0‬‬ ‫ب‬ ‫‪1‬‬ ‫وكل‬

‫مثال‬

‫‪ -1‬أثبت صحة العالقة التالية‪:‬‬


‫‪AB + 𝐴̅C + BC = AB+ 𝐴̅C‬‬
‫‪ -2‬هل العالقة التالية صحيحة وملاذا‪:‬‬
‫‪(A+B) (𝐴̅+C) (B+C) = (A+B) (A‬‬
‫)‪̅ +C‬‬

‫الطلب األول‪:‬‬
‫نقوم ابستخدم جدول احلقيقة‬
‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫̅‬
‫‪A‬‬ ‫‪AB‬‬ ‫‪𝐴̅C‬‬ ‫‪BC‬‬ ‫‪AB + 𝐴̅C + BC‬‬ ‫‪AB+ 𝐴̅C‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫العالقة صحيحة‬ ‫متكافئني‬


‫الطلب الثاين‪:‬‬
‫نالحظ أن العالقة الثانية هي ثنوية العالقة األوىل ومبا أن العالقة األوىل صحيحة فالثانية صحيحة‪.‬‬

‫‪166‬‬
‫أساسيات النظم الرقمية‬

‫‪Logic Simplification‬‬ ‫‪ -4‬تبسيط التعابري املنطقية‬


‫قبل حماولة بناء أو حتقيق أي تعبري منطقي ابستخدام البواابت املنطقية املعروفة‪ ،‬البد من حماولة تبسيط هذه التعابري فقد توفر‬
‫الكثري من البواابت‪ ،‬وقد حتصل على دائرة أكثر بساطة‪ ،‬أي اهلدف من تبسيط التعبري املنطقي هو تبسيط الدائرة املنطقية‪ ،‬أي تقليل‬
‫عدد البواابت املنطقية الداخلة ىف بنائها‪ ،‬وذلك من أجل‪:‬‬
‫▪ تقليل تكلفتها‪.‬‬
‫▪ تصغري حجمها‪.‬‬
‫▪ تقليل الطاقة اليت تستهلكها‪.‬‬
‫يعترب تقليل تفرع الدخل للبواابت املنطقية املستخدمة ىف بناء الدائرة نوعاً من التبسيط أيضاً‪.‬‬ ‫▪‬

‫هناك عدد من الطرق لتبسيط الدوائر املنطقية‪ ،‬سنعرض يف هذا اجلزء طرق تبسيط التعبري املنطقي ابستخدام جرب بول‪،‬‬
‫عددا من األمثلة لتوضيح طرق تبسيط التعابري املنطقية ‪ ،‬ونذكر القارئ بضرورة التدرب على‬
‫وابستخدام خمططات كارنوف‪ ،‬ونقدم ً‬
‫عملية التبسيط بفهم األمثلة جيداً و إعادة حلها و حل التدريبات‪.‬‬

‫‪ 1-4‬تبسيط التعابري املنطقية ابستخدام جرب بول‬


‫‪Logic Simplification Using Boolean Algebra‬‬
‫سنرى ىف هذا اجلزء كيفية التعبري املنقطي ابستخدام قوانني ونظرايت اجلرب املنطقي اليت رأيناها ىف هذا الفصل‪ ،‬لذلك فإنه‬
‫لكى نستخدم هذه الطريقة البد من املعرفة اجليدة هلذه القوانني وهذه النظرايت‪،‬لكن من عيوب هذه الطريقة أنه ليست هلا‬
‫خطوات حمددة يتم اتباعها ابلرتتيب‪ ،‬وإمنا تعتمد على املعرفة اجليدة ابلقوانني السابقة‪ ،‬كما أن هناك عيب آخر وهو أن الشكل‬
‫املبسط اليت قد تصل إليه ليس هناك أي أتكيد على أنه أبسط شكل‪ ،‬ولكن قد يستطيع شخص آخر احلصول على شكل أبسط ألنه‬
‫أمهر ىف استخدام هذه القوانني‪.‬‬

‫مثال‬
‫بسط التعبري املنطقي التايل ابلطريقة اجلربية‪ ،‬وارسم الدائرة قبل وبعد التبسيط‬
‫)‪AB + A(B + C) + B(B + C‬‬
‫نطبق قانون التوزيع على احلد الثاين والثالث‪:‬‬
‫‪AB + AB + AC + BB + BC‬‬
‫نطبق القاعدة ‪ )BB = B) 7‬على احلد الرابع‬
‫‪AB + AB + AC + B + BC‬‬
‫نطبق القاعدة ‪ (AB+AB = AB) 5‬على احلدين االول والثاين‬

‫‪167‬‬
‫أساسيات النظم الرقمية‬

‫‪AB + AC + B + BC‬‬
‫نطبق القاعة ‪ )B + BC = B( 10‬على احلدين اآلخريين‬
‫‪AB + AC + B‬‬
‫نطبق القاعة ‪ )B + BC = B( 10‬على احلد األول والثالث‬
‫‪B + AC‬‬
‫عند هذه النقطة مت تبسيط التعبري إىل أبسط شكل ممكن‪ .‬عندما تصبح خبربة جيدة يف تطبيق جري ميكن أن تدمج عدد‬
‫من اخلطوات مع بعض‪.‬‬
‫الشكل (‪ )9-5‬يظهر الدائرة قبل وبعد التبسيط‪ ،‬ونالحظ أن الدائراتن متكافئتان‬

‫الشكل (‪ )9-5‬نالحظ أن الدائراتن متكافئتان‬


‫‪These two circuits are equivalent‬‬
‫‪----------------------------------------------------------------------‬‬
‫مثال‬
‫بسط التعبري املنطقي التايل ابلطريقة اجلربية‬

‫نطبق نظرية دميورغان على احلد األول والثاين‬

‫نطبق نظرية دميورغان على احلدود بني األقواس‬

‫نطبق قانون التوزيع على احلدين بني األقواس‬

‫نطبق القاعدة ‪ )AA=A(7‬على احلد األول‪ ،‬والقاعدة ‪ 10‬على احلد الثالث واألخري حنصل منها على‬

‫‪168‬‬
‫أساسيات النظم الرقمية‬

‫نطبق القاعدة ‪ 10‬على احلد األول والثاين‬

‫نطبق القاعدة ‪ 10‬على احلد األول والثاين‬

‫‪----------------------------------------------------------------------‬‬
‫مالحظة‪ :‬ميكن إثبات تكافؤ التابعني ابستخدام جدول احلقيقة‪.‬‬
‫‪----------------------------------------------------------------------‬‬

‫مثال‬
‫اختصر التعبري املنطقي التايل ابلطريقة اجلربية‬
‫̅̅̅̅̅̅̅̅̅̅ = 𝐹‬
‫𝑧̅𝑦 ̅𝑥 ‪𝑥𝑦 + 𝑥𝑧 +‬‬
‫𝑦𝑥( = 𝐹‬‫𝑧𝑥( )̅̅̅‬
‫𝑧̅𝑦 ̅𝑥 ‪̅̅̅) +‬‬
‫𝑧̅𝑦 ̅𝑥 ‪= (𝑥̅ + 𝑦̅)(𝑥̅ + 𝑧̅) +‬‬
‫𝑧̅𝑦 ̅𝑥 ‪= 𝑥̅ 𝑥̅ + 𝑥̅ 𝑧̅ + 𝑥̅ 𝑦̅ + 𝑦̅𝑧̅ +‬‬
‫𝑧̅𝑦 ̅𝑥 ‪= 𝑥̅ + 𝑥̅ 𝑧̅ + 𝑥̅ 𝑦̅ + 𝑦̅𝑧̅ +‬‬
‫̅𝑧̅𝑦 ‪= 𝑥̅ +‬‬
‫‪----------------------------------------------------------------------‬‬
‫‪Domain of a Boolean Expression‬‬ ‫جمال التعبري املنطقي‬
‫جمال التعبري املنطقي هو جمموعة املتغريات احملتواة يف التعبري بشكلها املتمم وغري املتمم‪ ،‬على سبيل املثال‪:‬‬
‫‪A, B , C‬‬ ‫هو جمموعة املتغريات‬ ‫‪𝐴𝐵̅ C‬‬ ‫‪ -‬جمال التعبري املنطقي‬
‫املتغريات ‪A, B , C, D‬‬ ‫هو جمموعة‬ ‫𝐷 ‪𝐴𝐵̅ C‬‬
‫̅‬ ‫‪ -‬جمال التعبري املنطقي‬

‫‪169‬‬
‫أساسيات النظم الرقمية‬

‫‪ 2-4‬األشكال القياسية للتعابري البوليانية املنطقية‬


‫‪Standard Forms of Boolean Expressions‬‬
‫كل التعابري البوليانية بغض النظر عن شكلها ميكن أن حتول إىل اثنني من األشكال القياسية‪:‬‬

‫)‪sum-of-products form (SOP‬‬ ‫‪ -‬شكل جمموع مضاريب‬


‫)‪product-of-sums form (POS‬‬ ‫‪ -‬شكل مضروب جماميع‬

‫التعابري كما سنرى‪.‬‬ ‫وضع أي تعبري منطقي ىف أحد هذه األشكال يسهل عملية اختصار وبناء هذه‬
‫‪The Sum-of-Products (SOP) Form‬‬ ‫‪ 1-2-4‬شكل جمموع مضاريب‬
‫ابجلمع البولياين ‪ ،Boolean addition‬الناتج هو‬ ‫‪product terms‬‬ ‫عندما جتمع اثنني أو أكثر من حدود املضاريب‬
‫تعبري منطقي يسمى جمموع املضاريب )‪ ،sum-of-products (SOP‬بعض األمثلة تكون‪:‬‬

‫عند تنفيذ أي تعبري منطقي يف شكل جمموع مضاريب فإن الدائرة املنطقية الناجتة تتكون من جمموعة من بواابت آند‬
‫‪ ،AND‬كل منها متثل أحد الكميات املضروبة واملمثلة ابملتغريات املنطقية‪ ،‬وكل هذه البواابت جممعة ىف بوابة أور ‪ OR‬واحدة‪ ،‬كما‬
‫يف الشكل (‪ )10-5‬على سبيل املثال‪ ،‬الذي ميثل التعبري املنطقي التايل‪:‬‬

‫‪AB + BCD + AC‬‬

‫اخلرج ‪ X‬للبوابة أور ‪ OR‬يساوي إىل تعبري منطقي يف شكل جمموع مضاريب ‪SOP‬‬

‫الشكل (‪ )10-5‬الدائرة املنطقية والتابع املنطقي على شكل جمموع مضاريب ‪SOP‬‬

‫‪170‬‬
‫أساسيات النظم الرقمية‬

‫هذه الدائرة يف الشكل (‪ )10-5‬تسمى دائرة آند أور ‪ ،AND-OR circuit‬وهي تنفذ مباشرة التعبري على شكل‬
‫جمموع مضاريب ‪.SOP‬‬
‫ابستخدام قوانني ونظرايت اجلرب املنطقي ميكن وضع أي معادلة ىف شكل جمموع مضاريب‪.‬‬
‫يف الشكل القياسي جملموع املضاريب ‪ The Standard SOP Form‬جيب أن يكون كل حد من حدود التابع‬
‫حيوي مجيع املتغريات‪ ،‬ونقصد ابملتغري هنا املتغري ومتممه‪ ،‬ولتحويل أي تعبري إىل الشكل القياسي نضرب احلد غري القياسي يف‬
‫جمموع املتغري الناقص ومتممه مث نفك هذا اجملموع إىل حدين‪ ،‬يتضح ذلك من ااألمثلة التالية‪:‬‬

‫مثال‬
‫حول التعبري البولياين الشكل القياسي جملموع املضاريب ‪SOP‬‬

‫لدينا‬

‫وابلتايل حنصل على‬

‫‪----------------------------------------------------------------------‬‬

‫مثال‬
‫أكتب التعبري البولياين التايل بصيغة جمموع مضاريب قياسي ‪SOP‬‬

‫‪F = AB + 𝐵̅C‬‬

‫‪F = AB(1)+(1) 𝐵̅C= AB(C+𝐶̅ )+( 𝐴̅+A) 𝐵̅C‬‬

‫‪F = ABC + AB𝐶̅ + 𝐴𝐵̅ C + 𝐴̅𝐵̅C‬‬

‫‪----------------------------------------------------------------------‬‬

‫‪171‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫أكتب التعبري البولياين التايل بصيغة جمموع مضاريب قياسي ‪SOP‬‬

‫‪F = A + 𝐵̅C‬‬

‫‪= A (B + 𝐵̅)(C+𝐶̅ )+(A + 𝐴̅) 𝐵̅C‬‬

‫̅𝐶‪= ABC + AB𝐶̅ + 𝐴𝐵̅ C + A𝐵̅𝐶̅ + AB𝐶̅ + AB‬‬

‫̅𝐶‪= ABC + AB𝐶̅ + 𝐴𝐵̅ C + A𝐵̅𝐶̅ + AB‬‬

‫‪----------------------------------------------------------------------‬‬
‫‪Binary Representation of a Standard Sum Term‬‬ ‫‪ 1-1-2-4‬التمثيل الثنائي حلد مضاريب قياسي‬
‫من أجل التمثيل الثنائي حلد املضاريب حد املضاريب القياسي يساوي الواحد ‪ 1‬من أجل فقط تركيبة واحدة من قيم‬
‫املتغريات‪ ،‬على سبيل املثال حد املضاريب‬
‫̅𝐷 ‪ 𝐴𝐵̅C‬يساوي الواحد ‪ 1‬عندما‬

‫‪A = 1, B = 0, C = 1, D = 0‬‬

‫𝐷 ‪𝐴𝐵̅C‬‬ ‫‪̅ .1 .0‬‬


‫‪̅ = 1 .0‬‬ ‫‪̅ = 1 . 1 . 1. 1 = 1‬‬

‫والقيمة الثنائية حلد املضاريب ‪( 1010‬عشرايً يساوي عشرة ‪.)10‬‬


‫التعبري املنطقي على شكل جمموع مضاريب ‪ SOP‬يكون مساوايً للواحد ‪ 1‬فقط إذا كان واحد أو أكثر من حدود‬
‫املضاريب يف التعبري يساوي الواحد ‪.1‬‬

‫‪The Product-of-Sums (POS) Form‬‬ ‫‪ 2-2-4‬شكل مضروب جماميع‬


‫عندما اثنني أو أكثر من حدود اجملاميع ‪ sum terms‬تضرب ابستخدام الضرب البولياين ‪،Boolean multiplication‬‬
‫الناتج هو تعبري منطقي يسمى مضروب اجملاميع )‪ ،product-of-sums (POS‬بعض األمثلة تكون‪:‬‬

‫‪172‬‬
‫أساسيات النظم الرقمية‬

‫عند تنفيذ أي تعبري منطقي يف شكل مضروب جماميع فإن الدائرة املنطقية الناجتة تتكون من جمموعة من بواابت أور ‪،OR‬‬
‫كل منها متثل أحد الكميات املضروبة واملمثلة ابملتغريات املنطقية‪ ،‬وكل هذه البواابت مضروبة ابستخدام بوابة آند ‪ AND‬واحدة‪،‬‬
‫كما يف الشكل (‪ )11-5‬على سبيل املثال‪ ،‬الذي ميثل التعبري املنطقي التايل‪:‬‬

‫)‪(A + B)(B + C + D)(A + C‬‬

‫اخلرج ‪ X‬للبوابة آند ‪ AND‬يساوي إىل تعبري منطقي يف شكل مضروب جماميع ‪POS‬‬

‫الشكل (‪ )11-5‬الدائرة املنطقية والتابع املنطقي على شكل مضروب جماميع ‪POS‬‬

‫هذه الدائرة يف الشكل (‪ )11-5‬تسمى دائرة أور آند ‪.OR -AND circuit‬‬
‫ابستخدام قوانني ونظرايت اجلرب املنطقي ميكن وضع أي معادلة ىف شكل جمموع مضاريب‪.‬‬
‫يف الشكل القياسي مضروب جماميع ‪ The Standard POS Form‬جيب أن يكون كل حد من حدود التابع‬
‫حيوي مجيع املتغريات‪ ،‬ونقصد ابملتغري هنا املتغري ومتممه‪ ،‬ولتحويل أي تعبري إىل الشكل القياسي نضيف املتغري الناقص مضرواب يف‬
‫عكسه إىل احلد الغري قياسي وهذا ابلطبع لن يؤثر على هذه الكمية ألنه تبعا للقاعدة حاصل ضرب أي متغري ىف عكسه يساوى‬
‫صفر (‪ ،)A . 𝐴̅ = 0‬ومن مث نستخدم قانون التوزيع‪ ،‬يتضح ذلك من املثال التايل‪:‬‬

‫مثال‬
‫حول التعبري البولياين الشكل القياسي ملضروب اجملاميع ‪POS‬‬

‫‪173‬‬
‫أساسيات النظم الرقمية‬

‫وابلتايل حنصل على‬

‫‪----------------------------------------------------------------------‬‬

‫مثال‬
‫أكتب التعبري البولياين التايل بصيغة مضروب جماميع قياسي ‪POS‬‬
‫‪̅ ) (B‬‬
‫‪F = (A + C‬‬ ‫)‪̅ + C‬‬

‫‪̅ ) ( (A A‬‬
‫‪̅) + C‬‬
‫‪F = (A + (B. B‬‬ ‫‪̅) + B‬‬
‫)‪̅ + C‬‬

‫‪̅ ) (A + B‬‬
‫‪F = (A + B + C‬‬ ‫‪̅ ) (A +B‬‬
‫‪̅+C‬‬ ‫‪̅+B‬‬
‫‪̅ + C) (A‬‬ ‫)‪̅ + C‬‬

‫‪----------------------------------------------------------------------‬‬

‫‪Binary Representation of a Standard Sum Term‬‬ ‫‪ 1-2-2-4‬التمثيل الثنائي حلد جماميع قياسي‬
‫حد اجملاميع القياسي يساوي الصفر ‪ 0‬من أجل فقط تركيبة واحدة من قيم املتغريات‪ ،‬على سبيل املثال حد اجملاميع‬
‫‪ 𝐴 + 𝐵̅ + C +‬يساوي الصفر ‪ 0‬عندما‬ ‫̅‬
‫𝐷‬

‫‪A = 0, B = 1, C = 0, D = 1‬‬
‫𝐷 ‪𝐴𝐵̅C‬‬ ‫‪̅+ 0+ 1‬‬
‫‪̅ =0+ 1‬‬ ‫‪̅ =0+ 0+ 0+ 0= 0‬‬

‫والقيمة الثنائية حلد املضاريب ‪( 0101‬عشرايً يساوي مخسة ‪.)5‬‬


‫التعبري املنطقي على شكل مضروب جماميع ‪ POS‬يكون مساوايً للصفر ‪ 0‬فقط إذا كان واحد أو أكثر من حدود‬
‫اجملاميع يف التعبري يساوي الصفر ‪.0‬‬

‫‪174‬‬
‫أساسيات النظم الرقمية‬

‫‪ 3-2-4‬التحويل من الشكل القياسي جملموع املضاريب إىل الشكل القياسي ملضروب اجملاميع‬
‫‪Converting Standard SOP to Standard POS‬‬
‫القيم الثنائية حلدود املضاريب يف تعبري على شكل جمموع مضاريب قياسي ‪ ،SOP‬ال متثل يف التعبري املقابل له املعرب عنه‬
‫على شكل مضروب جماميع قياسي ‪ ، POS‬والقيم الثنائية غري املمثلة يف تعبري على شكل جمموع مضاريب قياسي ‪ ،SOP‬تكون‬
‫ممثلة يف الشكل املقابل له املعرب عنه على شكل مضروب جماميع قياسي ‪.POS‬‬
‫لذلك للتحويل من الشكل القياسي ‪ ،SOP‬إىل الشكل القياسي ‪ POS‬يكون على الشكل التايل‪:‬‬
‫‪ )1‬نوجد الرقم الثنائي الذي ميثل كل حد مضروب يف التعبري على شكل جمموع مضاريب قياسي ‪.SOP‬‬
‫‪ )2‬حندد كل القيم الثنائية غري املوجودة‪.‬‬
‫‪ )3‬نكتب حد اجملموع املقابل لكل رقم ثنائي من اخلطوة ‪ ،2‬حبيث نعرب عن كل رقم ‪ 1‬ابملتغري املتمم‪ ،‬وكل رقم ‪ 0‬ابملتغري‪،‬‬
‫ونعرب عنهم على شكل مضروب جماميع ‪.POS‬‬

‫مثال‬
‫حول التعبري التايل بصيغة جمموع مضاريب قياسي ‪ POS‬إىل تعبري بصيغة مضروب جماميع ‪.POS‬‬

‫حندد القيم الثنائية لكل حد يف التعبري‬


‫‪000 + 010 + 011 + 101 + 111‬‬
‫مبا أن جمال التعبري هو ‪ 3‬متغريات وابلتايل عدد الرتكيبات املمكنة منها هو (‪ ،)23=8‬التعبري على شكل جمموع مضاريب‬
‫‪ SOP‬حيتوي على ‪ 5‬تركيبات‪ ،‬وابلتايل الرتكيبات غري املمثلة فيه هي ‪ 3‬تركيبات‪ ،‬ابلتايل التعبري على مضروب جماميع جيب أن‬
‫حيتوي على ‪110 ، 100 ، 001‬‬

‫وابلتايل التعبري على شكل مضروب جماميع يكون‪:‬‬

‫‪----------------------------------------------------------------------‬‬

‫‪175‬‬
‫أساسيات النظم الرقمية‬

‫مالحظة‪:‬‬
‫عن طريق عكس التعبري‬ ‫‪POS‬‬ ‫ميكن حتويل تعبري على شكل جمموع مضاريب ‪ ،SOP‬إىل شكل مضروب جماميع‬
‫ابستخدام عملية النفي ‪ ،NOT‬ومن مث تطبيق نظرية دميورغان كما هو موضح ابلشكل (‪.)12-5‬‬

‫الشكل (‪ )12-5‬حتويل تعبري من جمموع جمموع مضاريب ‪ ،SOP‬إىل شكل مضروب جماميع ‪ POS‬عن طريق عكس التعبري‬
‫ابستخدام عملية النفي ‪ ،NOT‬ومن مث تطبيق نظرية دميورغان‬

‫‪Boolean Expressions and Truth Tables‬‬ ‫‪ 3-4‬التعابري البوليانية وجدول احلقيقة‬


‫كل التعابري البوليانية ميكن أن حتول بسهولة إىل تنسيق جدول احلقيقة ابستعمال القيم الثنائية لكل حد يف التعبري‪،‬‬
‫وجدول احلقيقة هو الطريقة العامة الشائعة لتمثيل التعابري املنطقية‪ ،‬وجدول احلقيقة هو استجابة الدائرة املنطقية أو التعبري املنطقي‬
‫جلميع االحتماالت املمكنة ملتغريات الدخل للدائرة‪.‬‬
‫نقوم بكتابة جدول احلقيقة للتعبري املنطقي بعدد أسطر توافق جمال متغريات الدخل‪ ،‬كل سطر حيتوي على أحد‬
‫االحتماالت املمكنة من الرتكيبات جملموعة متغريات الدخل‪.‬‬

‫‪ 1-3-4‬حتويل تعبري منطقي على شكل جمموع مضاريب إىل جدول احلقيقة‬
‫‪Converting SOP Expressions to Truth Table Format‬‬
‫وجدان من السابق أن التعبري املنطقي على شكل جمموع مضاريب ‪ SOP‬يكون مساوايً للواحد ‪ 1‬فقط إذا كان واحد أو‬
‫أكثر من حدود املضاريب يف التعبري يساوي الواحد ‪ .1‬بعد كتابة جدول احلقيقة للتعبري املنطقي بعدد أسطر يوافق جمال متغريات‬
‫الدخل‪ ،‬نقوم بوضع واحد ‪ 1‬أو صفر ‪ 0‬يف عمود اخلرج على الشكل التايل‪:‬‬

‫‪176‬‬
‫أساسيات النظم الرقمية‬

‫▪ نضع واحد ‪ 1‬يف سطر اخلرج املقابل لكل حد مضاريب موجود يف التعبري املنطقي‪.‬‬
‫▪ نضع صفر ‪ 0‬يف سطر اخلرج املقابل لكل حد غري موجود يف التعبري املنطقي‪.‬‬

‫املثال التايل يوضح ذلك‪:‬‬

‫مثال‬
‫𝐁̅‬
‫𝐀‬ ‫أكتب جدول احلقيقة للتعبري املنطقي التايل‪̅ 𝐂̅ :‬‬
‫‪̅ C + ABC AB‬‬

‫‪A,B,C‬‬ ‫جمال التعبري املنطقي هو املتغريات‬


‫نكتب جدول احلقيقة لعدد الرتكيبات املمكنة وهو (‪)23=8‬‬
‫أي جدول احلقيقة سيحتوي على ‪ 8‬أسطر‪ ،‬كل سطر حيتوي على أحد االحتماالت املمكنة من الرتكيبات جملموعة‬
‫متغريات الدخل‪.‬‬
‫‪ -‬نضع واحد ‪ 1‬يف سطر اخلرج املقابل لكل حد مضاريب موجود يف التعبري املنطقي‪ ،‬أي مقابل احلدود اليت تقابل القيم‬
‫الثنائية (‪)111 ،100 ،001‬‬

‫‪ -‬نضع صفر ‪ 0‬يف سطر اخلرج املقابل لكل حد غري موجود يف التعبري املنطقي‪ ،‬أي مقابل احلدود اليت تقابل القيم‬
‫الثنائية (‪)110 ،101 ،011 ،010 ،000‬‬

‫جدول احلقيقة يكون‬

‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪X‬‬ ‫‪Product Term‬‬


‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫𝐁̅‬
‫𝐀‬ ‫𝐂̅‬
‫‪2‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪3‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪4‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫̅𝐂 ̅‬
‫𝐁𝐀‬
‫‪5‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪6‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪7‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫𝐂𝐁𝐀‬

‫‪177‬‬
‫أساسيات النظم الرقمية‬

‫‪ 2-3-4‬حتويل تعبري منطقي على شكل مضروب جماميع إىل جدول احلقيقة‬
‫‪Converting POS Expressions to Truth Table Format‬‬
‫وجدان من السابق أن التعبري املنطقي على شكل مضروب جماميع ‪ POS‬يكون مساوايً للصفر ‪ 0‬فقط إذا كان واحد أو‬
‫أكثر من حدود اجملاميع يف التعبري يساوي الصفر ‪ .0‬بعد كتابة جدول احلقيقة للتعبري املنطقي بعدد أسطر يوافق جمال متغريات‬
‫الدخل‪ ،‬نقوم بوضع واحد ‪ 1‬أو صفر ‪ 0‬يف عمود اخلرج على الشكل التايل‪:‬‬

‫▪ نضع صفر ‪ 0‬يف سطر اخلرج املقابل لكل حد جماميع موجود يف التعبري املنطقي‪.‬‬
‫▪ نضع واحد ‪ 1‬يف سطر اخلرج املقابل لكل حد غري موجود يف التعبري املنطقي‪.‬‬

‫املثال التايل يوضح ذلك‪:‬‬

‫مثال‬
‫أكتب جدول احلقيقة للتعبري املنطقي التايل‪:‬‬

‫‪A,B,C‬‬ ‫جمال التعبري املنطقي هو املتغريات‬


‫نكتب جدول احلقيقة لعدد الرتكيبات املمكنة وهو (‪)23=8‬‬

‫أي جدول احلقيقة سيحتوي على ‪ 8‬أسطر‪ ،‬كل سطر حيتوي على أحد االحتماالت املمكنة من الرتكيبات جملموعة متغريات‬
‫الدخل‪.‬‬
‫‪ -‬نضع صفر ‪ 0‬يف سطر اخلرج املقابل لكل حد جماميع موجود يف التعبري املنطقي‪ ،‬أي مقابل احلدود اليت تقابل القيم‬
‫الثنائية (‪)110 ،101 ،000 ،010 ،011‬‬

‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪X‬‬ ‫‪Sum Term‬‬ ‫‪ -‬نضع واحد ‪ 1‬يف سطر اخلرج املقابل لكل حد‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫)‪(A + B + C‬‬ ‫غري موجود يف التعبري املنطقي‪ ،‬أي مقابل احلدود اليت تقابل‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫القيم الثنائية (‪)001 ،100 ،111‬‬
‫‪2‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫)𝐂 ‪̅ +‬‬
‫𝐁 ‪(𝐀 +‬‬
‫‪3‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫)̅𝐂 ‪̅ +‬‬
‫𝐁 ‪(𝐀 +‬‬ ‫جدول احلقيقة يكون‬
‫‪4‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪5‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫)̅𝐂 ‪̅ +B+‬‬
‫𝐀(‬
‫‪6‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫𝐁‪̅+‬‬
‫𝐀(‬ ‫)𝐂 ‪̅ +‬‬
‫‪7‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫‪178‬‬
‫أساسيات النظم الرقمية‬

‫‪ 3-3-4‬كتابة التعابري املنطقية القياسية من جدول احلقيقة‬


‫‪Determining Standard Expressions from a Truth Table‬‬
‫ميكن استنتاج التعبري املنطقي على شكل جمموع مضاريب قياسي أو مضروب جماميع قياسي من جدول احلقيقة مباشرة‬
‫ابلطريقة التالية‪:‬‬
‫▪ يف حال جمموع مضاريب ‪ :SOP‬ننظر إىل قيم اخلرج ‪ X‬اليت يكون فيها مساوي للواحد ‪ 1‬وأنخذ املتغريات على حاهلا‬
‫يف حال قيمة املتغري يساوي واحد ‪ ،1‬وأنخذ متمم املتغري يف حال كانت قيمة املتغري صفر ‪ 0‬ونضرب املتغريات مع‬
‫بعضها مث جنمع احلدود الناجتة‪.‬‬

‫▪ يف حال مضروب جماميع ‪ :POS‬ننظر إىل األصفار بدالً من الواحدات ونضع املتغري على حاله يف حال كانت قيمته‬
‫صفر ‪ ،0‬ونضع متممه يف حال كانت قيمته واحد ‪ ،1‬وأنخذ اجملموع للمتغريات‪ ،‬مث أنخذ مضروب اجملاميع الناجتة عن‬
‫كل حد‪.‬‬

‫مثال‬
‫لدينا التعبري املنطقي املعرف جبدول احلقيقة التايل‪ ،‬واملطلوب‪:‬‬
‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪F‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪ )1‬كتابة التعبري ‪ F‬بشكل جمموع مضاريب ‪ SOP‬قياسي‪.‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪ )1‬كتابة التعبري ‪ F‬بشكل مضروب جماميع ‪ POS‬قياسي‪.‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪ -1‬من جدول احلقيقة لدينا‬

‫‪179‬‬
‫أساسيات النظم الرقمية‬

‫وابلتايل التعبري املنطقي على جمموع مضاريب ‪ SOP‬قياسي يكون‬

‫‪ -2‬من جدول احلقيقة لدينا‬

‫وابلتايل التعبري املنطقي على جمموع مضاريب ‪ SOP‬قياسي يكون‬

‫‪The Karnaugh Map‬‬ ‫‪ -5‬خمططات كارنوف‬


‫لقد رأينا ىف جزء سابق كيفية استخدام قوانني ونظرايت اجلرب املنطقي ىف اختصار التعابري اليت متثل الدوائر املنطقية‪ ،‬مثل هذه‬
‫الطريقة كما أشران سابقاً ال تعطي أي أتكيد على أن الصورة النهائية اليت مت احلصول عليها للتعبري املنطقي هي الصورة املثلى وال ميكن‬
‫اختصارها أكثر من ذلك ألن الصورة النهائية اليت سنصل إليها تعتمد بدرجة كبرية على مهارة مستخدم هذه القوانني والنظرايت‪،‬‬
‫وكذلك على القابلية للتطبيق‪ .‬سنقدم هنا طريقة بسيطة الختصار أي تعبري منطقي ووضعه ىف الصورة املثلى اليت ال ميكن إجراء أي‬
‫اختصار عليها أكثر من ذلك‪ ،‬ميزة هذه الطريقة أهنا خطوات مرتبة ىف صورة أشكال توضيحية مثل جدول احلقيقة كما سنرى‪ ،‬هذه‬
‫الطريقة تسمى طريقة خمططات كارنوف لتبسيط املعادالت املنطقية‪.‬‬

‫هي طريقة مرئية لتبسيط التعابري البوليانية املنطقية‪ ،‬وإذا ما استخدمت‬ ‫‪The Karnaugh Map‬‬ ‫خمططات كارنوف‬
‫بطريقة جيدة فسوف تعطي التعبري البولياين يف أبسط شكل ممكن‪ ،‬فطريقة كارنوف تشبه متاماً جدول احلقيقة ىف متثيل املعادلة‬
‫املنطقية‪ ،‬حيث أهنا تعرض مجيع احلاالت املمكنة ملتغريات الدخل‪ ،‬وكذلك اخلرج املقابل هلذا الدخل‪.‬‬
‫دال من استخدام الصفوف واألعمدة كما ىف جدول احلقيقة‪ ،‬فإن خمطط كارنوف يستخدم مصفوفة ‪ array‬من اخلالاي‬ ‫بً‬
‫‪ ،cells‬حيث كل خلية من هذه اخلالاي متثل واحدة من حاالت الدخل املمكنة‪ ،‬يتم ترتيب هذه اخلالاي بطريقة تسمح بتبسيط التعبري‬
‫عن طريق جتميع بعض هذه اخلالاي مع بعضها بطريقة معينة ‪.‬ميكن استخدام هذه اخلريطة لتبسيط املعادالت ذات املتغريين والثالثة‬
‫واألربعة وحىت اخلمسة متغريات‪ ،‬ولكن مع زايدة عدد متغريات املعادلة عن مخسة فإن التعامل مع املخطط يكون صعب ومتعب ىف هذه‬
‫احلالة ) زايدة عدد املتغريات عن مخسة ( نلجأ لطريقة أخرى تسمى طريقة كوين مكلوسكي ‪ ،Quine McClusky‬حيث ميكن‬
‫استخدامها مع عدد كبري من املتغريات‪ ،‬وميكن برجمة هذه الطريقة على احلاسب بشكل سهل‪ ،‬لكن وهذه الطريقة خارج نطاق هذا‬
‫الكتاب‪.‬‬

‫‪180‬‬
‫أساسيات النظم الرقمية‬

‫عدد اخلالاي يف خمططات كارنوف يساوي عدد الرتكيبات احملتملة للمدخالت‪ ،‬ومياثل ذلك عدد الصفوف يف جدول‬
‫احلقيقة‪.‬‬
‫▪ إذا كان عدد متغريات الدخل ثالثة ‪ ،3-variable map 3‬فإن عدد اخلالاي يساوي‬

‫‪23=8‬‬

‫ويكون شكل خمطط كارنوف كما يف الشكل (‪ ،)13-5‬إذ تتوزع عليه مجيع االحتماالت املمكنة ملتغريات الدخل‪،‬‬
‫ونالحظ أن عالمات الدخل توضع خارج املخطط جبانب اخللية‪ ،‬وتطبق على كل من السطر والعمود‪ ،‬ونبدأ من األعلى ابملتغريات‬
‫املتممة أي السطر الذي جبانبه ‪ 00‬ميثل املتغريات املتممة‪ ،‬ابلنسبة للعمود نبدأ من اليسار ابملتغري املتمم‪.‬‬

‫الشكل (‪ )13-5‬خمطط كارنوف لثالثة ‪ 3‬متغريات ‪ 3-variable map‬تتوزع عليه مجيع الرتكيبات احملتملة ملتغريات الدخل‬

‫إذا كان عدد متغريات الدخل أربعة ‪ 4-variable map 4‬فإن عدد اخلالاي يساوي‬ ‫▪‬

‫‪24=16‬‬

‫ويكون شكل خمطط كارنوف كما يف الشكل (‪ ،)14-5‬إذ تتوزع عليه مجيع االحتماالت املمكنة ملتغريات الدخل‪.‬‬

‫الشكل (‪ )14-5‬خمطط كارنوف ألربعة ‪ 4‬متغريات ‪ 4-variable map‬تتوزع عليه مجيع الرتكيبات احملتملة ملتغريات الدخل‬

‫‪181‬‬
‫أساسيات النظم الرقمية‬

‫‪Cell Adjacency‬‬ ‫‪ 1-5‬جتاور اخلالاي‬


‫يف خمططات كارنوف تكون اخلالاي منظمة حبيث أنه فقط يتغري متغري واحد بني كل خليتني متجاورتني‪ ،‬ابلتايل اخلالاي‬
‫اليت ختتلف أبكثر من متغري ليست متجاورة‪ ،‬فمثالً يف املمخطط بثالثة متغريات اخللية ‪ 000‬جماورة للخلية ‪.010‬‬
‫فيزايئياً كل خلية تكون جماورة للخالاي اليت تكون بعدها أو اتلية هلا مباشرة يف أي من االجتاهات األربع أفقياً أو عمودايً‪،‬‬
‫الشكل (‪ )15-5‬يشرح عملية جتاور اخلالاي ملخطط كارنوف أبربع متغريات‪ ،‬إذ تشرح األسهم التجاور لكل خلية‪.‬‬

‫‪4-variable map‬‬ ‫الشكل (‪ )15-5‬جتاور اخلالاي يف خمطط كارنوف ألربعة ‪ 4‬متغريات‬

‫مالحظة ‪:1‬‬
‫قبل احلالة '‪ '10‬لسبب أن كل مربعني متجاورين جيب أن خيتلفا بقيمة واحدة‪.‬‬ ‫'‪'11‬‬ ‫نالحظ أنه يف اجلدول مت وضع احلالة‬

‫‪0 0‬‬ ‫‪0 0‬‬

‫‪0 1‬‬ ‫‪0 1‬‬

‫‪1 0‬‬ ‫‪1 1‬‬

‫‪1 1‬‬ ‫‪1 0‬‬

‫ترتيب صحيح‬ ‫ترتيب خاطئ‬

‫ألنه حيقق جتاور بني كل حالتني متتاليتني‬

‫‪182‬‬
‫أساسيات النظم الرقمية‬

‫وكذلك األمر للحالة األوىل جتاور احلالة األخرية (دائري)‬


‫على خمطط كارنوف نالحظ عدد من حاالت التجاور وللتوضيح نضع أرقام اخلالاي‪:‬‬
‫)‪(0,2‬‬ ‫)‪(2,6‬‬ ‫)‪(6,4‬‬ ‫)‪(0,4‬‬
‫)‪(1,3‬‬ ‫)‪(3,7‬‬ ‫)‪(7,5‬‬ ‫)‪(1,5‬‬
‫)‪(0,1‬‬ ‫)‪(2,3‬‬ ‫)‪(6,7‬‬ ‫)‪(4,5‬‬

‫حاالت غري متجاورة‪:‬‬


‫)‪(1,4) (0,5‬‬ ‫)‪(0,3‬‬ ‫)‪(2,7‬‬ ‫)‪(1,2‬‬

‫مالحظة ‪:2‬‬
‫يف بعض املراجع أتخذ تعاريف مشاهبة تكون على الشكل التايل‪:‬‬
‫احلد األصغري‪ :‬فإذا أخذان متغريين ‪ A,B‬وأخضعناها لعملية آند ‪ ،AND‬عندها ميكن تشكيل أربع تشكيالت متميزة‬
‫‪AB، AB‬‬ ‫هي ̅‬
‫‪̅ ،AB ،AB‬‬

‫يعرف الشكل القياسي ألي تعبري منطقي أبنه عبارة عن جمموعة‬


‫ميكن كتابة التعبري السابق ابلشكلني التاليني‪:‬‬
‫جمموع املضاريب‪:‬‬
‫)‪F(A,B,C) = ∑ 𝑚(2,3,4,6,7‬‬
‫حدود صغرى‬
‫أو ابلشكل‬
‫مضروب جماميع‪:‬‬
‫)‪F(A,B,C) = ∏ 𝑀(0,1,5‬‬

‫حدود كربى‬

‫‪0‬‬ ‫حيث ميكن استنتاج أي شكل من الشكل اآلخر حيث أن جمال األرقام‪2n -1 :‬‬
‫وميكن استنتاج أحد الشكلني من اآلخر ابلبحث عن األرقام العشرية املوجودة يف أحد األشكال وغري موجودة يف‬
‫الشكل اآلخر‪.‬‬
‫مثال‬
‫إذا كان لدينا التعبري املنطقي معطى ابلشكل‪:‬‬
‫)‪F(A,B,C,D) = ∏ 𝑀(2, 3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15‬‬

‫‪183‬‬
‫أساسيات النظم الرقمية‬

‫‪n=4‬‬ ‫حيث أن عدد املتغريات‬


‫ومنه جمال األعداد‬
‫‪0‬‬ ‫‪2n -1‬‬
‫‪0‬‬ ‫‪15‬‬
‫التعبري املنطقي معطى على شكل مضروب جماميع‪ ،‬ميكن أن نستتنج منه شكل جمموع املضاريب عن الطريق إجياد األرقام العشرية‬
‫الناقصة يف التعبري على شكل مضروب جماميع‪ ،‬حنصل على التعبري على شكل جمموع مضاريب‬

‫)‪F(a,b,c,d) = ∑ 𝑚(0,1,10,12‬‬

‫مالحظة ‪:3‬‬
‫اختيار الشكل املناسب للتعبريات املنطقية يكون بناء على شكل الدائرة املطلوب‪ ،‬فإذا كنا نريد دئرة يف شكل أند – أور‬

‫‪ ،OR-AND‬خنتار شكل‬ ‫‪Structure‬‬ ‫خننار شكل جمموع مضاريب‪ ،‬أما إذا أردان دائرة يف شكل‬ ‫‪AND-OR Structure‬‬
‫مضروب جماميع‪.‬‬

‫‪ 2-5‬تبسيط التعابري املنطقية ابستخدام خمططات كارنوف‬


‫‪Logic Simplification Using Karnaugh Map‬‬
‫عرفنا سابقاً أن عدد اخلالاي يف خمططات كارنوف يعتمد على عدد املتغريات (املدخالت)‪ .‬ابلتايل كل خلية يف خمطط‬
‫كارنوف تقابل تركيبة حمتملة من تركيبات الدخل‪.‬‬

‫مضاريب‪SOP‬‬ ‫‪ 1-2-5‬تبسيط التعبري البولياين على شكل جمموع جمموع‬


‫‪Karnaugh Map SOP Minimization‬‬
‫الختصار وتبسيط التعبري البولياين على شكل جمموع مضاريب ‪ SOP‬ابستخدام خمططات كارنوف نتبع التايل‪:‬‬
‫نكتب التابع على شكل جمموع مضاريب قياسي‪ ،‬إن مل يكن ابلشكل القياسي‪.‬‬
‫نضع واحد ‪ 1‬يف خمطط كارنوف مقابل كل حد موجود يف التعبري البولياين‪.‬‬
‫نقوم بتجميع الواحدات يف املخطط على شكل تطويقة مؤلفة من عدد من الواحدات يكون إما‬
‫‪ 1‬أو ‪ 2‬أو ‪ 4‬أو ‪ 8‬أو ‪16‬‬

‫أي عدد من اجملموعة (‪ ،)1,2,4,8,16‬حبيث خنتار دائماً‪:‬‬

‫‪184‬‬
‫أساسيات النظم الرقمية‬

‫‪ o‬اجملموعة اليت حتتوي أكرب عدد من الواحدات‪.‬‬


‫‪ o‬جيب أن تكون كل خلية يف اجملموعة جماورة لألخرى‪.‬‬
‫‪ o‬جيب أن تكون " الواحدات " متجاورة هندسياً بشكل أفقي أو عمودي‪.‬‬
‫‪ o‬جيب أن يكون عدد اجملموعات أقل ما ميكن‪.‬‬
‫‪ o‬كل واحد ‪ 1‬يف املخطط جيب أن يكون حمتوى يف جمموعة واحدة على األقل‪.‬‬
‫‪ o‬أي واحد ‪ 1‬يف جمموعة ميكن أن يضمن يف جمموعة أخرى‪.‬‬
‫‪ o‬إذا بقي " واحدات أو واحد ‪ " 1‬ال ميكن مجعهم ضمن أي جمموعة توضع ضمن جمموعة خاصة هبم‪.‬‬
‫كل جمموعة حتتوي واحدات ‪ 1‬ت قابل حد مضروب يتألف من املتغريات اليت تكون يف شكل واحد فقط (إما متغري متمم‬
‫أو غري متمم) ضمن اجملموعة‪ ،‬املتغريات اليت تكون يف اجملموعة بشكلني متمم وغري متمم حتذف‪ ،‬وذلك حسب القاعدة‬
‫املتغري ومتممه يساوي الواحد ‪.1‬‬
‫حندد حد الضرب األصغري ‪ minimum product term‬لكل جمموعة على الشكل التايل‪:‬‬

‫من أجل خمطط كارونوف بثالثة متغريات ‪: 3-Variable Map‬‬


‫اجملموعة اليت حتتوي على خلية واحدة ‪ ،1-Cell Group 1‬تنتج حد مضروب بثالثة ‪ 3‬متغريات‪.‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على خليتني ‪ ،2-Cell Group 2‬تنتج حد مضروب مبتغريين ‪.2‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على أربع ‪ 4‬خالاي ‪ ،4-Cell Group‬تنتج حد مضروب مبتغري واحد ‪.1‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على مثان ‪ 8‬خالاي ‪ ،8-Cell Group‬تنتج القيمة واحد ‪ 1‬من أجل التعبري املنطقي‪.‬‬ ‫▪‬

‫من أجل خمطط كارونوف أبربع متغريات ‪: 4-Variable Map‬‬


‫اجملموعة اليت حتتوي على خلية واحدة ‪ ،1-Cell Group 1‬تنتج حد مضروب أبربع ‪ 4‬متغريات‪.‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على خليتني ‪ ،2-Cell Group 2‬تنتج حد مضروب بثالثة ‪ 3‬متغريات‪.‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على أربع ‪ 4‬خالاي ‪ ،4-cell Group‬تنتج حد مضروب مبتغريين ‪.2‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على مثان ‪ 8‬خالاي ‪ ،8-Cell Group‬تنتج حد مضروب مبتغري واحد ‪.1‬‬ ‫▪‬

‫اجملموعة اليت حتتوي على ست عشرة خلية ‪ ،8-Cell Group‬تنتج القيمة واحد ‪ 1‬من أجل التعبري املنطقي‪.‬‬ ‫▪‬

‫عندما يتم حتديد كل حدود املضاريب األصغرية من خمطط كارنوف‪ ،‬يتم جتميعها لتشكيل التعبري األصغري املبسط على‬
‫شكل جمموع مضاريب ‪.SOP‬‬

‫‪185‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ ) 16-5‬يعرض أمثلة علن خمططات كارنوف بثالث متغريات موضعة عليها الواحدات‪ ،‬والتطويقات لتشكيل‬
‫اجملموعات‪ ،‬وحمددة عليها احلدود األصغرية‪.‬‬
‫من الشكل (‪ )16-5‬التعبري املبسط على شكل جمموع مضاريب‬

‫ابلنسبة لـ ـ ـ ـ (‪)a‬‬

‫ابلنسبة ل ـ ـ ـ (‪)b‬‬

‫الشكل (‪ )16-5‬تبسيط تعبري جمموع مضاريب ابستخدام خمطط كارنوف بثالث متغريات‬

‫الشكل (‪ )17-5‬يعرض أمثلة علن خمططات كارنوف أبربع متغريات موضعة عليها الواحدات‪ ،‬والتطويقات لتشكيل‬
‫اجملموعات‪ ،‬وحمددة عليها احلدود األصغرية‪.‬‬
‫من الشكل (‪ )17-5‬التعبري املبسط على شكل جمموع مضاريب‬
‫ابلنسبة لـ ـ ـ ـ (‪)c‬‬

‫ابلنسبة ل ـ ـ ـ (‪)d‬‬

‫‪186‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )17-5‬تبسيط تعبري جمموع مضاريب ابستخدام خمطط كارنوف أبربع متغريات‬

‫‪POS‬‬ ‫‪ 2-2-4‬تبسيط التعبري البولياين على شكل جمموع مضروب جماميع‬


‫‪Karnaugh Map POS Minimization‬‬
‫الختصار وتبسيط التعبري البولياين على شكل مضورب جماميع ‪ POS‬ابستخدام خمططات كارنوف نتبع نفس اخلطوات‬
‫اليت اتبعناها عند إجياد الشكل املبسط على شكل جمموع مضاريب ‪ SOP‬على أن نستبدل الواحدات ابألصفار كما يلي‪:‬‬
‫نكتب التابع على شكل مضروب جماميع ‪ POS‬إن مل يكن ابلشكل القياسي‪.‬‬
‫نضع صفر ‪ 0‬يف خمطط كارنوف مقابل كل حد موجود يف التعبري البولياين‪.‬‬
‫نقوم بتجميع األصفار يف املخطط على شكل تطويقة مؤلفة من عدد من األصفار يكون (‪ ،)1,2,4,8,16‬حبيث خنتار‬
‫دائماً اجملموعة اليت حتتوي أكرب عدد من األصفار‪ ،‬على أن تكون كل خلية يف اجملموعة جماورة لألخرى‪.‬‬
‫كل صفر ‪ 0‬يف املخطط جيب أن يكون حمتوى يف جمموعة واحدة على األقل‪ .‬وأي صفر ‪ 0‬يف جمموعة ميكن أن يضمن‬
‫يف جمموعة أخرى‪.‬‬
‫كل جمموعة حتتوي أصفار تقابل حد جمموع يتألف من املتغريات اليت تكون يف شكل واحد فقط (إما متغري متمم أو غري‬
‫متمم) ضمن اجملموعة‪ ،‬املتغريات اليت تكون يف اجملموعة بشكلني متمم وغري متمم حتذف‪ ،‬وذلك حسب القاعدة املتغري‬
‫ومتممه يساوي الواحد ‪.1‬‬
‫لكل جمموعة كما قمنا بتحديده عند إجياده بشكل جمموع‬ ‫‪maximum sum term‬‬ ‫حندد حد اجملموع األعظمي‬
‫مضاريب‪.‬‬
‫عندما يتم حتديد كل حدود اجملاميع األصغرية من خمطط كارنوف‪ ،‬نقوم بتطبيق عملية الضرب عليها لتشكيل التعبري‬
‫األصغري املبسط على شكل مضروب جماميع ‪.POS‬‬

‫‪187‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )18-5‬يعرض أمثلة علن خمططات كارنوف بثالث وأربع متغريات موضعة عليها األصفار‪ ،‬والتطويقات‬
‫لتشكيل اجملموعات‪ ،‬وحمددة عليها احلدود األصغرية‪.‬‬
‫من الشكل (‪ )18-5‬التعبري املبسط على شكل مضروب جماميع‬
‫ابلنسبة لـ ـلمخطط كارنوف بثالث متغريات‬

‫ابلنسبة لـ ـلمخطط كارنوف أبربع متغريات‬

‫الشكل (‪ )18-5‬تبسيط تعبري مضروب جماميع ابستخدام خمطط كارنوف بثالث وأربع متغريات‬

‫‪ 3-5‬استنتاج التعبريين‪ ،‬جمموع املضاريب ومضروب اجملاميع من خمطط كارنوف‬


‫‪Derivation POS and SOP Using the Karnaugh Map‬‬
‫عندما يكون خمطط كارنوف لتعبري بولياين على شكل جمموع مضاريب ‪ SOP‬معطى‪ ،‬فإنه ميكن مباشرة من نفس خمطط‬
‫كارنوف استنتاج التعبري البولياين املكافئ على شكل مضروب جماميع ‪ ،POS‬وذلك بوضع أصفار يف اخلالاي الفارغة يف اجلدول‪،‬‬
‫ومن مث إجياد احلدود األصغرية‪ ،‬والعكس أيضاً عند توفر خمطط كارنوف لتعبري بولياين على شكل مضروب جماميع ‪ POS‬فإنه ميكن‬
‫إجياد التعبري البولياين على شكل جمموع مضاريب ‪ SOP‬منه‪ ،‬وذلك بوضع واحدات يف اخلالاي الفارغة ومن مث إجياد احلدود‬
‫األصغرية‪.‬‬
‫الشكل (‪ )19-5‬يعرض مثال ملخطط كارنوف بثالثة متغريات‪ ،‬عليه تظهر الواحدات واألصفار‪ ،‬مع حتديد اجملموعات‬
‫واحلدود األصغرية‪.‬‬

‫‪188‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )19-5‬يعرض مثال ملخطط كارنوف بثالثة متغريات ميكن استنتاج منه التعابري البوليانية مباشرة‬
‫من الشكل (‪ )19-5‬نالحظ‬
‫التعبري املبسط على شكل جمموع مضاريب ‪ SOP‬يكون‬

‫التعبري املبسط على شكل مضروب جماميع ‪ POS‬يكون‬

‫نالحظ أن التعبريين املبسطني متشاهبني وهي من احلاالت اليت قد حتدث أن يكون كال التعبريين هلما نفس احلدود‬
‫واملتغريات‪.‬‬
‫الشكل (‪ ) 20-5‬ميثل عملية تبسيط تعبري بولياين على شكل مضروب جماميع ممثل جبدول كارنوف ألربعة متغريات‪،‬‬
‫ومن نفس اجلدول نستنتج التعبري البولياين القياسي واملبسط على شكل جمموع مضاريب‪ ،‬وتظهر علي اجلداول الواحدات‬
‫واألصفار‪ ،‬مع حتديد اجملموعات واحلدود األصغرية‪.‬‬

‫مالحظة‬
‫إذا كان لدينا تعبري ممثل ابستخدام جدول احلقيقة‪ ،‬ميكن من جدول احلقيقة مباشرة أن منثل احلدود على خمططات كارنوف‪.‬‬

‫‪189‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )20-5‬يعرض مثال ملخطط كارنوف أبربعة متغريات ميكن استنتاج التعابري البوليانية منه مباشرة‬

‫‪“Don’t Care” Conditions‬‬ ‫‪ 4-5‬احلاالت أوالشروط غري اهلامة‬


‫تُصمم بعض الدوائر املنطقية حبيث ال تعطي يف خرجها أية قيمة عند تطبيق قيم على دخلها‪ ،‬وذلك بسبب أن قيم الدخل‬
‫هذه لن تظهر أي أتثري على عمل الدائرة‪ ،‬فال يهم املصمم أن أيخذ بعني االعتبار هذه القيم‪ .‬تدعى هذه احلاالت(الشروط) ابحلاالت‬
‫غري اهلامة أو غري املعرفة ‪ Don’t Care Condition‬ويرمز هلا ب ‪ X‬يف جدول احلقيقة أو خمطط كارنوف‬
‫ميكن االستفادة من احلاالت غري املعرفة يف تبسيط الدوائر املنطقية‪ ،‬إذ ميكن اعتبار ‪ X‬حد جمموع مضاريب (‪ )1‬أو‬
‫مضروب جماميع (‪ .)0‬يظهر جدول احلقيقة وخمطط كارنوف التاليني ألربع متغريات‪ ،‬حيث حيتوي حاالت غري هامة‪ ،‬ويظهر كيف‬
‫يتم االستفادة منها يف عملية االختصار‪.‬‬

‫‪190‬‬
‫أساسيات النظم الرقمية‬

‫‪A‬‬ ‫‪B‬‬ ‫‪C‬‬ ‫‪D‬‬ ‫‪F‬‬


‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪X‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪X‬‬

‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪X‬‬


‫‪Don’t Care‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪X‬‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪X‬‬

‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪X‬‬

‫ويكون التعبري املنطقي قبل استخدام احلاالت غري اهلامة يكون‪:‬‬

‫والتعبري املنطقي مع استخدام احلاالت غري اهلامة يكون‪:‬‬

‫ونالحظ أن ادخال احلاالت غري اهلامة يف علمية التبسيط ساعد يف اعطاء شكل أكثر اختصاراً للتعبري املنطقي وابلتايل‬
‫دائرة أكثر تبسيطاً‪.‬‬

‫‪191‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬ما هي قيمة كل من ‪ A,B,C‬الىت جتعل كل من التعابري التالية واحد ‪ 1‬ومرة صفر ‪:0‬‬

‫‪a) A+B‬‬ ‫‪b) AB‬‬ ‫̅𝐂 ‪̅ B‬‬


‫𝐀 )‪c‬‬ ‫𝐀( )‪d‬‬ ‫̅̅̅‬
‫)𝐂‪̅ + B +‬‬

‫‪ -2‬اكتب جدول احلقيقة للتعابري البوليانية التالية‪:‬‬


‫‪a) X=(A+B)C+B‬‬ ‫)̅𝐂 ‪̅ +‬‬
‫𝐁()‪b) (A+BC‬‬ ‫̅̅̅̅̅̅̅̅̅ )‪c‬‬
‫‪(𝐀 + 𝐁) C‬‬
‫)‪d) (A + B)(B + C)(C + A‬‬ ‫‪e) AB + BC + CA‬‬ ‫̅𝐂 𝐁 ‪̅ + 𝐀 𝐂̅ +‬‬
‫𝐁 ‪f) A‬‬

‫‪:0‬‬ ‫‪ -3‬ما هي قيمة كل من ‪ A,B,C‬الىت جتعل كل من التعابري التالية مرة واحد ‪ 1‬ومرة صفر‬
‫‪a) A+B‬‬ ‫‪b) AB‬‬ ‫̅𝐂 ‪̅ B‬‬
‫𝐀 )‪c‬‬ ‫𝐀( )‪d‬‬ ‫̅̅̅‬
‫)𝐂‪̅ + B +‬‬

‫‪ -4‬استخدم جرب بول يف تبسيط التعابري التالية‪:‬‬


‫)‪a) BD+B(D+E)+D(D+F‬‬ ‫̅̅̅̅̅ ‪b) AB+‬‬
‫‪(𝐀𝐁)C+A‬‬
‫𝐀 ‪̅ B+‬‬
‫𝐀 )‪c‬‬ ‫𝐀 ‪̅ B 𝐂̅ +‬‬
‫𝐀‪̅ B 𝐂 D +‬‬
‫𝐃 ̅𝐂 ‪̅ B‬‬
‫‪̅E‬‬ ‫)̅𝐂 ‪̅ +A)(A B+ AB‬‬
‫𝐀 ()‪d‬‬
‫)‪e) A(A+B‬‬ ‫𝐁 ‪̅ 𝐂̅ + A‬‬
‫𝐁 ‪f) A‬‬ ‫𝐂𝐁𝐀 ‪̅ 𝑪 +‬‬
‫)‪̅ B‬‬
‫𝐀‪g) A(A+‬‬ ‫)‪̅ +AB‬‬
‫𝐀(‪h) A‬‬
‫𝐂̅‬
‫𝐁 )‪i‬‬ ‫𝐃𝐂𝐁 ‪̅̅̅D+‬‬
‫‪̅̅̅̅̅̅+ B‬‬ ‫̅̅̅ ‪g)(B+‬‬
‫̅̅̅̅ 𝑪𝑩 ‪𝐁) + (𝑩𝑪 +‬‬
‫)𝐃‬

‫‪ -5‬اكتب التعابري التالية يف شكل جمموع مضاريب قياسي ‪SOP‬‬


‫‪̅ 𝑪)C‬‬
‫𝐁()‪a) (A+‬‬ ‫)̅‬
‫𝐁‪b) (A+B)(C+‬‬

‫)̅𝐂 ‪̅ +A)(A B+ AB‬‬


‫𝐀 ()‪c‬‬ ‫)‪d) A+B(AC+(B+C)D‬‬

‫‪ -6‬اكتب جدول احلقيقة لكل تعبري من التعابري يف التمرين السابق ‪.5‬‬

‫‪ -7‬استخدم خمطط كارنوف لتبسيط كل واحد من التعابري املوجودة يف التمرين ‪.4‬‬

‫‪ -8‬ابستخدام خمططات كارنوف بسط كل من التعابري البوليانية التالية‪:‬‬


‫𝐁 ‪̅ 𝐂̅ 𝑫 + A‬‬
‫𝐁 ‪a) A‬‬ ‫𝐃𝑪 ̅‬
‫𝐃𝐂𝐁𝐀 ‪̅ +‬‬
‫̅‪̅+‬‬
‫̅ ‪𝐀 B 𝐂̅ 𝑫 + 𝐀 B 𝐂̅ 𝑫 +‬‬ ‫̅‬
‫𝐃𝑪 ‪𝐀 B‬‬
‫𝐀 ‪̅ + A 𝑩 𝐂̅ 𝑫+‬‬
‫𝐃𝑪 ‪b) 𝐀 B‬‬ ‫̅ ‪̅ B 𝐂̅ 𝑫 +‬‬ ‫𝐁 ‪̅+ A‬‬
‫𝐃𝑪 ‪𝐀 B‬‬ ‫𝐁 ‪̅ 𝐂̅ D + + A‬‬
‫𝐃𝑪 ̅‬
‫̅̅̅‪̅ + A B C D + A B‬‬
‫𝐃𝐂‬ ‫̅‬

‫‪ -9‬استنتج من التمرين السابق الشكل القياسي للتعبري البولياين على شكل مضروب جماميع ‪.POS‬‬

‫‪192‬‬
‫أساسيات النظم الرقمية‬

‫‪ -10‬استنتج الشكل املبسط على شكل مضروب جماميع ‪ POS‬من خمطط كارنوف من التمرين ‪.8‬‬

‫‪ -11‬اكتب جدول احلقيقة لكل تعبري من التعابري يف التمرين السابق‪.‬‬

‫‪ -12‬ارسم خمطط كارنوف بثالثة متغريات‪ ،‬وأربع متغريات وحدد على كل منهما القيمة الثنائية والعشرية املقابلة لكل خلية‬
‫يف املخطط‪.‬‬

‫‪ -13‬ارسم الدائرة املنطقية املمثلة ابلتعابري التالية‪:‬‬


‫‪a) A + B + C+ D‬‬ ‫‪b) ABCD‬‬
‫‪c)A + BC‬‬ ‫̅ ‪d) ABC+‬‬ ‫̅‬
‫𝐃𝑪 ‪𝐀 B‬‬

‫‪ -14‬حدد أي الدوائر التالية متكافئة يف الشكل (‪.)21-5‬‬

‫الشكل (‪)21-5‬‬

‫‪ -15‬صمم دائرة منطقية تقوم بتحويل شفرة ثنائية مكونة من ‪ 4‬خاانت إىل الشفرة الرمادية‪ُ ،‬ث قم ببناء الدائرة‪ ،‬ابستخدام‬
‫بواابت انند فقط ‪ NAND‬فقط‪.‬‬

‫‪ -16‬صمم دائرة منطقية هلا ثالث مداخل متثل عدد ثنائي وخرجها املتمم الثنائي ‪ 2’ COMPLEMENT‬هلذا العدد‪.‬‬

‫‪193‬‬
‫أساسيات النظم الرقمية‬

‫‪ -17‬اكتب التعبري البولياين للدوائر املنطقية يف الشكل (‪)22-5‬‬

‫الشكل (‪)22-5‬‬

‫‪ -18‬من جداول احلقيقة التالية‪ ،‬استنتج التعابري البوليانية على شكل جمموع مضاريب ‪ ، SOP‬وعلى شكل مضروب‬
‫جماميع ‪.POS‬‬

‫‪194‬‬
‫أساسيات النظم الرقمية‬

‫‪ -19‬صمم دائرة منطقية جلهاز نزار حمولة السرقة‪ ،‬تعطي يف خرجها ‪ Z‬احلالة املرتفعة ‪ High‬عندما يطلق هذا اجلهاز‪ ،‬وذلك‬
‫عندما يكون دخلي الدائرة ‪ B‬أو ‪ ،C‬أو كالمها ابحلالة املنخفضة ‪ ،Low‬واللذان ميثالن عدم فتح قفلي الباب األمامي‬
‫ابملفتاح املخصص‪ ،‬ويكون بنفس الوقت قيمة الدخل ‪ A‬ابحلالة املرتفعة ‪ High‬والذي ميثل فتح الباب‪.‬‬

‫‪ -20‬صمم دائرة منطقية هلا أربع مداخل ثنائية وعلى خرجها مصباحان‪.‬‬

‫‪ -1‬يضيء املصباح األول يف حال كان عدد الواحدات على الدخل عدد فردي‪.‬‬

‫‪ -2‬يضيء املصباح الثاين يف حال كان املكافئ العشري للعدد املدخل أويل‪.‬‬

‫‪ -21‬يراد التحكم بفتح وإغالق ابب كراج سيارات علماً أنه عند وصول السيارة إىل ابب الكراج يتم فتح الباب وبعد‬
‫دخول السيارة يتم إغالق الباب‪ .‬يتوفر لدينا احلساسات التالية‪:‬‬

‫" ملعرفة وجود سيارة أمام الباب "‬ ‫احلساس ‪S0‬‬

‫وجود سيارة‬ ‫‪S0 = 0‬‬

‫عدم وجود سيارة‬ ‫‪S0 = 1‬‬

‫الباب مغلق متاما‬ ‫‪S1=1‬‬ ‫احلساس ‪S1‬‬

‫الباب ليس مغلق متاما‬ ‫‪S1=0‬‬

‫الباب مفتوح متاما‬ ‫‪S2=1‬‬ ‫احلساس ‪S2‬‬

‫الباب ليس مفتوح متاما‬ ‫‪S2=0‬‬

‫‪ -22‬من أجل خمططات كارنوف التالية واحملدد على كل خلية احلد املقابل هلا‪ ،‬أوجد التعبري البولياين املبسط وارسم الدائرة‬
‫املعربة عنه‪.‬‬

‫‪195‬‬
‫أساسيات النظم الرقمية‬

‫‪ -23‬من أجل خمططات كارنوف التالية أوجد التعبري البولياين املبسط وارسم الدائرة املعربة عنه‪.‬‬

‫‪ -24‬ليكن لدينا الداوائر املنطقية يف الشكل (‪)23-5‬‬

‫اكتب التعبري املنطقي على شكل جمموع مضاريب ‪.SOP‬‬ ‫‪-‬‬


‫‪ -‬استنتج جدول احلقيقة للدائرة املنطقية‪.‬‬

‫‪196‬‬
‫أساسيات النظم الرقمية‬

‫‪ -‬اكتب التعبري املنطقي على شكل مضروب جماميع ‪.POS‬‬


‫‪ -‬ارسم الدائرة املنطقية للتعبري على شكل مضروب جماميع ‪.POS‬‬

‫الشكل (‪)23-5‬‬

‫‪ -25‬أثبت أن الداوائر املنطقية يف (‪ )b‬هي الشكل املختصر للدوائر املنطقية يف (‪ )a‬الشكل (‪.)24-5‬‬

‫(‪)a‬‬

‫(‪)b‬‬

‫الشكل (‪)24-5‬‬

‫‪197‬‬
‫أساسيات النظم الرقمية‬

‫‪ -26‬ارسم شكل موجة اخلرج للبواابت املنطقية للدائرة املنطقية يف الشكل (‪ ،)25-5‬عندما يطبق على دخلها اإلشارات‬
‫‪.A,B‬‬

‫الشكل (‪)25-5‬‬

‫‪ -27‬صمم دائرة منطقية تركيبية تعطي يف خرجها ‪ X‬احلالة املرتفعة ‪ HIGH‬عندما يكون كال دخلي الدائرة ‪ D , C‬ابحلالة‬
‫املنخفضة ‪ ،LOW‬ويكون بنفس الوقت قيمة كل من الدخلني ‪ A‬و ‪ B‬أو أحدمها ابحلالة املرتفعة ‪.HIGH‬‬

‫‪ -28‬صمم دائرة منطقية جلهاز السرقة تعطي يف خرجها ‪ Z‬احلالة املرتفعة ‪ HIGH‬عندما يطلق هذا اجلهاز‪ ،‬وذلك عندما‬
‫دخل الدائرة ‪ B‬أو ‪ C‬أو كالمها ابحلالة املنخفضة ‪ ،LOW‬واللذان ميثالن عدم فتح قفلي الباب األمامي ابملفتاح املخصص‪،‬‬
‫ويكون بنفس الوقت قيمة الدخل ‪ A‬ابحلالة املرتفعة ‪ ،HIGH‬والذي ميثل فتح الباب‪.‬‬

‫‪198‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪159‬‬ ‫‪Distributive Laws‬‬ ‫قوانني التوزيع‬ ‫‪149‬‬ ‫‪Boolean Algebra‬‬ ‫جرب بول‬
‫‪DeMorgan’s‬‬
‫‪165‬‬ ‫نظرايت دميورغان‬ ‫‪149‬‬ ‫‪Logical Variable‬‬ ‫املتغري املنطقي‬
‫‪Theorems‬‬

‫‪166‬‬ ‫‪Dual Theorem‬‬ ‫مبدأ الثنوية‬ ‫‪150‬‬ ‫‪Logical Operations‬‬ ‫العمليات املنطقية‬
‫‪Product-of-Sums‬‬ ‫‪Boolean‬‬
‫‪170‬‬ ‫شكل مضروب جماميع‬ ‫‪150‬‬ ‫الضرب البولياين‬
‫)‪Form (POS‬‬ ‫‪Multiplication‬‬
‫الشكل القياسي جملموع‬
‫‪171‬‬
‫‪The Standard SOP‬‬
‫‪150‬‬ ‫‪Product Term‬‬ ‫حد الضرب‬
‫‪Form‬‬ ‫املضاريب‬
‫الشكل القياسي‬
‫‪173‬‬
‫‪The Standard POS‬‬
‫‪150‬‬ ‫‪Boolean Addition‬‬ ‫اجلمع البولياين‬
‫‪Form‬‬ ‫مضروب جماميع‬
‫‪The Karnaugh‬‬
‫‪180‬‬ ‫خمططات كارنوف‬ ‫‪150‬‬ ‫‪Sum Term‬‬ ‫حد اجملموع‬
‫‪Map‬‬
‫طريقة كوين‬
‫‪180‬‬ ‫‪Quine McClusky‬‬ ‫‪151‬‬ ‫‪Logical Expression‬‬ ‫التعبري املنطقي‬
‫مكلوسكي‬

‫‪181‬‬ ‫‪3-Variable Map‬‬ ‫خمطط بثالث متغريات‬ ‫‪152‬‬ ‫‪Truth Table‬‬ ‫جدول احلقيقة‬

‫‪181‬‬ ‫‪4-Variable Map‬‬ ‫خمطط أبربع متغريات‬ ‫‪153‬‬ ‫‪Logic Circuit‬‬ ‫الدائرة املنطقية‬
‫‪Minimum Product‬‬
‫‪185‬‬ ‫حد الضرب األصغري‬ ‫‪153‬‬ ‫‪Logic Diagram‬‬ ‫املخطط املنطقي‬
‫‪Term‬‬
‫‪Sum-of-Products‬‬
‫‪185‬‬ ‫‪1-Cell Group‬‬ ‫جمموعة خبلية واحدة‬ ‫‪155‬‬ ‫شكل جمموع مضاريب‬
‫)‪Form (SOP‬‬
‫‪Maximum Sum‬‬
‫‪187‬‬ ‫حد اجملموع األعظمي‬ ‫‪158‬‬ ‫‪Commutative Laws‬‬ ‫قوانني التبديل‬
‫‪Term‬‬
‫احلاالت (الشروط)‬
‫‪Don’t Care‬‬
‫‪190‬‬ ‫غري اهلامة أو غري‬ ‫‪159‬‬ ‫‪Associative Laws‬‬ ‫قوانني التجميع‬
‫‪Condition‬‬
‫املعرفة‬
‫ر‬

‫‪199‬‬
‫أساسيات النظم الرقمية‬

‫‪200‬‬
‫أساسيات النظم الرقمية‬

‫الفصل السادس ‪6‬‬


‫الدوائر املنطقية التوافقية‬
‫‪Combinational Logic Circuits‬‬

‫‪201‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫التعرف على الدوائر املنطقية التوافقية ‪ Combinational Logic Circuits‬وما املقصود هبا‪.‬‬
‫دراسة وحتليل وتصميم أهم الدوائر املنطقية التوافقية‪.‬‬
‫التعرف على أهم شرائح الدوائر املتكاملة ‪ ICS‬للدوائر املنطقية التوافقية‪.‬‬
‫حتليل وتصميم أهم الدوائر احلسابية كدوائر اجلمع ‪ Adders‬املختلفة‪.‬‬
‫فهم وظيفة الدوائر التوافقية األساسية الستخدامها يف األنظمة الرقمية‪ ،‬وهذه الدوائر هي‪:‬‬
‫معرفة متثيل وتصميم دائرة املقارن ‪.Comparator‬‬
‫معرفة متثيل وتصميم دائرة حملل الشفرة ‪.Decoder‬‬
‫معرفة متثيل دائرة املشفر ‪.Encoder‬‬
‫معرفة متثيل دائرة اختيار البياانت ‪.Multiplexer‬‬
‫معرفة ومتثيل دائرة موزع البياانت ‪.Demultiplexers‬‬

‫‪202‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫يف الفصول السابقة متت دراسة البواابت املنطقية كأساسيات منفردة‪ ،‬ومت استعراض كيفية تصميم الدوائر املنطقية البسيطة‬
‫ابستخدام هذه البواابت‪ ،‬من خالل كتابة التعابري البوليانية للدوائر املنطقية واختصار هذه التعابري ومن مث رسم الدائرة‪ ،‬يف هذا‬
‫الفصل سوف نتناول كيفية حتليل وتصميم الدوائر املنطقية ‪ Logic Circuit Design‬حيث سيتم شرح خطوات التصميم‬
‫ابلتفصيل ابتداءاً من حتديد مواصفات الدائرة‪ ،‬مث كتابة التعابري املنطقية‪ ،‬فتبسيط تلك التعابري إما ابستخدام نظرايت اجلرب البولياين‬
‫أو ابستخدام خمططات كارنوف‪ ،‬أخرياً بناء الدائرة املنطقية اليت مت تصميمها‪ ،‬إما ابستخدام البواابت األساسية ‪ OR‬و ‪ AND‬و‬
‫‪ ،NOT‬أو ابستخدام نوع واحد من البواابت ‪ NOR‬أو ‪.NAND‬‬

‫إن تصميم الدوائر ابستخدام البواابت ويف حالة عدم وجود عناصر التخزين‪ ،‬فإن هذه الدوائر اليت حنصل عليها تصنف‬
‫ابلدائرة املنطقية التوافقية ‪ Combinational Logic Circuit‬حيث يعتمد مستوى اخلرج صفر ‪ 0‬أو واحد ‪ 1‬يف أي حلظة زمنية‬
‫على مستوى املداخل للدائرة‪ .‬سنقوم بعرض لبعض هذه الدوائر اليت تقوم أبداء وظائف مفيدة‪ ،‬واليت يتوفر أغلبها بصورة جاهزة يف‬
‫شكل دوائر متكاملة ‪ ،Integrated Circuits‬حبيث ميكن شراؤها واستخدامها مباشرة يف بناء االنظمة الرقمية‪.‬‬

‫‪Combinational Logic Circuits‬‬ ‫‪ -2‬الدوائر املنطقية التوافقية‬


‫مجيع الدوائر املنطقية اليت تعاملنا معها حىت اآلن هي دوائر منطقية توافقية )‪ ،)Combinational Logic Circuit‬أو‬
‫ترابطية‪ ،‬ومسيت ابلتوافقية ألن وظيفة الدائرة تقتصر على ربط متغريات الدخل بعمليات منطقية لتوليد متغريات اخلرج‪ ،‬ومن الواضح‬
‫أن اخلرج يف الدوائر التوافقية يعتمد فقط على القيم احلالية للدخل‪ ،‬فمىت ما تغريت قيم الدخل تغريت معها قيم اخلرج‪.‬‬

‫تتكون الدائرة التوافقية‪ ،‬من جمموعة من متحوالت الدخل‪ ،‬ومن جمموعة من البواابت املنطقية‪ ،‬ومن جمموعة من‬ ‫▪‬
‫متحوالت اخلرج‪.‬‬
‫تستقبل الدائرة إشارات الدخل واحدات ‪ 1‬وأصفار ‪ 0‬تعاجلها وتولد إشارات اخلرج أيضاً واحدات ‪ 1‬وأصفار ‪.0‬‬ ‫▪‬
‫ميكن متثيل دائرة املنطق التوافقية بصندوق له جمموعة من املداخل وجمموعة من املخارج اليت ميكن أن تكون‬ ‫▪‬
‫مداخالً تغذي جمموعة أخرى من الدوائر‪ ،‬الشكل (‪ )1-6‬يظهر ذلك‪.‬‬

‫‪A‬‬ ‫‪X1‬‬
‫‪B‬‬
‫‪.‬‬ ‫دائرة املنطق التوافقي‬ ‫‪X2‬‬
‫‪.‬‬
‫‪.‬‬ ‫‪.‬‬
‫‪.‬‬ ‫‪Combinational‬‬ ‫‪.‬‬
‫‪.‬‬ ‫‪.‬‬
‫‪.‬‬ ‫‪Logic Circuit‬‬ ‫‪.‬‬
‫‪.‬‬ ‫‪.‬‬
‫‪Z‬‬ ‫‪Xn‬‬

‫الشكل (‪ )1-6‬ميكن متثيل دائرة املنطق التوافقية بصندوق له جمموعة من املداخل وجمموعة من املخارج‬

‫‪203‬‬
‫أساسيات النظم الرقمية‬

‫يف هذا الفصل سنقوم بدراسة بعض الدوائر التوافقية الشائعة االستخدام يف األنظمة الرقمية نظراً لقيامها أبداء وظائف‬
‫مفيدة يتكرر ظهورها يف تلك األنظمة‪ ،‬ومن هذه الدوائر دوائر اجلمع‪.‬‬

‫‪Adders‬‬ ‫‪ 1-2‬دوائر اجلمع‬


‫اجلوامع هي دوائر مهمة يف احلواسيب واألنظمة الرقمية األخرى اليت تعاجل البياانت الرقمية‪ ،‬وعملية اجلمع من العمليات‬
‫الرئيسة فيها‪ ،‬وفهم عملية اجلمع يعترب مهم أثناء دراسة األنظمة الرقمية‪.‬‬

‫‪The Half-Adder‬‬ ‫‪ 1-1-2‬اجلامع النصفي‬


‫اجلامع النصفي )‪ The Half-Adder (HA‬هو أبسط أنواع اجلوامع‪ ،‬و هو عبارة عن دائرة منطقية تقوم جبمع خانتني‬
‫ثنائيتني إىل بعضهما البعض وإجياد حاصل اجلمع )‪ (Sum‬واحلمل أو الفيض )‪ (Carry‬كما هو موضح ابملخطط املنطقي يف‬
‫الشكل (‪.)2-6‬‬

‫)‪The Half-Adder (HA‬‬ ‫الشكل (‪ )2-6‬املخطط الصندوقي للجامع النصفي‬

‫ابلعودة إىل قواعد اجلمع اليت درسناها سابقاً ميكن مراجعتها ابجلدول (‪ ،)1-6‬حيث املدخالت هي ‪ A,B‬واخلرج ميثل‬
‫حاصل اجلمع )‪ Sum(S‬والباقي املرحل أو احلمل )‪Carry(C‬‬

‫المدخالت‬ ‫الخرج‬
‫حاصل الجمع‬ ‫الحمل‬
‫‪A‬‬ ‫‪B‬‬
‫)‪Sum(S‬‬ ‫)‪Carry(C‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫اجلدول (‪ )1-6‬قواعد اجلمع من أجل مجع خانتني ثنائيتني‬

‫‪204‬‬
‫أساسيات النظم الرقمية‬

‫نالحظ أن اجلامع النصفي هو دائرة تقبل رقمني ثنائيني على مداخلها وتنتج رقمني ثنائيني على خمارجها كل منهما خبانة‬
‫واحدة‪ ،‬خانة اجلمع‪ ،‬وخانة احلمل‪ ،‬ومن اجلدول (‪ )1-6‬نالحظ أن التعابري املنطقية للخرج ميكن أن تستنتج كتوابع للدخل‪،‬‬
‫حيث اخلرج احلمل يكون واحد ‪ 1‬فقط عندما يكون كل من املدخلني ‪ A,B‬واحد ‪ ،1‬لذلك ميكن أن يعرب عنه ببوابة آند ‪،AND‬‬
‫اخلرج اجلمع يكون واحد ‪ 1‬عندما يكون املدخلني ‪ A,B‬غري متساويني‪ ،‬لذلك ميكن أن نعرب عنه ببوابة أور املقصورة ‪.XOR‬‬
‫واحلصول على‬ ‫‪A,B‬‬ ‫والدائرة املنطقية املعربة عن اجلامع النصفي تكون يف الشكل (‪ )3-6‬اليت توضح كيفية توصيل الدخلني‬
‫اخلرجني ‪ C,S‬واللذان يتبعان جدول احلقيقة السابق‪ ،‬سنرمز حلاصل اجلمع ب ـ ـ ∑‪.‬‬

‫‪Cout = AB‬‬

‫𝐁 ⊕‪∑ =A‬‬

‫‪Half-adder logic diagram‬‬ ‫الشكل (‪ )3-6‬دائرة اجلمع النصفي‬

‫‪The Full-Adder‬‬ ‫‪ 2-1-2‬اجلامع الكامل‬


‫عند مجع األعداد الثنائية وجدان أنه عند مجع خانتني (‪ 2‬بت) غالباً ما يتبقى مقدار يسمى الباقي أو احلمل )‪(Carry‬‬
‫الذي جيب أن يرحل ليجمع مع اخلانة التالية‪ ،‬وعلى هذا فإن اجلمع يكون لثالثة أرقام أو خاانت (‪ )Bits‬وليس رقمني فقط‪،‬‬
‫وابلتايل فإن اجلامع النصفي لن يستطيع العمل يف هذه احلالة‪ ،‬ونكون يف حاجة إىل دائرة جديدة تستطيع مجع ثالثة أرقام يف نفس‬
‫الكامل بـ ‪.FA‬‬ ‫الوقت‪ ،‬وهذه الدائرة تسمى بدائرة اجلامع الكامل )‪ ،Full-Adder (FA‬ويرمز للجامع‬
‫دائرة جلامع الكامل هي دائرة توافقية تستطيع مجع ثالثة أرقام (‪ ،)Bits‬يف نفس الوقت‪ ،‬تتكون من ثالثة مدخالت‬
‫ميثالن الرقمني املراد مجعها والدخل الثالث احلمل السابق هو ‪ ،(Input carry) Cin‬ميثل‬ ‫‪A,B‬‬ ‫وخرجني‪ ،‬اثنان من املدخالت مها‬
‫الرقم الباقي أو املرحل من مجع الرقمني السابقني‪ ،‬واخلرجان مها حاصل اجلمع )‪ (Sum‬واحلمل الناتج ‪(Output carry) COUT‬‬
‫كما هو موضح ابملخطط املنطقي يف الشكل (‪.)3-6‬‬

‫)‪Full-Adder (FA‬‬ ‫الشكل (‪ )3-6‬املخطط الصندوقي لدائرة اجلامع الكامل‬

‫‪205‬‬
‫أساسيات النظم الرقمية‬

‫واجلدول (‪ )2-6‬يعرض قواعد اجلمع يف حالة اجلامع الكامل ‪ ،FA‬وهي قواعد اجلمع من أجل مجع ثالث خاانت‬
‫ثنائية‪.‬‬
‫‪،‬المدخالت‬ ‫الخرج‬

‫‪A‬‬ ‫‪B‬‬ ‫حاصل الجمع‬ ‫الحمل الناتج‬


‫‪Cin‬‬
‫)‪Sum(S‬‬ ‫)‪Carry( COUT‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫)‪Full Adder (FA‬‬ ‫اجلدول (‪ )2-6‬قواعد اجلمع يف حالة اجلامع الكامل‬

‫دائرة اجلامع الكامل ‪ FA‬هو دائرة تقبل ثالث أرقام ثنائية على مداخلها وتنتج رقمني ثنائيني على خمارجها‪ ،‬خانة اجلمع‪،‬‬
‫وخانة احلمل‪ ،‬من اجلدول (‪ )2-6‬نالحظ أن التعابري املنطقية للخرج ميكن أن تستنتج كتوابع للدخل على الشكل التايل حيث‬
‫سنرمز حلاصل اجلمع ب ـ ـ ∑‪ ،‬وللحمل ب ـ ‪:Cout‬‬

‫̅̅̅̅‪∑ = 𝐴̅𝐵̅𝐶in + 𝐴̅B‬‬


‫̅̅̅̅̅𝐵‪𝐶in + AB𝐶in + A‬‬
‫‪𝐶in‬‬
‫𝐶 )̅𝐵‪∑ = (𝐴̅𝐵̅+AB) 𝐶in + (𝐴̅B+A‬‬
‫̅̅̅̅‬
‫‪in‬‬

‫̅̅̅̅̅̅̅̅‬
‫𝐴( = ∑‬ ‫̅̅̅̅ )‪⊕ 𝐵) 𝐶in + (A⊕B‬‬
‫= ‪𝐶in‬‬
‫𝐧𝐢𝑪 ⊕)‪∑ = (A⊕B‬‬

‫𝐶𝐵‪Cout =𝐴̅𝐵𝐶in + 𝐴𝐵̅𝐶in + AB𝐶in + A‬‬


‫̅̅̅̅‬
‫‪in‬‬

‫̅̅̅̅ ‪̅̅̅𝐵+ 𝐴𝐵̅)𝐶in + A𝐵(𝐶in +‬‬


‫𝐴(= ‪Cout‬‬ ‫) ‪𝐶in‬‬
‫𝑩‪Cout == (A⊕B)𝑪𝐢𝐧 + A‬‬

‫الحظ أن اخلرج الذي ميثل اجلمع ميكن أن نعرب عنه ببوابيت أور املقصورة ‪ ، XOR‬األوىل دخلها ‪ ،A, B‬والثانية دخلها‬
‫‪ (𝐶in‬كما يف الشكل (‪ ،)4-6‬والدائرة املنطقية الكاملة املعربة عن اجلامع الكامل تكون يف الشكل (‪ ،)5-6‬اليت توضح كيفية‬
‫توصيل املداخل ‪ A,B,Cin‬واحلصول على اخلرجني اجلمع ‪ S‬واحلمل ‪ Cout‬واللذان يتبعان جدول احلقيقة السابق‪.‬‬

‫‪206‬‬
‫أساسيات النظم الرقمية‬

‫الكامل ‪FA‬‬ ‫الشكل (‪ )4-6‬دائرة اخلرج الذي ميثل اجلمع يف دائرة اجلامع‬

‫‪FA‬‬ ‫الشكل (‪ )5-6‬املخطط الصندوقي للجامع الكامل‬

‫من الشكل (‪ )5-6‬نالحظ أنه اجلامع الكامل يتكون من دائرتني للجامع النصفي مع بوابة أور ‪ ،OR‬واملخطط‬
‫الصندوقي لدائرة اجلامع الكامل ابستخدام دائرة جامع نصفي عدد ‪ ،2‬وبوابة أور ‪ OR‬موضح يف الشكل (‪.)6-6‬‬

‫أور ‪OR‬‬ ‫الشكل (‪ )6-6‬دائرة اجلامع الكامل ‪ FA‬ابستخدام دائريت جامع نصفي وبوابة‬

‫‪207‬‬
‫أساسيات النظم الرقمية‬

‫‪Parallel Binary Adders‬‬ ‫‪ 3-1-2‬اجلامع الثنائي التفرعي‬


‫كما وجدان أن اجلامع الكامل ‪ FA‬يستخدم من أجل عددين ثنائيني خبانة واحدة‪ ،‬مع خانة احلمل السابق للدخل‬
‫‪ ،input carry‬جلمع عددين ثنائيني أبكثر من خانة واحدة جيب اضافة عدد من دوائر اجلامع الكامل‪ ،‬عند مجع رقم ثنائي خبانة‬
‫واحدة مع عدد آخر‪ ،‬كل عمود يولد خانة مجع‪ ،‬وخانة محل ميكن أن يكون ‪ 0‬أو ‪ ،1‬للعمود التايل على اليسار‪ ،‬كما هو موضح‬
‫هنا حيث جنمع عددين خبانتني‪.‬‬

‫خانة احلمل ‪ carry bit‬من العمود األمين‬

‫يف هذه احلالة بت احلمل يصبح بت مجع‬

‫بعد أن صممنا دائرة اجلامع الكامل أصبح إبمكاننا تصميم دائرة اجلامع الثنائي جلمع عددين ثنائيني على التوازي‪ ،‬مؤلف‬
‫كل منهما من عدد من اخلاانت ‪ )n bit( n‬وذلك بوصل ‪ n‬جامع كامل على التفرع‪ ،‬حيث يستخدم جامع كامل جلمع كل خانة‬
‫يف العدد‪ .‬كثال مجع عددين ثنائيني خبانتني ‪ 2-bit numbers‬يتطلب اثنني جامع كامل‪ ،‬كل منهما جلمع خانة‪ ،‬والشكل (‪)7-6‬‬
‫يوضح عملية مجع العدد ‪ A1A2‬مع العدد ‪ ،B1B2‬حيث مت يعترب محل الدخل ‪ Cin‬يساوي الصفر ‪.0‬‬

‫الناتج مؤلف من ‪ 3‬خاانت وهو ‪ ،∑3∑2∑1‬نالحظ محل اخلرج النهائي ‪ Cout‬أصبح اخلانة األكثر أمهية ‪ MSB‬من‬
‫انتج اجلمع‪.‬‬

‫الشكل العام جلمع عددين كل منها خبانتني ‪2-Bit‬‬

‫‪2-bit numbers‬‬ ‫الشكل (‪ )7-6‬دائرة اجلامع الثنائي لعدد مجع عددين ثنائيني خبانتني‬

‫‪208‬‬
‫أساسيات النظم الرقمية‬

‫الحظ أيضاً أنه ميكن بسهولة زايدة عدد خاانت اجلامع متعدد اخلاانت بزايدة عدد اجلوامع الكاملة‪ ،‬حبيث نستطيع تصميم‬
‫جامع أبي عدد من اخلاانت‪ .‬على سبيل املثال الشكل (‪ )8-6‬يظهر عملية مجع العدد ‪ 101‬مع العدد ‪ ،011‬حيث نالحظ أنه مت‬
‫استخدام ثالث دوائر جامع كامل‪.‬‬

‫الشكل (‪ )8-6‬اجلامع الثنائي لعدد ‪ 101‬مع العدد ‪،011‬‬

‫خاانت ‪Four-Bit Parallel Adders‬‬ ‫‪ 1-4-1-2‬اجلامع الثنائي التفرعي أبربع‬


‫ميكن مجع جمموعة مؤلفة من أربع خاانت (نبل ‪ (nibble‬مع بعضها يف دائرة واحدة‪ ،‬حيث توجد دائرة اجلامع الثنائي‬
‫التفرعي أبربع خاانت ‪ 4- Bit Binary Adder‬على شكل دائرة متكاملة واحدة ‪ IC Parallel Adder‬حتمل الرقم ‪،74HC283‬‬
‫وحتتوي كل دائرة متكاملة على أربعة جوامع كاملة‪ ،‬حيث توصل اخلاانت األقل أمهية ‪ LSB‬من كل عدد يف أقصي ميني اجلوامع‪،‬‬
‫واخلاانت األكثر أمهية ‪ MSB‬توصل إىل أقصى اليسار يف اجلوامع‪ ،‬خانة محل اخلرج ‪ Cout‬لكل جامع توصل حلمل الدخل ‪Cin‬‬
‫للجامع التايل‪ ،‬كما يف الشكل (‪ )9-6‬الذي يظهر املخطط املنطقي هلذه الدائرة‪ ،‬والشكل (‪ )10-6‬يظهر الرمز املنطقي‪ ،‬مع‬
‫خمطط توزع األرجل (البنات)‪.‬‬

‫‪4- Bit Binary Adder‬‬ ‫الشكل (‪ )9-6‬املخطط املنطقي ‪ Block diagram‬لدائرة اجلامع الثنائي التفرعي أبربع خاانت‬

‫‪209‬‬
‫أساسيات النظم الرقمية‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )10-6‬حيث (‪ )a‬الرمز املنطقي ‪ ،Logic symbol‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪ )b‬لدائرة‬
‫‪74HC283‬‬ ‫اجلامع الثنائي التفرعي أبربع خاانت ‪ ،4- Bit Binary Adder‬اليت حتمل الرقم‬

‫ميكن ربطات وحدات جامع صغرية لبناء جامع أكرب مثالً إذا قمنا بربط وحديت جامع ذو أربعة خاانت حنصل على جامع‬
‫ذو مثانية خاانت (ابيت)‪ ،‬كما هو موضح يف الشكل (‪ ،)11-6‬أي أننا جيب أن نقوم برتحيل احلمل اخلارج )‪ (Carry out‬من‬
‫الوحدة األوىل و إدخاله كحمل داخل )‪ (Carry in‬إىل الوحدة الثانية‪.‬‬

‫الشكل (‪ )11-6‬ربط جامعني أبربع خاانت‪ 4- Bit Binary Adder‬لتوسيع عدد خاانت اجلمع للعديني اجملموعني‬

‫‪210‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫اظهر كيف ميكن ربط جامعني أبربع خاانت ‪ 4- Bit Binary Adder‬جلمع العددين التاليني‪ ،‬حيث كل منهما بـ ـ ‪ 8‬خاانت‪ ،‬لبناء‬
‫جامع تفرعي بثمان خاانت ‪:8-bit parallel adder‬‬

‫‪ A8A7A6A5A4A3A2A1 = 10111001‬مع ‪B8B7B6B5B4B3B2B1 = 10011110‬‬

‫يتم الربط كما هو موضح ابلشكل (‪ )12-6‬حيث نستخدم اثنان من دائرة اجلامع الثنائي أبربع خاانت ‪،74HC283‬‬
‫وتكون عملية الوصل بينهما عن طريق محل اخلرج النب التاسع )‪ carry output (pin 9‬للجامع ذو الرتتيب األقل‪ ،‬مع محل الدخل‬
‫النب السابع )‪ carry input (pin 7‬للجامع ذو الرتتيب األعلى‪ ،‬والنب السابع للجامع ذو الرتتيب األقل مع األرضي حيث ال يوجد‬
‫محل دخل‪.‬‬

‫اجملموع لعددين ثنائيني بثمان خاانت يكون‬

‫الشكل (‪ )12-6‬مجع عددين ثنائيني بثمان خاانت ابستخدام دوائر جوامع أبربع خاانت‬

‫مالحظة‬
‫تستخدم معظم احلواسيب عملية اجلمع مع املتمم إلجراء عملية الطرح‪ ،‬أي تتم بتحويل عملية الطرح إىل اجلمع مع سالب العدد‬
‫املطروح‪.‬‬

‫‪211‬‬
‫أساسيات النظم الرقمية‬

‫‪Comparators‬‬ ‫‪ 2-2‬املقارانت‬
‫العمل الرئيس لدوائر املقارانت ‪ Comparators‬هو مقارنة مقدار كميتني ثنائيتني لتحديد العالقة بني هاتني الكميتني‪،‬‬
‫وابلتايل حتدد دائرة املقارن إذا كان هناك عددان متساواين أم ال‪ ،‬وميكن حتديد هذا التساوي ابستخدام البوابة اكس نور ‪،XNOR‬‬
‫حيث يعطي خرجها واحد ‪ 1‬إذا كان الدخلني هلا متساويني‪ ،‬وصفر ‪ 0‬يف حال كان الدخلني خمتلفني‪ ،‬الشكل (‪ )13-6‬يوضح‬
‫استخدام بوابة اكس نور ‪ XNOR‬كمقارن مبدخلني ملقارنة خانتني‪.‬‬

‫خاانت الدخل متساوية‬

‫خاانت الدخل غري متساوية‬

‫الشكل (‪ )13-6‬البوابة اكس نور ‪ XNOR‬كمقارن بسيط‬

‫ملقارنة عددين ثنائيني ‪ A, B‬حيتوي كل منهما على خانتني‪ ،‬ابالضافة إىل البوابة اكس نور ‪ XNOR‬اليت حنتاج منها‬
‫اثنان ملقارنة كل خانة من العددين‪ ،‬حنتاج إىل ربط خرج بوابيت اكس نور ‪ XNOR‬إىل مداخل بوابة آند ‪ AND‬اليت تعطي واحد‬
‫‪ HIGH = 1‬يف حال كان العددين متساوايان أي ‪ ،A=B‬أي خرج كل من بوابة البوابة اكس نور ‪ XNOR‬هو واحد‪ ،‬حيث‬
‫اخلاانت األقل أمهية ‪ LSB‬من العددين تقارن على البوابة ‪ ،G1‬واخلاانت األكثر أمهية تقارن على البوابة ‪ ،G2‬الشكل (‪)14-6‬‬
‫يوضح ذلك‪.‬‬

‫الشكل (‪ )14-6‬دائرة مقارنة عددين كل منهما خبانتني وتعطي واحد ‪ HIGH = 1‬يف حال التساوي‬

‫‪212‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫صمم مقارن ملقارنة العددان الثنائيان‪ ،‬العدد ‪ 10‬مع العدد ‪10‬‬

‫الدائرة ابستخدام بوابيت اكس نور ‪ XNOR‬تكون يف الشكل (‪ )15-6‬وهي تعطي واحد ‪ 1‬على خرجها للداللة على أن العددين‬
‫متساواين‬

‫الشكل (‪ )15-6‬دائرة مقارنة العدد ‪ 10‬مع العدد ‪ 10‬وتعطي واحد ‪ 1‬للداللة على تساوي العددين‬

‫ميكن استخدام املفهوم السابق يف تصميم دائرة مقارن‪ ،‬دخلها عبارة عن خانتني ثنائينت نرمز هلما ابلرمز ‪ A,B‬وهلا ثالثة‬
‫خمارج‪ ،‬حيث كل خرج يعطي حالة الدخل فيما إذا كاان متساواين‪ ،‬أو ‪ A‬أكرب من ‪ ،B‬أو ‪ A‬أصغر من ‪ ،B‬الشكل (‪)16-6‬‬
‫يظهر املخطط املنطقي والدائرة بعد استنتاج خمارجها من جدول احلقيقة كما يف اجلدول (‪:)3-6‬‬
‫الدخل‬ ‫الخرج‬
‫‪Input‬‬ ‫‪Output‬‬

‫‪A‬‬ ‫‪B‬‬ ‫‪X‬‬ ‫‪Y‬‬ ‫‪Z‬‬


‫‪A=B B<A B>A‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫جدول احلقيقة لدائرة املقارن يكون كما يلي‪:‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬

‫اجلدول (‪ )3-6‬جدول احلقيقة لدائرة املقارن خبانتني‬

‫من جدول احلقيقة نستطيع احلصول على معادلة كل خرج كما يلي‬

‫‪213‬‬
‫أساسيات النظم الرقمية‬

‫‪Compotator‬‬ ‫الشكل (‪ )16-6‬املخطط املنطقي والصندوقي لدائرة للمقارن‬

‫الدائرة املتكاملة للمقارن حتمل الرقم ‪ ،74HC85‬تكون دائرة مقارنة أبربع خاانت ‪،4-bit magnitude comparator‬‬
‫حيث متلك مثانية مداخل‪ ،‬أربعة مداخل للعدد األول ‪ ،A‬واألربعة األخرى للعدد الثاين ‪ ،B‬وهلا ثالثة خمارج‪ ،‬حيث كل خرج يعطي‬
‫حالة الدخل فيما إذا كاان متساواين‪ ،‬أو ‪ A‬أكرب من ‪ ،B‬أو ‪ A‬أصغر من ‪ ،B‬الشكل (‪ )17-6‬يظهر الرمز املنطقي‪ ،‬مع خمطط‬
‫توزع األرجل (البنات)‪.‬‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )17-6‬حيث (‪ )a‬ميثل الرمز املنطقي ‪ ،Logic symbol‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪)b‬‬
‫للدائرة املتكاملة للمقارن أبربع خاانت ‪ ،4-bit magnitude comparator‬اليت حتمل الرقم ‪74HC85‬‬

‫‪214‬‬
‫أساسيات النظم الرقمية‬

‫الشفرة ‪Decoders‬‬ ‫‪ 3-2‬حملالت‬


‫حملل الشفرة ‪ Decoder‬عبارة عن دائرة منطقية هلا عدد من أطراف الدخل ‪ ،Inputs Lines‬يساوي ‪ n‬وهلا عدة أطراف‬
‫خرج ‪ Output Lines‬يساوي ‪ ،2n‬واحد فقط من هذه املخارج يكون نشطاً ‪ ،Active‬أما بقية أطراف اخلرج تكون غري نشطة‪،‬‬
‫طرف اخلرج النشط تظهر فيه القيمة املنطقية واحد ‪ ،1‬أما بقية أطراف اخلرج غري النشطة فتظهر يف كل منها القيمة املنطقية صفر ‪،0‬‬
‫يتم اختيار طرف اخلرج النشط بواسطة أطراف الدخل للدائرة واليت تسمى أطراف العنوان ‪ Address Lines‬فلكل طرف من أطراف‬
‫اخلرج عنوان ‪ Address‬فريد مييزه‪ ،‬وهذا العنوان عبارة عن شفرة ثنائية ‪ Binary Code‬عندما توضع على أطراف العنوان ينشط طرف‬
‫اخلرج املقابل لذلك العنوان‪.‬‬

‫الغرض األساسي من حملل الشفرة هو كشف وجود تركيبة حمددة من اخلاانت الثنائية (‪ )Bits‬على مداخله‪ ،‬ويُظهر يف‬
‫اخلرج ما يبني على هذا الدخل‪.‬‬

‫‪The Basic Binary Decoder‬‬ ‫‪ 1-3-2‬حملل الشفرة البسيط‬


‫ميكن أن تستخدم بوابة آند ‪ AND‬كمحلل شفرة مبسط‪ ،‬فمثالً افرتض أنك تريد حتديد مىت تظهر احلالة الثنائية ‪1001‬‬
‫على مداخل دائرة رقمية‪ ،‬ولدينا بوابة آند تنتج واحد ‪ 1‬يف حالة كل املداخل حبالة واحد ‪ ،1‬هذا يعين أن نعكس املداخل يف‬
‫الوسط ابستخدام بوابة النفي كما هو موضح ابلشكل (‪.)18-6‬‬

‫‪AND‬‬ ‫الشكل (‪ )18-6‬منطق حتليل الشفرة الثنائية ‪ 1001‬ابستخدام بوابة آند‬

‫نالحظ من الشكل (‪ )a‬أن املعادلة املنطقية حمللل الشفرة يف الشكل (‪ )a‬ميكن كتابتها بتحويل احلاالت إىل مداخل‬
‫‪ ،A0,A1,A2,A3‬وحنصل على املعادلة يف الشكل (‪.)b‬‬
‫لتصميم دائرة حملل الشفرة طرفني إىل أربعة أطراف ‪ ،2-line-to-4-line decoder‬أي له مدخلني ابلتايل عدد خمارجه‬
‫هو أربعة‪ ،‬حنتاج أربعة بوابة آند ‪ ،AND‬الشكل (‪ )19-6‬يظهر املخطط املنطقي والدائرة بعد استنتاج خمارجها من جدول احلقيقة‬
‫(‪:)4-6‬‬

‫‪215‬‬
‫أساسيات النظم الرقمية‬

‫‪ n =2‬عدد املداخل‬ ‫‪2 n =4‬‬ ‫عدد املخارج‬


‫الدخل‬ ‫الخرج‬
‫‪Input‬‬ ‫‪Output‬‬
‫أحد املخارج يكون فعال يف حالة واحد ‪ 1‬فقط‪.‬‬

‫‪A0‬‬ ‫‪A1‬‬ ‫‪D0‬‬ ‫‪D1‬‬ ‫‪D2‬‬ ‫‪D3‬‬


‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫اجلدول (‪ )4-6‬جدول احلقيقة حمللل الشفرة طرفني إىل أربعة أطراف‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪2-line-to-4-line decoder‬‬

‫من جدول احلقيقة ميكننا كتابة التعابري املنطقية خلرج كل بوابة آند ‪ AND‬كما يلي‪:‬‬

‫̅̅̅ = ‪D0‬‬
‫̅̅̅ ‪𝐴0‬‬
‫‪𝐴1‬‬ ‫̅̅̅̅ = ‪D1‬‬
‫‪𝐴0 A1‬‬ ‫̅̅̅ ‪D2 = A0‬‬
‫‪𝐴1‬‬ ‫‪D3 = A1A0‬‬

‫‪2-line-to-4-line decoder‬‬ ‫الشكل (‪ )19-6‬املخطط املنطقي والصندوقي لدائرة حمللل الشفرة طرفني إىل أربعة أطراف‬

‫‪The 4-Bit Decoder‬‬ ‫‪ 2-3-2‬حملل الشفرة أبربع خاانت‬


‫من أجل حملل شفرة أبربع خاانت ‪ ،4-Bit Decoder‬يكون اخلرج بست عشرة ‪ 16‬طرف خرج وحنتاج ست عشرة بوابة‬
‫آند ‪ ،AND‬لذلك هذا النوع من الشائع تسميته حملل شفرة أربع أطراف إىل ست عشرة خط ‪ ،4-line-to-16-line decoder‬أو‬
‫حملل شفرة واحد من ست عشر ‪ ،1-of-16 decoder‬ألنه من أجل أي شفرة معطاة على الدخل يكون أحد املخارج الست‬
‫عشرة فعال‪.‬‬
‫إذا أردان ان نستخدم اخلرج الفعال عند الصفر ‪ 0‬أو ‪ ،LOW‬ميكننا أن نستخدم البوابة انند ‪ NAND‬بدالً من البوابة‬
‫آند ‪ .AND‬يف هذه احلالة يكون أحد أطراف اخلرج حبالة صفر ‪ ،0‬وابقي أطراف اخلرج حبالة واحد ‪ ،1‬ويتم وضع دائرة أو شريط‬
‫صغري علوي (ابر) على الطرف الفعال عند الصفر ‪ 0‬أو ‪.LOW‬‬
‫‪ 24‬عدد املداخل‬ ‫عدد املخارج ‪2n =16‬‬

‫‪216‬‬
‫أساسيات النظم الرقمية‬

‫من أجل حملل الشفرة واحد من‬ ‫‪Decoding Function‬‬ ‫اجلدول (‪ )5-6‬يعرض جدول احلقيقة وتوابع فك التشفري‬
‫ست عشر ‪ 1-of-16 decoder‬والذي يكون فيه اخلرج فعال عند الصفر ‪.0‬‬

‫‪1-of-16 decoder‬‬ ‫اجلدول (‪ )5-6‬جدول احلقيقة حمللل شفرة أبربع خاانت من أجل حملل الشفرة واحد من ست عشر‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )20-6‬حيث الرمز املنطقي ‪ Logic symbol‬يف (‪ ، )a‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪(b‬‬
‫للدائرة املتكاملة حمللل الشفرة واحد من ست عشر ‪ 1-of-16 decoder‬ثنائي إىل عشري ‪ BIN/DEC‬ذات الرقم ‪74HC154‬‬

‫‪217‬‬
‫أساسيات النظم الرقمية‬

‫الدائرة املتكاملة حمللل الشفرة حتمل الرقم ‪ ،74HC154‬تكون دائرة أبربع مداخل ‪ 1, 2, 4, 8‬متثل األوزان الثنائية‬
‫خلاانت الدخل )‪ ،(23222120‬وست عشرة خمرج فعالة عند الصفر ‪ 0‬أو ‪ LOW‬احدها فعال ‪ 1-of-16 decoder‬حيث االسم‬
‫من ثنائي إىل عشري ‪ BIN/DEC‬يشري إىل أن الدخل الثنائي جيعل اخلرج العشري املوافق فعال ‪ active‬الشكل (‪ )20-6‬يظهر‬
‫الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات)‪ ،‬حيث متلك الدائرة مدخلي متكني للشرحية ‪)CS1( chip select input‬‬
‫و(‪ )CS2‬واليت تكون فعالة عن اجلهد املنخفض ‪.LOW‬‬

‫عشري (‪The BCD-to-Decimal Decoder )BCD‬‬ ‫‪ 3-3-2‬حملل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل‬
‫‪BCD-to-decimal‬‬ ‫حملل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪( BCD‬الشفرة )‪ )(8421 code‬إىل عشري‬
‫‪ ،decoder‬حيول كل شفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل مؤشر(تفعيل) لواحد من عشر احتماالت ممكنة للرقم‬
‫العشري‪ ،‬تسمى هذه الدائرة مبحلل الشفرة أربع أطراف إىل عشرة ‪ 4-line-to-10-line decoder‬أو حملل الشفرة واحد من عشرة‬
‫‪.1-of-10 decoder‬‬
‫اجلدول (‪ )6-6‬يظهر قائمة من عشر شفرات من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬لألرقام العشرية من ‪ 0‬حىت ‪ 9‬مع‬
‫توابع فك الشفري املوافقة هلا‪.‬‬

‫اجلدول (‪ )6-6‬جدول احلقيقة حمللل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬لألرقام العشرية من ‪ 0‬حىت ‪9‬‬

‫)‪ )(8421‬إىل عشري ‪BCD-to-decimal‬‬ ‫الدائرة املتكاملة حمللل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪( BCD‬الشفرة‬
‫‪ decoder‬حتمل الرقم ‪ ،74HC42‬تكون دائرة أبربع مداخل ‪ 1, 2, 4, 8‬متثل األوزان الثنائية خلاانت الدخل )‪،(23222120‬‬
‫وعشرة خمارج فعالة عند الصفر ‪ 0‬أو ‪ ،LOW‬حيث االسم من الشفرة العشرية املشفرة ثنائياً إىل عشري ‪ BCD/DEC‬يشري إىل أن‬
‫الدخل ابلشفرة العشرية املشفرة ثنائياً ‪ BCD‬جيعل اخلرج العشري املوافق فعال ‪ ،active‬يظهر الشكل (‪ )21-6‬الرمز املنطقي‬
‫لشرحية هذه الدائرة‪.‬‬

‫‪218‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )21-6‬الدائرة املتكاملة حمللل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل عشري‬
‫‪ BCD-to-decimal decoder‬واليت حتمل الرقم ‪74HC42‬‬

‫‪ 4-3-2‬حملل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل القطع السبع‬


‫‪The BCD-to-7-Segment Decoder‬‬
‫‪BCD-to-‬‬ ‫حملل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪( BCD‬الشفرة )‪ )(8421 code‬إىل مفعل قطع االظهار السبع‬
‫‪ ،7-segment decoder/driver‬يقبل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬على مداخله ويزود بشفرة سباعية مناسبة لقيادة واانرة‬
‫أجهزة شاشة القطع السبع ‪ 7-segment display devices‬النتاج قراءة للرقم العشري املقابل‪ ،‬وهي دائرة أبربع مداخل ‪1, 2, 4,‬‬
‫‪ 8‬متثل األوزان الثنائية خلاانت الدخل )‪ ،(23222120‬وسبعة خمارج فعالة عند الصفر ‪ 0‬أو ‪( ،LOW‬تقابل القطع السبع من ‪ a‬حىت‬
‫‪ ،)g‬حيث االسم من شفرة يب سي دي إىل عشري ‪ BCD/7-seg‬يشري إىل أن الدخل ابلشفرة العشرية املشفرة ثنائياً ‪ BCD‬جيعل‬
‫اخلرج يوافق أحد القطع السبع فعال ‪ ،active‬الشكل (‪ )22-6‬يظهر الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية‬
‫حملل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل مفعل القطع السبع حتمل الرقم ‪.74HC47‬‬
‫‪Ripple‬‬ ‫متلك الدائرة ‪ 74HC47‬ثالثة داخل حتكم واليت تكون فعالة عن اجلهد املنخفض ‪ ،LOW‬وهي املدخل‬
‫‪ Blanking Input, RBI‬وعندما يكون صفر ‪ 0‬تعمل الشرحية يف الوضع العادي‪ ،‬املدخل ‪ Lamp Test, LT‬من أجل اختبار‬
‫‪Blanking Input, BI/Ripple‬‬ ‫القطع السبع أي أهنا تعمل بشكل صحيح‪ ،‬اي اختبار اللمبات(القطع) تعمل أم ال‪ ،‬املدخل‬
‫‪ Blanking Output, RBO‬حينما يكون فعال أي حبالة صفر ‪ 0‬فإن مجيع املخارج تكون حبالة عدم العمل أي واحد ‪ ،1‬ولن‬
‫تضيئ املقاطع املناظرة هلا مهما كان الدخل‪ ،‬واخلط هنا يعمل حبالة دخل‪ ،‬وعندما يعمل يف حالة خرج‪ ،‬يكون صفر ‪ 0‬إذا كانت‬
‫مجيع مداخل الشرحية أصفار‪.‬‬

‫‪219‬‬
‫أساسيات النظم الرقمية‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )22-6‬حيث الرمز املنطقي ‪ Logic symbol‬يف (‪ ،)a‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف‬
‫(‪ (b‬للدائرة املتكاملة حمللل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل مفعل قطع االظهار السبع ‪BCD-to-7-‬‬
‫‪ segment decoder/driver‬واليت حتمل الرقم ‪74HC47‬‬

‫‪ 4-2‬املشفرات ‪Encoders‬‬

‫املشفر ‪ Encoder‬عبارة عن دائرة منطقية توافقية ابألساس تقوم بعمل معاكس لعمل دائرة حملل الشفرة‪ ،‬فهي تقوم بتوليد‬
‫شفرة ثنائية معينة على أطراف اخلرج‪ ،‬وذلك عند تنشيط واحد فقط من أطراف الدخل ‪ ،Active‬أما بقية أطراف اخلرج تكون غري‬
‫نشطة‪ ،‬لذلك إذا كان عدد أطراف اخلرج ‪ Output Lines‬يساوي ‪ ،n‬فإن عدد أطراف الدخل ‪ Inputs Lines‬يساوي ‪2n‬‬
‫يقبل املشفر مستوى نشط على أحد مداخله ميثل رقم ‪ Digit‬مثل رقم عشري أو مثاين‪ ،‬وحيوله خلرج مشفر مثل رقم ثنائي‬
‫أو إىل الشفرة العشرية املشفرة ثنائياً ‪ ،BCD‬واملشفرات تستطيع أيضاً أن تشفر الرموز املختلفة وحروف اهلجاء‪ ،‬عملية التحويل من‬
‫الرموز واألعداد املعتادة إىل الشكل املشفر تدعى عملية التشفري ‪.Encoding‬‬
‫على سبيل املثال لتصميم مشفر له مثانية مداخل وثالثة خمارج ‪ ،8-of-3 line encoder‬يقوم بتحويل األرقام الثمانية إىل‬
‫مكافئها الثنائي‪ ،‬املخطط املنطقي له موضح يف الشكل (‪ ،)23-6‬وجدول احلقيقة يعرض يف اجلدول (‪ )7-6‬التايل‪:‬‬

‫‪220‬‬
‫أساسيات النظم الرقمية‬

‫‪8-of-3 line encoder‬‬ ‫الشكل (‪ )23-6‬املخطط املنطقي لدائرة مشفر مثاين أطراف إىل ثالثة‬

‫الدخل‬ ‫الخرج‬ ‫من جدول احلقيقة جند أن اخلانة األكثر أمهية ‪ MSB‬هي ‪ A2‬تساوي واحد ‪ 1‬عند‬
‫‪Input‬‬ ‫‪Output‬‬
‫األرقام الثمانية من ‪ D4‬إىل ‪ D7‬وابلتايل ميكن كتابة التعبري املنطقي للخانة ‪ A2‬كما يلي‪:‬‬
‫األرقام‬ ‫‪A2‬‬ ‫‪A1‬‬ ‫‪A0‬‬
‫الثمانية‬
‫‪A2 = D4 + D5 + D6 + D7‬‬
‫‪D0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪D1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪A1 ،A0‬‬ ‫ابملثل ميكن كتابة التعبري املنطقي للخانتني الثنائيتني‬
‫‪D2‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪A1 = D2 + D3 + D6 + D7‬‬
‫‪D3‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪A0 = D1 + D3 + D5 + D7‬‬
‫‪D4‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪D5‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪D6‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪D7‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬

‫اجلدول (‪ )7-6‬جدول احلقيقة لدائرة مشفر‬


‫خمارج ‪8-of-3 line encoder‬‬ ‫له مثانية مداخل وثالثة‬

‫اآلن ميكننا متثيل الدائرة املنطقية املطلوبة لتشفري كل رقم مثاين إىل عدد ثنائي ابستخدام التعابري اليت مت استنتاجها‪،‬‬
‫الشكل (‪ )24-6‬يوضح هذه الدائرة مع املخطط املنطقي هلا‪ ،‬حيث تشغيل الدائرة يكون كما يلي‪:‬‬
‫عندما يظهر واحد ‪ 1‬على أحد خطوط الدخل الثمانية‪ ،‬يظهر خرج معني على خطوط اخلرج‪ ،‬فمثالً‪ ،‬إذا كان خط‬
‫الدخل ‪ D6‬يساوي واحد ‪ (1‬على فرض مجيع اخلطوط األخرى تساوي صفر ‪ ،0‬هذا الشرط سوف يضع ‪ 1‬على خطوط اخلرج‬
‫‪ A2‬و ‪ A1‬ويضع صفر ‪ 0‬على اخلرج ‪ ،A0‬والذي هو عبارة عن العدد الثنائي ‪ 110‬املكافئ للعد الثماين ‪.6‬‬

‫‪221‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )24-6‬دائرة مشفر مثاين أطراف إىل ثالثة ‪ 8-of-3 line encoder‬يشفر من مثاين إىل ثنائي‬

‫ثنائياً ‪BCD‬‬ ‫‪ 1-4-2‬املشفر من عشري إىل الشفرة العشرية املشفرة‬


‫‪The Decimal-to-BCD Encoder‬‬
‫هذا النوع من املشفرات له عشرة ‪ 10‬مداخل تقابل األرقام العشرية‪ ،‬وأربعة ‪ 4‬مداخل تقابل الشفرة العشرية املشفرة ثنائياً‬
‫‪ ،BCD‬اجلدول (‪ )8-6‬يوضح العالقة بني كل خانة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬واألرقام العشرية من أجل‬
‫حتليل العمليات املنطقية‪ ،‬على سبيل املثال اخلانة األكثر أمهية ‪ A3‬من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬دائماً واحد ‪ 1‬من أجل‬
‫األرقام العشرية ‪ 8‬أو ‪ ،9‬لذلك ميكن كتابة التعبري من اجله على الشكل التايل‪:‬‬
‫‪A3 = 9 + 8‬‬

‫ثنائياً ‪BCD‬‬ ‫اجلدول (‪ )8-6‬يوضح تشفري كل خانة عشرية من ‪ 0‬حىت ‪ 9‬ابستخدام الشفرة العشرية املشفرة‬

‫من أجل بقية اخلاانت ميكن أن نكتب‬


‫‪A2 = 4 + 5 + 6 + 7‬‬
‫‪A1 = 2 + 3 + 6 +7‬‬
‫‪A0 = 1 + 3 + 5 +7 + 9‬‬

‫‪222‬‬
‫أساسيات النظم الرقمية‬

‫الدائرة املنطقية البسيطة اليت تنفذ تشفري أي رقم عشري إىل الشفرة العشرية املشفرة ثنائياً ‪ ،BCD‬ميكن رمسها حسب‬
‫التعابري السابقة كما يف الشكل (‪ ،)25-6‬فعندما يظهر اجلهد املرتفع ‪ HIGH‬على أحد األرقام العشرية على املداخل‪ ،‬مستوايت‬
‫مناسبة حتصل على خطوط اخلرج األربعة ‪.BCD‬‬

‫ثنائياً ‪BCD‬‬ ‫الشكل (‪ )25-6‬الدائرة املنطقية البسيطة ملشفر من عشري إىل الشفرة العشرية املشفرة‬

‫الشكل (‪ )26-6‬يظهر الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية الدائرة املتكاملة للمشفر ذو األولوية‬
‫حتمل الرقم ‪ ،74HC147‬تكون‬ ‫‪BCD‬‬ ‫‪ decimal-to-BCD priority encoder‬من عشري إىل الشفرة العشرية املشفرة ثنائياً‬
‫دائرة بتسع مداخل وأربعة خمارج فعالة عند الصفر ‪ 0‬أو ‪.LOW‬‬
‫حيث االسم (‪ HPRI/BCD )HPRI means highest value input has priority‬يشري إىل أن هذه الشرحية هي‬
‫شرحية مشفر من عشري إىل الشفرة العشرية املشفرة ثنائياً ‪ ،BCD‬مع خاصية األولوية‪ ،‬مبعىن أنه عند تنشيط أكثر من دخل ىف‬
‫نفس الوقت فإن الدخل ذو األولوية األعلى هو الذي ستظهر شفرته على اخلرج‪.‬‬
‫الشكل (‪ ) 27-6‬ميثل تطبيق عن هذا املشفر حيث لدينا لوحة مفاتيح رقمية متلك عشرة أرقام جيب أن تشفر عن طريق‬
‫معاجلة تنفذ من خالل الدائرة‪ ،‬حيث عندما يتم الضغط على املفتاح يوصل إىل األرضي‪ ،‬واجلهد املنخفض ‪ LOW‬من األرضي‬
‫يطبق على دخل املشفر املوافق‪ ،‬والرقم العشري يشفر إىل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬املوافقة‪.‬‬
‫ميثل الصفر عندما ال يتم الضغط على أي‬ ‫‪BCD‬‬ ‫مفتاح الصفر غري موصل ألن خرج الشفرة العشرية املشفرة ثنائياً‬
‫مفتاح‪.‬‬

‫‪223‬‬
‫أساسيات النظم الرقمية‬

‫(‪)b‬‬ ‫( ‪)a‬‬
‫الشكل (‪ )24-6‬الرمز املنطقي ‪ Logic symbol‬يف (‪ ،)a‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪ (b‬للدائرة‬
‫املتكاملة للمشفر ذو األولوية ‪ decimal-to-BCD priority encoder‬من عشري إىل الشفرة العشرية املشفرة ثنائياً ‪ BCD‬واليت‬
‫‪74HC147‬‬ ‫حتمل الرقم‬

‫ل‬
‫ش‬
‫ك‬
‫ل‬

‫(‬

‫الشكل (‪ )25-4‬مشفر لوحة مفاتيح مبسط‬

‫‪224‬‬
‫أساسيات النظم الرقمية‬

‫)‪Multiplexers (Data Selectors‬‬ ‫‪ 5-2‬الناخب (منتقـي البياانت)‬


‫الناخب أو منتقي البياانت ‪ Multiplexer‬هو عبارة عن دائرة منطقية توافقية تنتقي واحدة من املعلومات أو البياانت‬
‫املنطقية املأخوذة من مصادر متعددة للمرور خالل خط واحد إىل اخلرج‪.‬‬
‫يتكون الناخب من عدة خطوط لدخل البياانت وخط خرج واحد‪ ،‬وله أيضاً خطوط اختيار ‪ select lines‬واليت عن‬
‫طريقها ميكننا اختيار البياانت املراد ارساهلا إىل اخلرج‪ ،‬إذا كان عدد خطوط االختيار هو ‪ n‬فإن خطوط الدخل يكون عددها هو ‪2n‬‬

‫املخطط الصندوقي لدائرة الناخب واليت هلا أربعة مداخل ‪ 1-of-4 data selector/multiplexer‬موضحة يف الشكل‬
‫(‪ ،) 6-26‬حيث نالحظ وجود خطني الختيار البياانت وهي كافية الختيار واحد من األربعة خطوط املوجودة على الدخل‪،‬‬
‫ويرمز للناخب ب ـ ـ ـ ‪.MUX‬‬

‫‪4-to1 MUX‬‬ ‫الشكل (‪ )26-6‬دائرة الناخب واليت هلا أربعة مداخل‬

‫نالحظ من الشكل (‪ )26-6‬أن الدخل الثنائي الذي يوضع على خطي االختيار (‪ )S0 S1‬سيسمح للبياانت املختارة‬
‫من خطوط الدخل ابملرور إىل خط اخلرج‪ ،‬إذا وضعنا الدخل على خطي االختيار حبيث‬
‫‪ S0=0‬و ‪ ،S1=0‬فإن البياانت املوجودة على الدخل ‪ D0‬فقط سوف تظهر على خط اخلرج‪.‬‬
‫‪ S0=1‬و ‪ ،S1=0‬فإن البياانت املوجودة على الدخل ‪ D1‬فقط سوف تظهر على خط اخلرج‪.‬‬
‫و ‪ ،S1=1‬فإن البياانت املوجودة على الدخل ‪ D2‬فقط سوف تظهر على خط اخلرج‪.‬‬ ‫‪S0=0‬‬

‫‪ S0=1‬و ‪ ،S1=1‬فإن البياانت املوجودة على الدخل ‪ D3‬فقط سوف تظهر على خط اخلرج‪.‬‬
‫التعبري املنطقي الذي يعرب عن اخلرج يكون‪:‬‬

‫‪Y = 𝑆̅1 ̅S0 D0 + S̅1 S0 D1 + S1 ̅S0 D2 + S1 S0 D3‬‬

‫وهذه اخلطوات موضحة يف جدول احلقيقة التايل‪:‬‬

‫‪225‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )27-6‬يظهر الدائرة املنطقية املطلوبة لتحقيق جدول‬


‫مداخل االختيار‬ ‫المدخل المختار‬
‫‪Data-Select Inputs‬‬ ‫‪Input Selected‬‬ ‫احلقيقة املوضح جانباً اجلدول (‪ )9-6‬دائرة الناخب واليت هلا أربعة مداخل‬
‫‪S1‬‬ ‫‪S0‬‬ ‫‪Y‬‬ ‫‪ ، 4-to1 MUX‬نالحظ أهنا تكون أبربعة مداخل للبياانت ‪D1 D2 D3‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪D0‬‬ ‫‪ ،D0‬ومدخلني لالختيار ‪ ،S1 S0‬وخرج وحيد ‪.Y‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪D1‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪D2‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪D3‬‬

‫‪4-to1 MUX‬‬ ‫اجلدول (‪ )9-6‬جدول احلقيقة لدائرة الناخب واليت هلا أربعة مداخل‬

‫‪1-of-4 data selector/multiplexer‬‬ ‫الشكل (‪ )27-6‬دائرة الناخب واليت هلا أربعة مداخل وخرج وحيد‬

‫الشكل (‪ )28-6‬يظهر شكل موجة اخلرج مع أشكال موجات الدخل واالختيار عند تطيبق عينة من بياانت الدخل‬
‫وبياانت االختيار على دائرة الناخب واليت هلا أربعة مداخل ‪ ،4-to1 MUX‬ونالحظ مع كل تغري يف احلالة الثنائية لبياانت االختيار‬
‫تظهر حالة دخل معني على اخلرج كما يلي‪:‬‬
‫‪00 =0‬‬ ‫‪D0‬‬ ‫‪out Y‬‬
‫‪01 =1‬‬ ‫‪D1‬‬ ‫‪out Y‬‬
‫‪10 =2‬‬ ‫‪D2‬‬ ‫‪out Y‬‬
‫‪11 =3‬‬ ‫‪D3‬‬ ‫‪out Y‬‬

‫‪226‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )28-6‬أشكال موجة اخلرج والدخل واالختيار عند تطيبق عينة من بياانت الدخل وبياانت االختيار على دائرة الناخب‬
‫واليت هلا أربعة مداخل ‪.4-to1 MUX‬‬
‫ميكن استخدام أكثر من انخب إبمكانيات أقل للحصول على انخب إبمكانيات أعلى‪ ،‬فمثال ميكن احلصول على انخب‬
‫واحد من مثانية ابستخدام اثنان من النواخب كل منهما واحد من أربعة‪ ،‬على أن يكون لكل منهما طرف متكني ‪،Enable, En‬‬
‫وخرجي النواخب تدخل على بوابة أور ‪.OR‬‬
‫يعترب الناخب دائرة عامة ‪ universal circuit‬مبعىن أنه ميكن اشتقاق الكثري من الدوائر األخرى من هذه الدائرة‪.‬‬
‫الشكل (‪ )29-6‬يظهر الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية الدائرة املتكاملة للناخب أو منتقي‬
‫البياانت ‪ MUX/Multiplexer‬حتمل الرقم ‪ ،74HC153‬حتتوي شرحية هذه الدائرة على اثنني من النواخب كل منهما واحد من‬
‫أربعة ‪ ،1-of-4 data selector/multiplexer‬األول خرجه ‪ ،Y1‬ومداخله من ‪ 1I0‬حىت ‪ ،1I3‬والثاين خرجه ‪ ،Y2‬ومداخله من‬
‫‪ 2I0‬حىت ‪ ،2I3‬ولكل منهما خط متكمني فعال عند الصفر ‪ 0‬أو ‪ ،LOW‬وهي ‪ 1G‬و ‪.2G‬‬
‫الشكل (‪ )30-6‬يظهر الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية الدائرة املتكاملة للناخب أو منتقي‬
‫البياانت ‪ MUX/Multiplexer‬حتمل الرقم ‪ ،74HC151‬حتتوي شرحية هذه الدائرة انخب واحد يكون من نوع واحد من مثانية‬
‫‪ ،eight-input data selector/multiplexer‬مداخله من ‪ D0‬حىت ‪ ،D7‬وله ثالثة خطوط اختيار ‪ S0, S1, S2‬وخط متكمني‬
‫واحد‪ En/Enable‬فعال عند الصفر ‪ 0‬أو ‪ ،LOW‬وهلا خرجان األول ‪ Y‬يعمل على اجلهد املرتفع واحد ‪ 1‬أو ‪ ،HIGH‬والثاين‬
‫عكسه أو متممه ويعمل على اجلهد املنخفض الصفر ‪ 0‬أو ‪ ،LOW‬وعندما يكون خط التفعيل غري فعال أي واحد ‪ 1‬فإن ‪Y=0‬‬
‫و ‪ ،W=1‬أي كل منهما يكون غري فعال مهما كان الدخل‪.‬‬

‫‪227‬‬
‫أساسيات النظم الرقمية‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )29-6‬الرمز املنطقي ‪ Logic symbol‬يف (‪ ،)a‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪ (b‬للدائرة‬
‫املتكاملة للناخب أو منتقي البياانت ‪ Multiplexer‬حتتوي شرحية هذه الدائرة على اثنني من النواخب كل منهما واحد من أربعة‬
‫‪74HC153‬‬ ‫‪،1-of-4 data selector/multiplexer‬وحتمل الرقم‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )30-6‬الرمز املنطقي ‪ Logic symbol‬يف (‪ ،)a‬مع خمطط توزع األرجل (البنات) ‪ Pin diagram‬يف (‪ (b‬للدائرة‬
‫املتكاملة للناخب واحد من مثانية ‪ 8-input data selector/multiplexer‬وحتمل الرقم ‪74HC151‬‬

‫‪228‬‬
‫أساسيات النظم الرقمية‬

‫)‪Demultiplexes (DMUX‬‬ ‫‪ 6-2‬موزع البياانت‬


‫موزع البياانت ‪ Demultiplexes‬هو عبارة عن دائرة منطقية توافقية تؤدي عكس عمل دائرة الناخب أو منتقي البياانت‬
‫فهو أيخذ البياانت من خط دخل واحد مث يقوم بتوزيعها على عدد من خطوط اخلرج‪.‬‬
‫يتكون املوزع من خط دخل وحيد وعدة خطوط خرج‪ ،‬وله أيضاً خطوط اختيار ‪ select lines‬واليت عن طريقها ميكننا‬
‫ارسال البياانت املوجودة على خط الدخل إىل أحد خطوط اخلرج‪ .‬إذا كان عدد خطوط االختيار هو ‪ n‬فإن خطوط اخلرج يكون‬
‫عددها هو ‪.2n‬‬
‫)‪1-line-to-4-line demultiplexer (DEMUX‬‬ ‫املخطط الصندوقي لدائرة املوزع واليت هلا أربعة خمارج ودخل وحيد‬
‫موضحة يف الشكل (‪ ،)31-6‬حيث نالحظ وجود خطني الختيار البياانت وهي كافية الختيار واحد من األربعة خطوط‬
‫املوجودة على اخلرج‪ ،‬ويرمز للناخب ب ـ ـ ـ ‪.DEMUX‬‬

‫‪1-line-to-4-line demultiplexer‬‬ ‫الشكل (‪ )31-6‬دائرة املوزع واليت هلا أربعة خمارج‬

‫نالحظ من الشكل (‪ )31-6‬أن الدخل الثنائي الذي يوضع على خطي االختيار (‪ )S0 S1‬سيسمح للبياانت على خط‬
‫الدخل ‪ I‬ابملرور إىل أحد خطوط اخلرج‪ ،‬إذا وضعنا الدخل على خطي االختيار حبيث‬
‫‪D0‬‬ ‫‪ S0=0‬و ‪ ،S1=0‬فإن البياانت املوجودة خط الدخل ‪ I‬سوف تظهر على خط اخلرج‬
‫‪D1‬‬ ‫‪ S0=1‬و ‪ ،S1=0‬فإن البياانت املوجودة خط الدخل ‪ I‬سوف تظهر على خط اخلرج‬
‫‪D2‬‬ ‫و ‪ ،S1=1‬فإن البياانت املوجودة خط الدخل ‪ I‬سوف تظهر على خط اخلرج‬ ‫‪S0=0‬‬

‫‪D3‬‬ ‫‪ S0=1‬و ‪ ،S1=1‬فإن البياانت املوجودة خط الدخل ‪ I‬سوف تظهر على خط اخلرج‬

‫وهذه اخلطوات موضحة يف جدول احلقيقة يف اجلدول (‪ )10-6‬التايل‪:‬‬

‫‪229‬‬
‫أساسيات النظم الرقمية‬

‫مداخل االختيار‬ ‫الخرج‬


‫‪Data-Select Inputs‬‬ ‫‪Output‬‬ ‫الشكل (‪ )32-6‬يظهر الدائرة املنطقية املطلوبة‬
‫‪S1‬‬ ‫‪S0‬‬ ‫‪D0‬‬ ‫‪D1‬‬ ‫‪D2‬‬ ‫‪D3‬‬
‫لتحقيق جدول احلقيقة املوضح‪ ،‬نالحظ أهنا تكون بدخل بياانت‬
‫‪0‬‬ ‫‪0‬‬ ‫‪I‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫واحد ومدخلني لالختيار ‪ ،S1 S0‬وأربعة خمارج ‪.D0 D1 D2 D3‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪I‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪I‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪I‬‬

‫اجلدول (‪ )10-6‬جدول احلقيقة لدائرة املوزع واليت هلا أربعة خمارج‬


‫‪1-line-to-4-line demultiplexer‬‬

‫من جدول احلقيقة ميكننا استنتاج التعابري املنطقية لكل خرج بداللة خط الدخل وخطوط االختيار‪ ،‬البياانت على خط‬
‫اخلرج ‪ D0‬تكون هي نفس البياانت على خط الدخل ‪ I‬فقط إذا كان ‪ S0=0‬و ‪:S1=0‬‬
‫‪D0 = I 𝑆̅0 𝑆̅1‬‬

‫‪S1=0‬‬ ‫تكون هي نفس البياانت على خط الدخل ‪ I‬فقط إذا كان ‪ S0=1‬و‬ ‫‪D1‬‬ ‫البياانت على خط اخلرج‬
‫̅̅̅ ‪D1 = I‬‬
‫‪𝑆0 S1‬‬
‫‪S1=1‬‬ ‫البياانت على خط اخلرج ‪ D2‬تكون هي نفس البياانت على خط الدخل ‪ I‬فقط إذا كان ‪ S0=0‬و‬
‫‪D2 = I S0 𝑆̅1‬‬

‫‪S1=1‬‬ ‫تكون هي نفس البياانت على خط الدخل ‪ I‬فقط إذا كان ‪ S0=1‬و‬ ‫‪D3‬‬ ‫البياانت على خط اخلرج‬
‫‪D3 = I S1S0‬‬

‫‪1-line-to-4-line demultiplexer‬‬ ‫الشكل (‪ )32-6‬دائرة املوزع واليت هلا مدخل وحيد وأربعة خمارج‬

‫‪230‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )33-6‬يظهر شكل موجة اخلرج مع أشكال موجات الدخل واالختيار عند تطيبق دخل تسلسلي وبياانت‬
‫االختيار على دائرة املوزع واليت هلا أربعة خمارج ‪ 1-line-to-4-line DMUX‬ونالحظ مع كل تغري يف احلالة الثنائية لبياانت‬
‫االختيار يتم السماح للدخل ابملرور إىل خرج حمدد كما يلي‪:‬‬

‫الشكل (‪ )33-6‬أشكال موجة اخلرج والدخل واالختيار عند تطيبق دخل سلسلة من البياانت خط الدخل الوحيد وبياانت‬
‫االختيار على دائرة املوزع واليت هلا أربعة خمارج ‪1-line-to-4-line DMUX‬‬

‫‪231‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪ )11-6‬التايل يلخص الدوائر املتكاملة اليت مت عرضها سابقاً‪ ،‬مع أرقامها وحمتوايهتا‬

‫رقمها‬ ‫نوع الدائرة املتكاملة‬

‫‪74HC283‬‬ ‫‪4- Bit Binary Adder‬‬ ‫اجلامع الثنائي التفرعي أبربع خاانت‬

‫‪74HC85‬‬
‫‪4-bit magnitude‬‬ ‫املقارن أبربع خاانت‬
‫‪comparator‬‬

‫‪74HC154‬‬ ‫‪1-of-16 decoder‬‬ ‫حمللل الشفرة واحد من ست عشر ثنائي إىل عشري‬
‫‪BIN/DEC‬‬

‫‪BCD-to-decimal decoder‬‬ ‫حملل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل‬


‫‪74HC42‬‬
‫عشري‬

‫‪BCD-to-7-segment‬‬ ‫حمللل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪ BCD‬إىل‬


‫‪74HC47‬‬
‫‪decoder/driver‬‬
‫قطع االظهار السبع‬

‫‪74HC147‬‬ ‫‪decimal-to-BCD priority‬‬ ‫ثنائياً ‪BCD‬‬ ‫املشفر من عشري إىل الشفرة العشرية املشفرة‬
‫‪encoder‬‬

‫‪74HC153‬‬
‫‪1-of-4 data‬‬ ‫الناخب أو منتقي البياانت واحد من أربعة‬
‫‪selector/multiplexer‬‬

‫‪74HC151‬‬
‫‪8-input data‬‬ ‫الناخب أو منتقي البياانت واحد من مثانية‬
‫‪selector/multiplexer‬‬

‫اجلدول (‪ )11-6‬الدوائر املتكاملة اليت مت عرضها يف هذا الفصل‬

‫‪232‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬ما هو العدد الالزم من دوائر اجلامع النصفي جلمع العددين العشريني ‪ 10‬و ‪ . 11‬ارسم الدائرة الناجتة‪.‬‬

‫‪ -2‬ما هو العدد الالزم من دوائر اجلامع النصفي جلمع العددين الثنائيني ‪ 10‬و ‪ . 11‬ارسم الدائرة الناجتة‪.‬‬

‫‪ -3‬ما هو العدد الالزم من دوائر اجلامع الكامل جلمع العددين الثنائيني ‪ 101‬و ‪ . 100‬ارسم الدائرة الناجتة‪.‬‬

‫‪ -4‬وضح طريقة ربط وحدات حملل شفرة من نوع اثنان ‪ 2‬إىل أربعة ‪ 2-line-to-4-line decoder 4‬لبناء حملل شفرة من‬
‫نوع أربعة ‪ 4‬من ست عشرة ‪.4-line-to-16-line decoder ،16‬‬
‫‪ -5‬وضح طريقة ربط بناء حملل شفرة من نوع ثالثة ‪ 3‬إىل مثانية ‪ 3-line-to-8-line decoder ،8‬ابستخدام وحدات‬
‫حملل شفرة من نوع واحد ‪ 1‬من اثنان ‪1-line-to-2-line decoder 2‬‬

‫‪ -6‬اشرح املخطط املنطقي وجدول احلقيقة‪ُ ،‬ث اكتب التعابري املنطقية وارسم الدائرة املنطقية ملشفر من نوع مثانية إىل ثالثة ‪3‬‬
‫)‪.(8-to-3 Encoder‬‬
‫‪ -7‬اشرح املخطط املنطقي وجدول احلقيقة‪ُ ،‬ث اكتب التعابري املنطقية وارسم الدائرة املنطقية لناخب من نوع مثانية ‪ 8‬إىل‬
‫واحد ‪.(8-to-1 Multiplexer) 1‬‬

‫‪ -8‬اشرح املخطط املنطقي وجدول احلقيقة‪ُ ،‬ث اكتب التعابري املنطقية وارسم الدائرة املنطقية ملوزع من نوع واحد ‪ 1‬إىل مثانية‬
‫‪.(1-to-8 Dmultiplexer) 8‬‬

‫‪ -9‬صمم دائرة منطقية تعطي يف خرجها واحد ‪ 1‬عند وجود أحد الشفرات التالية على الدخل (‪،1001 ،1100 ،1010‬‬
‫‪.)0000‬‬

‫‪ -10‬ارسم طريقة تشفري كل من الشفرات التالية (‪.)11001100 ،1100 ،101010 ،111‬‬

‫‪ -11‬صمم دائرة حلل شفرة من نوع اثنان ‪ 2‬إىل أربعة ‪ 2-line-to-4-line decoder 4‬مرة ابستخدام بواابت آند‬
‫‪ AND‬فقط‪ ،‬ومرة أخرى ابستخدام انند ‪ NAND‬فقط‪.‬‬

‫‪ – 12‬بني كيف ميكن استخدام الناخب واحد ‪ 1‬من مثانية ‪ 8‬لبناء املعادلة التالية‪:‬‬

‫𝐀 =‪Y‬‬
‫̅̅ ̅‬
‫𝐀 ‪𝐁̅̅C +‬‬
‫̅̅ ‪̅ 𝑩𝑪 + ABC + A‬‬
‫̅̅̅ ̅̅𝐁‬
‫𝐂‬

‫‪ – 13‬صمم دائرة اجلامع الكامل ابستخدام بواابت انند ‪ NAND‬فقط‪.‬‬

‫‪233‬‬
‫أساسيات النظم الرقمية‬

‫‪ – 14‬وضح ابلرسم كيف ميكن استخدام شرحيتني ‪ 7483‬جلمع عددين كل منهما بثمان خاانت ‪ 8‬بت‪.‬‬

‫‪ -15‬ارسم دائرة ملقارنة عددين كل منهما ابثنا عشرة ‪ 12‬بت‪ ،‬يكون هلا ثالث ‪ 3‬خمارج أكرب من >‪ ،‬أصغر من <‪ ،‬أو‬
‫يساوي = ابستخدام شرحية الدائرة املتكاملة للمقارن ‪.74HC85‬‬

‫‪ -16‬صمم دائرة لطرح عددين ثنائيني كل منهما خبانتني‪.‬‬

‫‪ -17‬صمم دائرة مقارنة عددين يشغل اللون األمحر إذا ‪ ،A > B‬ويشغل الضوء األخضر إذا ‪.A ≤ B‬‬

‫‪ -18‬صمم دائرة منطقية مؤلفة من خمرجني ‪ ،‬حيث كل منهما مؤلف من ‪4 bit‬‬

‫األول على ‪ led‬ضوئي أمحر يتم إشعال ال ‪ led‬األمحر إذا كان ‪ A>B‬و ‪A>C‬‬

‫والثاين على ‪ led‬ضوئي أخضر يتم إشعال ال ‪ led‬األخضر إذا كان ‪ A>B‬أو ‪A>C‬‬

‫‪ -19‬صمم التابع التايل ‪:‬‬


‫)𝟒 ‪F = ∑ 𝒎(𝟎, 𝟐, 𝟑,‬‬
‫ابستخدام نواخب ‪8 to 1‬‬

‫‪ -20‬صمم دائرة منطقية دخلها عبارة عن عددين ثنائيني كل منهما مكون من خانتني ثنائيتني ويكون خرجها مساو ‪ 1‬إذا‬
‫كان جداء العددين فردايً وأصغر من ‪ 5‬أو زوجياً وأكرب أو يساوي ‪ 5‬مع رسم املخطط املنطقي للدائرة‪.‬‬

‫‪AND OR NOT‬‬ ‫‪ )1‬بواابت منطقية أساسية‬

‫‪ )2‬بواابت ‪NAND‬‬

‫‪ )3‬بواابت ‪NOR‬‬

‫‪ )4‬نواخب ‪ ( 8 to 1‬ثالث خطوط اختيار )‬

‫‪ )5‬نواخب ‪ ( 4 to 1‬خطي اختيار )‬

‫‪ -21‬ابستخدام بواابت آند ‪ AND‬وبواابت النفي ‪ NOT‬ارسم دائرة املشفر املنطقي للشفرات التالية‪:‬‬

‫(‪)101010 -11110 – 1110110 – 0001 – 1101‬‬

‫‪ -22‬ابستخدام بواابت آند ‪ AND‬وبواابت النفي ‪ NOT‬ارسم دائرة املشفر املنطقي للشفرات التالية‪:‬‬

‫‪ -23‬ابلرجوع إىل دائرة املشفر من مثاين إىل ثنائي املدروسة سابقاً‪ ،‬ما هي شفرة اخلرج الثنائية إذا كان الدخل ‪.D5=1‬‬

‫‪234‬‬
‫أساسيات النظم الرقمية‬

‫‪ -24‬الدائرة يف الشكل (‪ )34-6‬تظهر دائرة جامع – طارح أبربع خاانت لألع رداد (حيث جتمع األرقام املوجبة بشكلها‬
‫الصحيح‪ ،‬والسالبة بشكلها املتمم) ‪.4-bit circuit that can add or subtract numbers‬‬
‫‪ -1‬اشرح ما حيدث عندما يكون اخلط ‪ Add/Sub‬حبالة اجلهد املرتفع ‪.HIGH‬‬
‫‪ -2‬اشرح ما حيدث عندما يكون اخلط ‪ Add/Sub‬حبالة اجلهد املرتفع ‪.HIGH‬‬

‫الشكل (‪)34-6‬‬

‫‪ -25‬الدائرة يف الشكل (‪ )35-6‬تظهر أشكال موجات تطبق على جامع خبانتني ‪ ،2-bit adder‬حدد أشكال موجة‬
‫اخلرج للمجموع وحلمل اخلرج‪.‬‬

‫الشكل (‪)35-6‬‬

‫‪ -26‬ابلرجوع إىل دائرة الناخب ما هي قيمة اخلرج لقيم املدخالت التالية‪:‬‬

‫‪235‬‬
‫أساسيات النظم الرقمية‬

‫‪D0 = 0, D1 = 1, D2 = 1, D3 = 0, S0 = 1, S1 = 0‬‬
‫‪ -27‬أشكال املوجات يف الشكل (‪ )36-6‬تطبق على دائرة املقارن كما هو موضح‪ ،‬حدد شكل موجة اخلرج (‪.)A=B‬‬

‫الشكل (‪)36-6‬‬

‫‪ -28‬إذا كان خرج صفر ‪ 0‬يظهر على كل بواابت التشفري يف الشكل (‪ ،)37-6‬ما هي الشفرة الثنائية اليت تظهر على‬
‫املداخل‪ ،‬علماً أن اخلانة األكثر أمهية هي ‪.A3‬‬

‫الشكل (‪)37-6‬‬

‫‪ -29‬صمم دائرة تكتشف وجود الشفرات التالية على خرجها إبظهار القيمة واحد على خرجها الوحيد‪:‬‬

‫‪236‬‬
‫أساسيات النظم الرقمية‬

‫‪1010, 1100, 0001, 1011‬‬


‫‪ -30‬صمم دائرة منطقية تقوم بكشف وجود الشفرات التالية على خرجها الوحيد بوضع هذا اخلرج حبالة واحد ‪:1‬‬

‫‪ ،1011 0001 1100 1010‬ومن أجل شفرات أخرى يكون اخلرج حبالة صفر ‪.0‬‬

‫‪ -31‬إذا طبقت أشكال املوجة على منطق تشفري كاملوضح ابلشكل (‪ ،)38-6‬ارسم شكل موجة اخلرج‪.‬‬

‫الشكل (‪)38-6‬‬

‫‪ -32‬إذا طبقت أشكال املوجة املوضحة يف الشكل (‪ ،)39-6‬على حملل الشفرة من الشفرة العشرية املشفرة ثنائياً ‪BCD‬‬
‫(الشفرة )‪ )(8421 code‬إىل قطع االظهار السبع ‪ ،BCD-to-7-segment decoder/driver‬ما هو تسلسل األرقام‬
‫اليت سوف تظهر على الشاشة‪.‬‬

‫الشكل (‪)39-6‬‬

‫‪ -33‬إذا كانت دائرة املشفر ‪ 74HC147‬متلك احلالة صفر ‪ 0‬على األطراف ‪ 2‬و ‪ 5‬و ‪ ،12‬ماهي شفرة يب سي دي‬
‫‪ BCD‬اليت تظهر على املخارج إذا كانت بقية املداخل حبالة واحد ‪.1‬‬

‫‪237‬‬
‫أساسيات النظم الرقمية‬

‫‪ -34‬من أجل دائرة الناخب يف الشكل (‪ )40-6‬حدد اخلرج من أجل املداخل التالية‪:‬‬
‫‪D0 = 1, D1 = 0, D2 = 0, D3 = 1, S0 = 0, S1 = 1‬‬

‫الشكل (‪)40-6‬‬

‫‪ -35‬من أجل دائرة الناخب يف الشكل (‪ )40-6‬حدد أشكال موجة اخلرج من أجل أشكال املوجة يف الشكل (‪،)41-6‬‬
‫من أجل نفس بياانت الدخل‪.‬‬

‫الشكل (‪)41-6‬‬

‫‪-36‬اذا كان دخل دائرة اجلامع الكامل يساوي‬


‫‪B = 10010011‬‬ ‫‪A = 10110111‬‬ ‫‪Cin = 10100011‬‬
‫فأوجد انتج اجملموع ‪ Σ‬و احلمل ‪ Cout‬مع رسم أشكال موجة اخلرج هلما ؟‬

‫‪-37‬صمم دائرة ضرب رقمي ثنائيني خبانة واحدة علماً أن قواعد الضرب هي‬

‫‪0×0 = 0‬‬ ‫‪1×0 = 0‬‬ ‫‪1×1 = 1‬‬

‫اكتب جدول احلقيقة والتعبري املنطقي للخرج‪.‬‬

‫‪238‬‬
‫أساسيات النظم الرقمية‬

‫‪ -38‬من أجل جدول احلقيقة التايل صمم التابع املنطقي للخرج ابستخدام دائرة انخب البياانت اليت حتمل الرقم‬
‫‪.74HC151 data selector‬‬

‫‪239‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪Logic Circuit‬‬
‫‪215‬‬ ‫‪Decoder‬‬ ‫حملل الشفرة‬ ‫‪203‬‬ ‫تصميم الدوائر املنطقية‬
‫‪Design‬‬
‫‪Combinational‬‬
‫‪215‬‬ ‫‪Binary Code‬‬ ‫شفرة ثنائية‬ ‫‪203‬‬ ‫الدائرة املنطقية التوافقية‬
‫‪Logic Circuit‬‬
‫حملل الشفرة طرفني إىل‬
‫‪215‬‬
‫‪2-Line-to-4-Line‬‬
‫‪203‬‬
‫‪Integrated Circuits‬‬
‫الدوائر املتكاملة‬
‫‪Decoder‬‬ ‫أربعة أطراف‬ ‫)‪(IC‬‬

‫‪The Half-Adder‬‬
‫‪215‬‬ ‫‪Output Lines‬‬ ‫أطراف اخلرج‬ ‫‪204‬‬ ‫اجلامع النصفي‬
‫)‪(HA‬‬
‫‪215‬‬ ‫‪Address Lines‬‬ ‫أطراف العنوان‬ ‫‪205‬‬ ‫)‪Full-Adder (FA‬‬ ‫اجلامع الكامل‬

‫‪215‬‬ ‫‪Inputs Lines‬‬ ‫أطراف الدخل‬ ‫‪205‬‬ ‫‪Input Carry‬‬ ‫محل الدخل‬

‫‪216‬‬ ‫‪4-Bit Decoder‬‬ ‫حملل شفرة أبربع خاانت‬ ‫‪205‬‬ ‫‪Output Carry‬‬ ‫محل اخلرج‬
‫حملل شفرة واحد من‬
‫‪216‬‬ ‫‪1-of-16 Decoder‬‬ ‫‪208‬‬ ‫‪2-Bit Numbers‬‬ ‫أع رداد ثنائية خبانتني‬
‫ست عشر‬
‫‪Parallel Binary‬‬
‫‪217‬‬ ‫‪Decoding Function‬‬ ‫توابع فك التشفري‬ ‫‪208‬‬ ‫اجلامع الثنائي التفرعي‬
‫‪Adders‬‬
‫جامع ثنائي أبربع‬
‫‪218‬‬ ‫‪Chip Select Input‬‬ ‫مدخل متكني الشرحية‬ ‫‪209‬‬ ‫‪4- Bit Binary Adder‬‬
‫خاانت‬

‫‪BCD-to-Decimal‬‬ ‫حملل الشفرة العشرية‬


‫‪218‬‬ ‫‪210‬‬ ‫‪Logic Symbol‬‬ ‫الرمز املنطقي‬
‫‪Decoder‬‬ ‫املشفرة ثنائياً لعشري‬
‫حملل الشفرة واحد من‬
‫‪218‬‬ ‫‪1-of-10 Decoder‬‬ ‫‪210‬‬ ‫‪Pin Diagram‬‬ ‫خمطط توزع األرجل‬
‫عشرة‬
‫حملل الشفرة العشرية‬
‫‪219‬‬
‫‪BCD-to-7-Segment‬‬
‫‪Decoder/Driver‬‬
‫املشفرة ثنائياً‬ ‫‪212‬‬ ‫‪Comparators‬‬ ‫املقارن‬
‫ملفعل قطع االظهار السبع‬
‫مقارن كمية من أربع‬
‫‪220‬‬ ‫‪Encoder‬‬ ‫املشفر‬ ‫‪214‬‬
‫‪4-Bit Magnitude‬‬
‫‪Comparator‬‬ ‫خاانت‬

‫‪240‬‬
‫أساسيات النظم الرقمية‬

‫‪1-of-4 Data‬‬
‫‪225‬‬ ‫‪Selector/‬‬ ‫الناخب أبربعة مداخل‬ ‫‪220‬‬ ‫‪Encoding‬‬ ‫عملية التشفري‬
‫‪Multiplexer‬‬
‫مشفر بثمانية مداخل‬
‫‪227‬‬ ‫‪Universal Circuit‬‬ ‫دائرة عامة‬ ‫‪220‬‬ ‫‪8-of-3 Line Encoder‬‬
‫وثالثة خمارج‬
‫مشفر من عشري إىل‬
‫‪Demultiplexes‬‬ ‫‪Decimal-to-BCD‬‬
‫‪229‬‬ ‫موزع البياانت‬ ‫‪222‬‬ ‫الشفرة العشرية املشفرة‬
‫)‪(DMUX‬‬ ‫‪Encoder‬‬
‫ثنائياً‬

‫‪1-Line-to-4-Line‬‬ ‫موزع مبدخل وحيد وأربعة‬ ‫‪Multiplexers‬‬ ‫الناخب أو منتقي‬


‫‪230‬‬ ‫‪225‬‬
‫‪Demultiplexes‬‬ ‫خمارج‬ ‫)‪(Data Selectors‬‬ ‫البياانت‬

‫‪241‬‬
‫أساسيات النظم الرقمية‬

‫‪242‬‬
‫أساسيات النظم الرقمية‬

‫الفصل السابع ‪7‬‬


‫املاسكات والقالبات‬
‫‪Latches and Flip-Flops‬‬

‫‪243‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫التفريق ما بني الدوائر املنطقية التوافقية والدوائر املنطقية التعاقبية‪.‬‬
‫معرفة ودراسة دوائر املاسكات وجدول احلقيقة اخلاص هبا‪.‬‬
‫فهم وحتليل مبدأ عمل القالب‪.‬‬
‫معرفة ودراسة وتصميم األنواع الشهرية من القالابت وتوضيح طريقة عملها وهي‪:‬‬
‫معرفة ودراسة دائرة القالب ‪.S-R‬‬
‫معرفة ودراسة دائرة القالب ‪.J-K‬‬
‫معرفة ودراسة دائرة القالب ‪.D‬‬
‫التعرف على أهم خصائص القالابت‪.‬‬
‫فهم جدول احلقيقة وحتليل املخططات الزمنية لدوائر القالابت‪.‬‬
‫التعرف على أهم تطبيقات القالابت‪.‬‬
‫التعرف على أهم شرائح الدوائر املتكاملة ‪ ICS‬للقالابت واملاسكات‪.‬‬

‫‪244‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬مقدمة ‪Introduction‬‬
‫‪Combinational Logic‬‬ ‫درسنا ىف الفصول السابقة الصنف األول من الدوائر الرقمية وهي الدوائر املنطقية التوافقية‬
‫‪ ،Circuit‬هذه الدوائر تتكون من دخل وخرج‪ ،‬حيث يتحدد اخلرج عند أي حلظة ابلدخل املوجود عند هذه اللحظة فقط‪ ،‬أي أنه‬
‫ال يوجد أي نوع من أنواع التغذية املرتدة من اخلرج إىل الدخل‪ ،‬ويعتمد خرج الدائرة فقط على القيم احلالية للدخل‪ ،‬من أمثلة هذه‬
‫الدوائر الىت درسناها دوائر املشفرات وحملالت الشفرة والنواخب واملوزعات ودوائر احلساب وغريها الكثري‪.‬‬
‫سنقوم يف هذا الفصل بدراسة نوع جديد من الدوائر املنطقية الرقمية وهي القالابت ‪ Flip Flops‬أو ثنائية االستقرار‪،‬‬
‫وهي دوائر هلا القدرة على ختزين قيمة منطقية إما واحد "‪ " 1‬أو صفر "‪ " 0‬أي خانة واحدة‪ ،‬لفرتة زمنية تستمر طاملا أن التيار‬
‫الكهرابئي مل ينقطع عن الدائرة‪ ،‬أو مل يتم تغيري هذه القيمة خارجياً‪.‬‬
‫تعترب القالابت من أهم الدوائر املنطقية لتعدد استخداماهتا ولكوهنا الوحدة األساسية يف بناء الدوائر املنطقية التعاقبية‬
‫‪ ،Sequential Logic Circuits‬حيث نقوم يف هذا الفصل بتوضيح بنائها وطريقة عملها مع حتليل جدول احلقيقة واملخطط‬
‫الزمين ألنواعها املختلفة‪ ،‬وأهم تطبيقاهتا‪.‬‬

‫التعاقبية ‪Sequential Logic Circuits‬‬ ‫‪ -2‬الدوائر املنطقية‬


‫تنقسم الدوائر املنطقية إىل نوعني‪:‬‬
‫دوائر منطقية توافقية ‪:Combinational Logic Circuits‬‬
‫يعتمد فيها اخلرج يف أي حلظة زمنية على املدخالت املوجودة يف تلك اللحظة‪ ،‬وحدة البناء األساسية فيها هي‬
‫البواابت املنطقية ‪.Logic Gates‬‬
‫دوائر منطقية تعاقبية ‪:Sequential Logic Circuits‬‬
‫يعتمد فيها اخلرج يف أي حلظة زمنية على املدخالت املوجودة يف تلك اللحظة‪ ،‬وعلى اخلرج السابق للدائرة‪،‬‬
‫ويتميز بوجود ذاكرة‪ ،‬أي توجد لديها قدرة ختزينية أتيت من التغذية املرتدة ‪ Feedback‬حيث أن خرج الدائرة‬
‫يتم أخذه عرب هذه التغذية املرتدة و إدخاله إىل الدائرة مرة أخرى مع متغريات الدخل‪ ،‬وحدة البناء األساسية‬
‫فيها هي دائرة القالب ‪.Flip-Flop Circuit‬‬

‫سوف هنتم يف هذا الفصل بدراسة تصميم دوائر الذاكرة اليت متثل القالابت‪ ،‬ويف الفصل القادم سوف نقدم بعض‬
‫تطبيقات الدوائر املنطقية التعاقبية‪.‬‬

‫‪245‬‬
‫أساسيات النظم الرقمية‬

‫‪Latch Circuits‬‬ ‫‪ 1-2‬دوائر املاسكات‬


‫دائرة املاسك ‪ Latch Circuit‬هو نوع من عناصر التخزين ثنائية االستقرار واليت عادة ما توضع يف تصنيف منفصل عن‬
‫دوائر القالابت‪ ،‬واملاسكات من حيث طبيعة العمل تشبه دوائر القالابت‪ ،‬ألهنا عنصر ثنائي االستقرار ميكن وضعه يف إحدى‬
‫حاليت االستقرار بواسطة نظام التغذية املرتدة ‪ ،Feedback‬والذي يوصل يه اخلرج خلفياً إىل الدخل املعاكس‪ ،‬والفرق الرئيس بني‬
‫املاسكات والقالابت هو الطريقة املستخدمة لتغيري حاليت االستقرار فقط‪.‬‬
‫املاسك ‪ latch‬هو نوع من املهتز ثنائي االستقرار ‪ ،bistable multivibrator‬أي دائرة يستقر خرجها على حالتني‬
‫‪ two states‬الواحد ‪ 1‬أو الصفر ‪ ،0‬يتنقل مهتزاً بينهما حتت أتثري متغريات الدخل‪ ،‬إذاً العمل األساسي للماسك هو عبارة عن‬
‫دائرة منطقية تعمل كعنصر ذاكرة ونقصد به العنصر القادر على اختزان قيمة منطقية ما واحد "‪ " 1‬أو صفر "‪ " 0‬أي خانة‬
‫واحدة لفرتة زمنية تستمر طاملا أن التيار الكهرابئي مل ينقطع عن الدائرة‪ ،‬أو مل يتم تغيري هذه القيمة خارجياً‪ ،‬وتتكون دائرة املاسك‬
‫يف معظم األحيان من‪:‬‬

‫بوابيت انند ‪ NAND‬يف حال كان يعمل على املستوى املنطقي املنخفض ‪.LOW‬‬

‫بوابيت نور ‪ NOR‬يف حال كان يعمل على املستوى املنطقي املرتفع ‪.HIGH‬‬

‫‪The S-R (SET-RESET) Latch‬‬ ‫‪ 1-1-2‬املاسك توضيع‪ -‬تصفري اس ار‬


‫املاسك من نوع توضيع – تصفري اس ار ‪ S-R (SET-RESET) Latch‬له مدخلني األول يعرف ابملدخل الفعال أو‬
‫مدخل الوضع يف املستوى املنطقي واحد " ‪ ،(Set Input) " 1‬ويرمز له ابلرمز (‪ ،)S‬واملدخل اآلخر يعرف ابملدخل غري الفعال‬
‫أو مدخل التصفري أي الوضع يف املستوى املنطقي صفر " ‪ ،(Reset Input) " 0‬ويكون له خمرجان أحدمها يكون دائما عكس‬
‫اآلخر األول اخلرج الطبيعىي يرمز له ابلرمز ‪ ،Q‬ويرمز لآلخر ابخلرج املتمم ‪.Q‬‬
‫تسمى احلالة واليت يكون حمتفظاً فيها ابلقيمة املنطقية واحد " ‪ " 1‬حبالة الوضع )‪ ،SET (S‬ويقال إن دائرة املاسك يف‬
‫حالة فعالة أو نشطة )‪ ،(Set Condition‬وذلك عندما يكون اخلرج‬

‫‪Q=0‬‬ ‫‪Q=1‬‬

‫يف حني تسمى احلالة األخرى واليت يكون حمتفظاً فيها ابلقيمة املنطقية صفر " ‪ " 0‬حبالة اعادة الوضع )‪، RESET(R‬‬
‫أو التصفري ‪ ،) ،Clear‬ويقال إن دائرة املاسك يف حالة غري فعالة أو خاملة )‪ ،(Reset Condition‬وذلك عندما يكون اخلرج‬

‫‪Q=1‬‬ ‫‪Q=0‬‬

‫‪246‬‬
‫أساسيات النظم الرقمية‬

‫ومن التعريف األساسي للماسك جند أنه‪:‬‬


‫عندما نؤثر على مدخل التوضيع ‪ S‬ابملستوى املنطقي واحد " ‪ " 1‬يكون املستوى املنطقي للخرج الطبيعي‬
‫السابقة‪ ،‬وبنفس الوقت يكون املستوى املنطقي للخرج‬ ‫‪Q‬‬ ‫(احلالة الفعالة)‪ ،‬بغض النظر عن حالة‬ ‫‪Q=1‬‬

‫املتمم ‪.Q = 1‬‬


‫عندما نؤثر على مدخل التصفري ‪ R‬ابملستوى املنطقي واحد " ‪ " 1‬يكون املستوى املنطقي للخرج الطبيعي‬
‫‪( Q = 0‬احلالة غري الفعالة)‪ ،‬بغض النظر عن حالة ‪ Q‬السابقة‪ ،‬وبنفس الوقت يكون املستوى املنطقي للخرج‬
‫املتمم ‪.Q = 1‬‬
‫ابملستوى املنطقي واحد " ‪" 1‬‬ ‫‪R‬‬ ‫ومدخل التصفري‬ ‫‪S‬‬ ‫عندما نؤثر على كل من املدخلني مدخل التوضيع‬
‫يكون املستوى املنطقي للخرج غري حمدد وغري معروف ‪ ،unpredictable‬وجيب حماولة تفادي ذلك حىت‬
‫نتجنب اإلخالل بدائرة املاسك‪.‬‬
‫عندما نؤثر على كل من املدخلني مدخل التوضيع ‪ S‬ومدخل التصفري ‪ R‬ابملستوى املنطقي صفر " ‪ " 0‬ال‬
‫يتغري املستوى املنطقي للخرج ويكون حمتفظاً حبالته السابقة‪.‬‬

‫ميكن بناء دائرة املاسك من نوع توضيع – تصفري ‪ S-R (SET-RESET) Latch‬ابستخدام بواابت نور ‪ NOR‬حيث‬
‫‪feedback‬‬ ‫يتم وصل خمرج كل بوابة إىل مدخل البوابة األخرى كما هو موضح يف الشكل (‪ ،)b()1-7‬وهذا ينتج التغذية املرتدة‬
‫اليت تعترب خاصية كل من املاسكات والقالابت‪ ،‬وتسمى الدائرة يف هذه احلالة بدائرة املاسك ذات املداخل الفعالة عند املستوى‬
‫املرتفع ‪ Active High Inputs‬ألن املستوى املنطقي الفعال للبوابة نور ‪ ،NOR‬هو واحد " ‪( " 1‬أي مستوى الدخل الذي‬
‫حيدث عنده تغيري يف حالة اخلرج)‪ ،‬اجلدول (‪ )1-7‬جدول احلقيقة لدائرة املاسك هذه وهو كما يلي‪:‬‬

‫المدخالت‬ ‫الخرج‬
‫‪Inputs‬‬ ‫‪Outputs‬‬ ‫وضع التشغيل‬
‫‪Mode of Operation‬‬
‫‪S‬‬ ‫‪R‬‬ ‫‪Q‬‬ ‫‪Q‬‬
‫حالة االمساك (عدم التغيري)‬
‫‪0‬‬ ‫‪0‬‬ ‫‪NC‬‬ ‫‪NC‬‬
‫‪No Change‬‬

‫حالة التصفري (الغري الفعال)‬


‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪Latch RESET‬‬
‫اجلدول (‪ )1-7‬جدول احلقيقة لدائرة املاسك من نوع‬
‫حالة التوضيع (الفعال)‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪Latch SET‬‬
‫توضيع – تصفري ‪ S-R (SET-RESET) Latch‬ذات املداخل‬
‫احلالة املمنوعة‬ ‫الفعالة عند املستوى املرتفع‬
‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪Invalid condition‬‬

‫‪247‬‬
‫أساسيات النظم الرقمية‬

‫من جدول احلقيقة ميكن مالحظة اآليت‪:‬‬


‫‪ -1‬عند وجود املستوى املنطقي صفر " ‪ " 0‬على املدخلني ‪ R‬و ‪ S‬يف نفس الوقت ال تتغري حالة املاسك‪ ،‬أي تظل قيمة‬
‫اخلرج ‪ Q‬كما هي‪ ،‬ويعرف هذا الوضع حبالة اإلمساك‪ ،‬أو عدم التغيري‪.‬‬
‫=‪S‬‬ ‫‪ -2‬عندما يكون املستوى املنطقي على الدخل ‪ R‬واحد " ‪ ،R= 1 " 1‬واملستوي املنطقي على الدخل ‪ S‬صفر " ‪" 0‬‬
‫‪ ،0‬يتغري املستوى املنطقي للخرج ‪ ،Q‬إىل صفر " ‪ " 0‬أي أن ‪( Q= 0‬حالة التصفري أو احلالة غري الفعالة)‪ ،‬أما إذا كان‬
‫اخلرج ‪ Q= 0‬أصالً فيبقى كما هو بدون تغيري‪.‬‬
‫=‪R‬‬ ‫‪ -3‬عندما يكون املستوى املنطقي على الدخل ‪ S‬واحد " ‪ ،S= 1 " 1‬واملستوي املنطقي على الدخل ‪ R‬صفر " ‪" 0‬‬
‫‪ ،0‬يتغري املستوى املنطقي للخرج ‪ ،Q‬إىل واحد " ‪ " 1‬أي أن ‪( Q= 1‬حالة التوضيع أو احلالة الفعالة)‪ ،‬أما إذا كان‬
‫اخلرج ‪ Q= 1‬أصالً فيبقى كما هو بدون تغيري‪.‬‬
‫يف نفس الوقت‪ ،‬ألنه ميثل احلالة الفعالة للبوابة‬ ‫‪S‬‬ ‫‪ -4‬غري مسموح وجود املستوى املنطقي واحد " ‪ " 1‬على الدخلني ‪ R‬و‬
‫نور ‪ ،NOR‬ومن مث تصري املخارج يف هذه احلالة غري معرفة‪.‬‬
‫‪ -5‬حالة املخارج تتغري فقط عندما تتغري املداخل‪ ،‬وحتتفظ حبالتها بدون تغيري إذا ظلت املداخل بدون تغيري‪ ،‬أي أن دائرة‬
‫املاسك متسك على حالة معينة إذا مل تتغري املداخل‪ ،‬لذلك قيل إن هلا خاصية االحتفاظ ابلبياانت بصفة مؤقتة‪.‬‬

‫وميكن بناء دائرة املاسك املاسك من نوع توضيع – تصفري اس ار ‪ S-R (SET-RESET) Latch‬ابستخدام بواابت‬
‫انند ‪ NAND‬حيث يتم وصل خمرج كل بوابة إىل مدخل البوابة األخرى كما هو موضح يف الشكل (‪ ،)a()1-7‬وهذا ينتج‬
‫اليت تعترب خاصية كل من املاسكات والقالابت وتسمى الدائرة يف هذه احلالة بدائرة املاسك ذات‬ ‫‪feedback‬‬ ‫التغذية املرتدة‬
‫املداخل الفعالة عند املستوى املنخفض ‪ Active Low Inputs‬ألن املستوى املنطقي الفعال للبوابة انند ‪ NAND‬هو صفر " ‪" 0‬‬
‫(أي مستوى الدخل الذي حيدث عنده تغيري يف حالة اخلرج)‪ .‬اجلدول (‪ )2-7‬جدول احلقيقة لدائرة املاسك هذه وهو كما يلي‪:‬‬

‫المدخالت‬ ‫الخرج‬
‫‪Inputs‬‬ ‫‪Outputs‬‬ ‫وضع التشغيل‬
‫‪Mode of Operation‬‬
‫‪S‬‬ ‫‪R‬‬ ‫‪Q‬‬ ‫‪Q‬‬
‫احلالة املمنوعة‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪Invalid Condition‬‬

‫حالة التوضيع (الفعال)‬


‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪Latch SET‬‬

‫حالة التصفري (الغري الفعال)‬


‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪Latch RESET‬‬ ‫اجلدول (‪ )2-7‬جدول احلقيقة لدائرة املاسك من نوع‬
‫حالة االمساك (عدم التغيري)‬ ‫توضيع – تصفري ‪ S-R (SET-RESET) Latch‬ذات‬
‫‪1‬‬ ‫‪1‬‬ ‫‪NC‬‬ ‫‪NC‬‬
‫‪No Change‬‬ ‫املداخل الفعالة عند املستوى املنخفض‬

‫‪248‬‬
‫أساسيات النظم الرقمية‬

‫من جدول احلقيقة ميكن مالحظة اآليت‪:‬‬


‫‪Q‬‬ ‫‪ -1‬عند وجود املستوى املنطقي واحد " ‪ " 1‬على املدخلني ‪ R‬و ‪ S‬يف نفس الوقت ال تتغري حالة املاسك‪ ،‬أي تظل قيمة اخلرج‬
‫كما هي‪ ،‬ويعرف هذا الوضع حبالة اإلمساك‪ ،‬أو عدم التغيري‪.‬‬
‫‪ -2‬عندما يكون املستوى املنطقي على الدخل ‪ R‬صفر " ‪ ، R= 0 " 0‬واملستوى املنطقي على الدخل ‪ S‬واحد " ‪ S= 1 " 1‬يتغري‬
‫املستوى املنطقي للخرج ‪ ،Q‬إىل صفر " ‪ " 0‬أي يكون ‪( Q= 0‬حالة التصفري أو احلالة غري الفعالة)‪ ،‬أما إذا كان اخلرج ‪Q= 0‬‬
‫أصالً فيبقى كما هو بدون تغيري‪.‬‬
‫‪ -3‬عندما يكون املستوى املنطقي على الدخل ‪ S‬صفر " ‪ ،S= 0 " 0‬واملستوى املنطقي على الدخل ‪ R‬واحد " ‪، R= 1 " 1‬‬
‫يتغري املستوى املنطقي للخرج ‪ ،Q‬إىل واحد " ‪ " 1‬أي أن ‪( Q= 1‬حالة التوضيع أو احلالة الفعالة)‪ ،‬أما إذا كان اخلرج ‪Q= 1‬‬
‫أصالً فيبقى كما هو بدون تغيري‪.‬‬
‫يف نفس الوقت‪ ،‬ألنه ميثل احلالة الفعالة للبوابة انند‬ ‫‪S‬‬ ‫‪ -4‬غري مسموح وجود املستوى املنطقي صفر " ‪ " 0‬على الدخلني ‪ R‬و‬
‫‪ ،NAND‬ومن مث تصري املخارج يف هذه احلالة غري معرفة‪.‬‬

‫(‪)b‬‬ ‫(‪)a‬‬
‫الشكل (‪ )1-7‬دائرة املاسك من نوع توضيع – تصفري اس ار ‪ S-R (SET-RESET) Latch‬ذو املدخالت الفعالة املرتفعة يف‬
‫(‪ ،)a‬وذو املدخالت املنخفضة الفعالة يف (‪.)b‬‬

‫ذو املدخالت الفعالة املرتفعة يف (‪ ،)a‬وذو‬ ‫‪S-R‬‬ ‫يظهر الشكل (‪ )2-7‬الرمز املنطقي لكل من دائرة املاسك اس ار‬
‫املدخالت الفعالة املنخفضة يف (‪.)b‬‬
‫يظهر (‪ ) 3-7‬شكل موجة اخلرج للماسك ذو املدخالت املنخفضة الفعالة‪ ،‬عند تطبيق أشكال موجات على الدخل‪،‬‬
‫مع الفرض أن احلالة االبتدائية للخرج ‪ Q‬هي احلالة املنخفضة ‪.LOW‬‬
‫‪S-R‬‬ ‫الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية الدائرة املتكاملة للماسك من نوع توضيع – تصفري اس ار‬
‫‪ (SET-RESET) Latch‬ذو املدخالت الفعالة املنخفضة‪ ،‬اليت حتمل الرقم ‪ ،74HC279A‬تظهر يف الشكل (‪ ،)4-7‬حتتوي‬
‫شرحية هذه الدائرة على أربع داوائر ماسك‪ ،‬مع مالحظة أنه يوجد اثنني من املاساكات هلا اثنني من مداخل التوضيع ‪. S‬‬

‫‪249‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )2-7‬املخطط املنطقي للماسك اس ار ‪ S-R‬مع املداخل الفعالة املرتفعة يف(‪ ،)a‬وذو املدخالت الفعالة املنخفضة يف(‪)b‬‬

‫الشكل (‪ )3-7‬شكل موجة اخلرج للماسك ذو املدخالت املنخفضة الفعالة‬

‫الشكل (‪ )4-7‬الرمز املنطقي‪ ،‬مع خمطط توزع األرجل (البنات) لشرحية الدائرة املتكاملة للماسك من نوع اس ار ‪ S-R‬ذو‬
‫املدخالت الفعالة املنخفضة‪ ،‬وحتتوي أربع ماسكات‪ ،‬واليت حتمل الرقم ‪74HC279A‬‬

‫‪250‬‬
‫أساسيات النظم الرقمية‬

‫‪The Gated S-R Latch‬‬ ‫‪ 2-1-2‬املاسك توضيع تصفري اس ار ‪ S-R‬املتزامن‬


‫يعرف املاسك اس ار ‪ S-R‬األساسي السابق دراسته ابملاسك غري املتزامن نظراً لتغيري وضع اخلرج الطبيعي ‪ Q‬مباشرة مع‬
‫تغيري املدخالت فور التأثري ابملستوى املنطقي الفعال‪ ،‬كما حيدث يف الدوائر املنطقية التوافقية‪ ،‬ولذلك فإن الدوائر املنطقية التوافقية‬
‫ودوائر املاسك تعمل بشكل ال تزامين‪.‬‬
‫إن ال نظم االلكرتونية املنطقية حتتاج إىل دوائر ماسك متزامن للتغلب على املشاكل اليت قد حتدث عن أتخري انتقال‬
‫املعلومات خالل النظام مما يعوق تسلسل املعلومات طبقاً للتوقيت الزمين املطلوب‪ ،‬ولذا فإن املاسك اس ار ‪ S-R‬املتزامن ‪A‬‬
‫‪) synchronous( gated S-R latch‬يعمل وفقاً لنبضات توقيت‪ ،‬أي يعمل تزامنياً‪.‬‬
‫تعين أن اخلرج سوف يتغري فقط عند نقطة حمددة من نبضات التزامن أو‬ ‫‪synchronous‬‬ ‫وميكن القول أبن كلمة تزامن‬
‫ما يطلق عليه نبضات الساعة ‪ Clock Pulses‬وسوف نكتب اختصاراً ‪ ،CLK‬أي ال يتغري اخلرج إال بناءً على إعطاء نبضة تزامن‬
‫للخرج ‪ Clock‬أو نبضة مساح ومتكني ‪ Enable‬واختصاراً ‪ EN‬للخرج (إشارة السماح والتمكني ‪ Enable‬تشبه يف عملها إىل حد‬
‫كبري إشارة التزامن ‪ ،)Clock‬فإذا كانت إشارة التزامن مرتفعة ‪ HIGH‬أي مساوية واحد ‪ 1‬متر إشارات الدخل ‪ S‬و ‪ R‬إىل‬
‫املاسك‪ ،‬ويستجيب هلا ابلصورة املعتادة‪ ،‬أما إذا كانت إشارة التزامن منخفضة ‪ LOW‬أي تساوي الصفر ‪ ،0‬فيتم حجب إشارات‬
‫الدخل عن املاسك‪ ،‬ويظل املاسك حمتفظاً حبالته السابقة‪ ،‬الشكل (‪ )5-7‬يظهر الرمز املنطقي مع املخطط املنطقي للماسك اس‬
‫ار ‪ S-R‬املتزامن ‪( A gated S-R latch‬البوابة نفي أور ‪ NOT-OR‬تكافئ البوابة انند ‪ ،)NAND‬وفيه نالحظ وجود مدخل‬
‫اضايف لنبضة التزمن أو نبضة الساعة ‪( CLK‬نبضة التمكني ‪.)EN‬‬
‫الشكل (‪ ) 6-7‬يظهر شكل موجة اخلرج هلذا املاسك عند تطبيق موجات الدخل املوضحة حيث احلالة االبتدائية هي‬
‫احلالة املنخفضة ‪ ،LOW‬وهو يعمل على احلافة الصاعدة‪ ،‬ونالحظ من الشكل عندما‪:‬‬

‫‪R= LOW‬‬ ‫‪ -‬الدخل ‪ S= HIGH‬و‬


‫توضع املاسك‪ ،‬واخلرج يصبح ابحلالة املرتفعة ‪.HIGH‬‬
‫اشارة التزامن أو التمكني ‪ّ EN= HIGH‬‬
‫‪R= HIGH‬‬ ‫‪ -‬الدخل ‪ S= LOW‬و‬
‫اشارة التزامن أو التمكني ‪ EN= HIGH‬تص ّفر املاسك‪ ،‬واخلرج يصبح ابحلالة املنخفضة ‪.LOW‬‬
‫‪ -‬عندما كل من‬
‫الدخل ‪ S= LOW‬و ‪ R= LOW‬اخلرج ال يتغري وحيافظ على حالته‪.‬‬

‫‪251‬‬
‫أساسيات النظم الرقمية‬

‫‪A gated S-R latch‬‬ ‫الشكل (‪ )5-7‬املخطط املنطقي يف (‪ )a‬والرمز املنطقي يف (‪ )b‬للماسك اس ار ‪ S-R‬املتزامن‬

‫الشكل (‪ )6-7‬شكل موجة اخلرج للماسك اس ار ‪ S-R‬املتزامن‬

‫‪The Gated D Latch‬‬ ‫‪ 3-1-2‬املاسك دي ‪ D‬املتزامن‬


‫هو نوع آخر من املاسك املتزامن يدعى املاسك دي ‪ ،D Latch‬وهو ماسك اس ار ‪ S-R‬متزامن مت ربط طريف الدخل‬
‫اس ار ‪ S-R‬له يف طرف دخل واحد هو دي ‪ D‬ابستخدام بوابة نفي‪ ،‬أي خيتلف عن املاسك اس ار ‪ S-R‬أبن له مدخل وحيد‬
‫فقط ابالضافة ملدخل التزامن‪ ،‬وميكن احلصول عليه من القالب ‪ S-R‬بوصل املدخل ‪ D‬إىل املدخل ‪ S‬مباشرة ووصل متممه إىل‬
‫املدخل ‪ ،R‬فإذا كان‪:‬‬
‫‪ -‬الدخل دي ‪ D‬عند املستوى املنطقي املرتفع ‪ HIGH‬أي يساوي واحد ‪ 1‬ونبضة التزامن والتمكني ابحلالة املرتفعة‬
‫‪ HIGH‬فاخلرج سيكون يف حالة الوضع أي اخلرج يف احلالة املرتفعة ‪.HIGH‬‬
‫‪ -‬الدخل دي ‪ D‬عند املستوى املنطقي املنخفض ‪ LOW‬أي يساوي صفر ‪ 0‬ونبضة التزامن والتمكني ابحلالة املرتفعة‬
‫‪ HIGH‬فاخلرج سيكون يف حالة التصفري أي اخلرج يف احلالة املنخفضة ‪.LOW‬‬
‫املتزامن‪ ،‬الشكل (‪ )8-7‬يظهر شكل موجة‬ ‫‪D‬‬ ‫الشكل (‪ )7-7‬يظهر الرمز املنطقي مع املخطط املنطقي للماسك دي‬

‫‪252‬‬
‫أساسيات النظم الرقمية‬

‫اخلرج هلذا املاسك عند تطبيق موجات الدخل املوضحة حيث احلالة االبتدائية هي احلالة املنخفضة ‪ ،LOW‬وهو يعمل على احلافة‬
‫الصاعدة‪.‬‬

‫الشكل (‪ )7-7‬املخطط املنطقي يف (‪ )a‬والرمز املنطقي يف (‪ )b‬للماسك دي ‪ D‬املتزامن‬

‫الشكل (‪ )8-7‬شكل موجة اخلرج للماسك دي ‪ D‬املتزامن‬

‫المدخالت‬ ‫الخرج‬
‫‪Inputs‬‬ ‫‪Outputs‬‬ ‫وضع التشغيل‬
‫‪Mode of Operation‬‬
‫‪D‬‬ ‫‪EN‬‬ ‫‪Q‬‬ ‫‪Q‬‬
‫اجلدول (‪ )3-7‬جدول احلقيقة للماسك من نوع‬
‫حالة التصفري (الغري الفعال)‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫دي ‪ D Latch‬يكون‪:‬‬
‫‪Latch RESET‬‬

‫حالة التوضيع (الفعال)‬


‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬
‫‪Latch SET‬‬

‫املاسك يبقى على حالته (عدم التغيري)‬


‫‪1‬‬ ‫‪1‬‬ ‫‪NC‬‬ ‫‪NC‬‬
‫‪No Change‬‬

‫‪253‬‬
‫أساسيات النظم الرقمية‬

‫الرمز املنطقي لشرحية الدائرة املتكاملة للماسك من نوع دي ‪ D Latch‬ذو املدخالت الفعالة املنخفضة‪ ،‬اليت حتمل الرقم‬
‫‪ ،74HC75‬تظهر يف الشكل (‪ ،)9-7‬حتتوي شرحية هذه الدائرة على أربع داوائر ماسك‪ ،‬مع مالحظة أنه يوجد لكل ماسكني‬
‫مدخل تزامن ومتكني واحد ‪.EN‬‬

‫الشكل (‪ )9-7‬الرمز املنطقي‪ ،‬لشرحية الدائرة املتكاملة للماسك من نوع‬


‫دي ‪ ،D Latch‬حتتوي أربع ماسكات‪ ،‬واليت حتمل الرقم ‪74HC75‬‬

‫‪Flip-Flops‬‬ ‫‪ -3‬القالابت‬
‫القالابت ‪ Flip-Flops‬هي أجهزة ثنائية االستقرار متزامنة ‪ ،Synchronous Bistable Devices‬فالقالب هو ماسك‬
‫حمكوم بنبضات تزامن‪ ،‬ولكن اخلرج ال يتغري تبعا للدخل إال عند حافة نبضة التزامن (الساعة) فقط )‪ ،clock (CLK‬سواء احلافة‬
‫الصاعدة للنبضة أو احلافة اهلابطة ‪ Flip-Flops are Edge-Triggered or Edge-Sensitive‬وسنرى كيف يتم ذلك‪.‬‬

‫‪CK‬‬ ‫يف املاسك كان اخلرج يتغري طاملا أن طرف التزامن ‪ ،CK= 1‬بينما القالب لن يتغري اخلرج إال يف وجود حافة للطرف‬
‫أي أنه حىت لو تغري الدخل اس ‪ S‬و ار ‪ R‬وكان طرف التزامن ‪ CK= 1‬فإن اخلرج لن يتغري إال بعد عبور طرف التزامن ‪ CK‬حلافة‬
‫معينة سواءً كانت احلافة الصاعدة أو اهلابطة‪.‬‬
‫سنغطي يف هذا الفصل نوعني من القاالابت املتزامنة فقط‪ ،‬القالب دي ‪ ،D‬والقالب جي كا ‪ ،J-K‬حيث يظهر الشكل‬
‫(‪ )7-10‬أشكال رموزها املنطقية‪ ،‬حيث يظهر يف الشكل‪:‬‬
‫أي أن هذا الطرف‬ ‫‪The Dynamic Input Indicator‬‬ ‫رمز املثلث يدل على مؤشر الدخل الديناميكي‬
‫يكون فعاالً عند حافة وليس عند مستوى لإلشارة على هذا الطرف‪.‬‬
‫وجود دائرة عند مدخل هذا الطرف تعين أنه يكون فعاال مع احلافة اهلابطة للنبضة على هذا الطرف‪.‬‬
‫عدم وجود دائرة عند هذا الطرف تعىن أنه فعال عند احلافة الصاعدة للنبضة‪.‬‬

‫‪254‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )10-7‬الرموز املنطقية للقالب جي كا ‪ J-K‬وللقالب دي ‪ ،D‬مع اظهار مؤشر الدخل الديناميكي‬

‫(‪The D Flip-Flop )D‬‬ ‫‪ 1-3‬القالب دي‬


‫احلرف دي ‪ D‬هنا اختصار لكلمة بياانت ‪ DATA‬وهو قالب بدخل متزامن‪ ،‬أي البياانت على الدخل متر إىل اخلرج‬
‫فقط مع حافة القدح لنبضة التزامن ‪ ،clock pulse‬واختصاراً ‪ ،C‬يعترب القالب دي ‪ D‬من أشهر أنواع القالابت وأكثرها انتشاراً‪،‬‬
‫يعمل القالب على الشكل التايل‪:‬‬
‫‪ -‬الدخل دي ‪ D‬يف احلالة املرتفعة ‪ D= HIGH‬أي يساوي واحد ‪ ،1‬فإن اخلرج يتحول إىل احلالة املرتفعة ‪ Q= HIGH‬مع‬
‫حافة القدح لنبضة الساعة‪ ،‬والقالب يكون يف حالة التوضيع ‪.SET‬‬
‫‪Q= LOW‬‬ ‫‪ -‬الدخل دي ‪ D‬يف احلالة املنخفضة ‪ D= LOW‬أي يساوي صفر ‪ ،0‬فإن اخلرج يتحول إىل احلالة املنخفضة‬
‫مع حافة القدح لنبضة الساعة‪ ،‬والقالب يكون يف حالة التصفري ‪.RESET‬‬
‫عملية تشغيل القالب دي ‪ D‬مع حافة قدح صاعدة موجبة ‪ Positive Edge-Triggered Flip-Flop‬موضحة يف‬
‫الشكل (‪ ،) 7-11‬تذكر أن القالب ال ميكن أن يغري حالته إال مع حافة القدح لنبضة التزامن‪ ،‬واخلرج ‪ Q‬يتبع الدخل ‪.D‬‬
‫يعرض اجلدول (‪)4-7‬جدول احلقيقة للقالب دي ‪ ،D‬حيث يشري السهم لألعلى على أن نبضة التزامن ‪ CLk‬تنتقل من‬
‫احلالة املنخفضة ‪ LOW‬إىل احلالة املرتفعة ‪.HIGH‬‬

‫‪D‬‬ ‫اجلدول (‪)4-7‬جدول احلقيقة للقالب دي‬

‫‪255‬‬
‫أساسيات النظم الرقمية‬

‫‪a Positive Edge-Triggered D Flip-Flop‬‬ ‫الشكل (‪ )11-7‬قالب من نوع دي ‪ D‬يعمل على حافة القدح الصاعدة‬

‫نالحظ من الشكل أنه عندما‪:‬‬


‫‪ -‬عندما ‪ ،D= 1‬فإن اخلرج يكون يف حالة الوضع ‪ ،SET‬ويتغري اخلرج إىل احلالة املرتفعة واحد ‪ 1‬مع احلافة الصاعدة‬
‫املوجبة لنبضة التزامن‪ ،‬وإذا كان يف األصل ‪ D= 1‬يبقى على حاله‪.‬‬
‫‪-‬عندما ‪ ،D= 0‬فإن اخلرج يكون يف حالة التصفري ‪ ،RESET‬ويتغري اخلرج إىل احلالة املنخفضة صفر ‪ 0‬مع احلافة‬
‫الصاعدة املوجبة لنبضة التزامن‪ ،‬وإذا كان يف األصل ‪ D= 0‬يبقى على حاله‪.‬‬
‫الشكل (‪ )12-7‬يظهر شكل موجة اخلرج للقالب دي ‪ D‬عند تطبيق موجات الدخل املوضحة‪ ،‬حيث احلالة االبتدائية‬
‫هي احلالة املنخفضة ‪ ،LOW‬وهو يعمل على احلافة الصاعدة ‪.a Positive Edge-Triggered D Flip-Flop‬‬

‫الشكل (‪ )12-7‬شكل موجة اخلرج للقالب من نوع دي ‪ D‬يعمل على حافة القدح الصاعدة‬
‫‪ Positive edge-Triggered D Flip-Flop‬حيث تظهر يف (‪ )a‬شكل موجة الدخل مع نبضة التزامن ‪ CLK‬ويف (‪ )b‬أشكال‬
‫موجة اخلرج الطبيعي واخلرج املتمم‬

‫‪256‬‬
‫أساسيات النظم الرقمية‬

‫)‪Q= 0 (RESET‬‬ ‫من الشكل (‪ )12-7‬نالحظ أن اخلرج كان يف يف حالة التصفري‬


‫التصفري )‪Q= LOW (RESET‬‬ ‫‪ 1‬الدخل ‪ D = LOW‬فإن اخلرج يبقى يف حالة‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫التصفري )‪Q= LOW (RESET‬‬ ‫‪ 2‬الدخل ‪ D = LOW‬فإن اخلرج يبقى يف حالة‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫التوضيع )‪Q= HIGH (SET‬‬ ‫‪ 3‬الدخل ‪ D = HIGH‬فإن اخلرج ينتقل إىل حالة‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫التصفري )‪Q= LOW (RESET‬‬ ‫عند نبضة التزامن ‪ 4 clock pulse‬الدخل ‪ D = LOW‬فإن اخلرج ينتقل إىل حالة‬ ‫▪‬

‫التوضيع )‪Q= HIGH (SET‬‬ ‫‪ 5‬الدخل ‪ D = HIGH‬فإن اخلرج ينتقل إىل حالة‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫التوضيع )‪Q= HIGH (SET‬‬ ‫‪ 6‬الدخل ‪ D = HIGH‬اخلرج يبقى يف حالة‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫(‪The J-K Flip-Flop )J-K‬‬ ‫‪ 2-3‬القالب جي كا‬


‫القالب جي كا ‪ The J-K Flip-Flop‬هو قالب متزامن مداخله هي جي وكا ‪ ،J, K‬ويعترب من أكثر القالابت‬
‫استخداماً‪ .‬البياانت على الدخل متر إىل اخلرج فقط مع حافة القدح لنبضة التزامن ‪ ،clock pulse‬طريقة عمله متاثل متاماُ القالب‬
‫اس ار ‪ ،S-R‬يف األوضاع الثالثة األوىل للتشغيل وهي عدم التغري واإلمساك‪ ،‬حالة التوضيع‪ ،‬حالة إعادة التوضيع أو التصفري‪،‬‬
‫والفرق فقط يف احلالة األخري أهنا حالة مسموحة‪ ،‬أي عندما يكون كال املدخلني يف احلالة املرتفعة ‪ HIGH‬فإن القالب يغري حالته‪،‬‬
‫وهذا يسمى منط التبديل ‪ ،Toggle Mode‬أي تتغري احلالة بني املخارج‪ ،‬فإن كان اخلرج الطبيعي ابحلالة املرتفعة ‪ HIGH‬يصبح‬
‫ابحلالة املنخفضة ‪ ،LOW‬والعكس ابلعكس ابلنسبة للخرج املتمم‪.‬‬
‫عملية تشغيل القالب جي كا ‪ J-K‬مع حافة قدح صاعدة موجبة ‪ flip-flop positive edge-triggered‬موضحة يف‬
‫الشكل (‪.)13-7‬‬
‫‪CLK‬‬ ‫يعرض اجلدول (‪ )5-7‬جدول احلقيقة للقالب جي كا ‪ ،J-K‬حيث يشري السهم لألعلى على أن نبضة التزامن‬
‫تنتقل من احلالة املنخفضة ‪ LOW‬إىل احلالة املرتفعة ‪.HIGH‬‬
‫الشكل (‪ )14-7‬يظهر شكل موجة اخلرج للقالب جي كا ‪ J-K‬عند تطبيق موجات الدخل املوضحة‪ ،‬حيث احلالة‬
‫االبتدائية هي احلالة املنخفضة ‪ ،LOW‬وهو يعمل على احلافة اهلابطة ‪a Negative Edge-Triggered Flip-Flop‬‬

‫‪J-K‬‬ ‫اجلدول (‪ )5-7‬جدول احلقيقة للقالب جي كا‬

‫‪257‬‬
‫أساسيات النظم الرقمية‬

‫‪a positive edge-triggered J-K flip-flop‬‬ ‫الشكل (‪ )13-7‬قالب من نوع جي كا ‪ J-K‬يعمل على حافة القدح الصاعدة‬

‫الشكل (‪ )14-7‬شكل موجة اخلرج للقالب من نوع جي كا ‪ J-K‬يعمل على حافة القدح اهلابطة‬
‫‪ a negative edge-triggered J-K flip-flop‬حيث تظهر يف (‪ )a‬شكل موجة الدخل مع نبضة التزامن ويف (‪ )b‬أشكال‬
‫موجة اخلرج الطبيعي واخلرج املتمم‬

‫‪Q= 0‬‬ ‫من الشكل (‪ )14-7‬نالحظ أن اخلرج سوف يتغري عند احلافة اهلابطة‪ ،‬واحلالة االبتدائية له هي حالة التصفري‬
‫)‪(RESET‬‬

‫وابلتايل ينتقل اخلرج إىل‬ ‫‪toggle‬‬ ‫‪ 1‬كال الدخلني ‪ J= K = 1‬منط التبديل‬ ‫‪clock pulse‬‬ ‫عند نبضة التزامن‬ ‫▪‬

‫احلالة املرتفعة )‪.Q= HIGH (SET‬‬


‫‪ 2‬ال يوجد تغيري على حالة الدخلني‪ ،‬لذلك اخلرج ال يتغري وحيافظ على حالته‬ ‫التزامن ‪clock pulse‬‬ ‫عند نبضة‬ ‫▪‬

‫يف حالة التوضيع )‪.Q= HIGH (SET‬‬

‫‪258‬‬
‫أساسيات النظم الرقمية‬

‫‪ J= LOW-‬فإن اخلرج ينتقل إىل حالة‬ ‫‪K = HIGH‬‬ ‫‪ 3‬الدخلني يصبحان‬ ‫‪clock pulse‬‬ ‫عند نبضة التزامن‬ ‫▪‬

‫التصفري )‪.Q= LOW (RESET‬‬


‫فإن اخلرج ينتقل إىل حالة‬ ‫‪J= HIGH - K = LOW‬‬ ‫‪ 4‬الدخلني يتغريان‬ ‫‪clock pulse‬‬ ‫عند نبضة التزامن‬ ‫▪‬

‫التوضيع )‪.Q= HIGH (SET‬‬


‫‪ 5‬شروط حالة التوضيع ماتزال موجودة على الدخلني‪ ،‬لذلك اخلرج ال يتغري‬ ‫‪clock pulse‬‬ ‫عند نبضة التزامن‬ ‫▪‬

‫وحيافظ على حالة التوضيع )‪.Q= HIGH (SET‬‬

‫‪Edge-Triggered Operation‬‬ ‫‪ 3-3‬عملية القدح عند احلافة‬


‫جلعل القالب يغري من حالته عند أي حافة لنبضة الساعة سواء احلافة الصاعدة أو اهلابطة‪ ،‬فإنه يتم وضع حساس للحافة‬
‫عند مدخل نبضات التزامن ىف القالب‪ ،‬كاشف (حساس) حافة النبضة ‪ Pulse Transition Detector‬عبارة عن دائرة تدخل هلا‬
‫نبضة التزامن ‪ CLK‬أبي زمن للدورة‪ ،‬فتعطي ىف اخلرج نبضة ذات فرتة زمنية قصرية جداً يقدر عرضها الزمين بعدد قليل من‬
‫امليكرواثنية عند احلافة املطلوبة سواء كانت احلافة الصاعدة أو اهلابطة‪.‬‬
‫الشكل (‪ ) 15-7‬يظهر الشكل املبسط لعملية قدح القالب من نوع دي ‪ ،D flip-flop D‬مع التذكرة أن االختالف‬
‫األساسي للقالب دي ‪ D‬عن املاسك من نوع دي ‪ D‬املتزامن ‪ the gated D latch‬فقط يف أنه ميتلك كاشف (حساس حافة)‬
‫‪ a pulse transition detector‬لكشف التحول واالنتقال يف حالة النبضة عند مدخل نبضات التزامن يف القالب‪.‬‬

‫(‪flip-flop D )D‬‬ ‫الشكل (‪ )15-7‬الشكل املبسط لعملية قدح القالب من نوع دي‬

‫أحد أنواع كاشف انتقال حالة النبضة ‪ a pulse transition detector‬يعرضه الشكل (‪ ،)16-7‬نالحظ أنه أهنا دائرة‬
‫تتألف من بوابة انند ‪ NAND‬مت احداث أتخري زمين ‪ Delay‬على أحد دخليها عن اآلخر مبقدار زمن مرور االشارة على بوابة‬

‫‪259‬‬
‫أساسيات النظم الرقمية‬

‫نفي ‪ NOT‬واحدة‪ ،‬حبيث أن نبضة التزامن املعكوسة تصل على مدخل البوابة بعض مدة زمنية تقدر ابملكرو اثنية بعد نبضة التزامن‬
‫األساسية ‪ .CLK‬وهو مقدار عرض النبضة القصرية الناجتة عند حالة التحول املوجبة‪ ،‬اليت ستسبب إطالق الدخل وتغيري اخلرج‪.‬‬
‫عندما يقدح القالب على احلافة اهلابطة نبضة التزامن تنعكس أوالً علي بوابة النفي‪ ،‬مث تنتج نبضة قصرية عند التحول‬
‫السالب‪.‬‬
‫ابلطبع فإن اإلطالق عند احلافة النازلة أو الصاعدة ليس مقصورا على القالب دي ‪ D‬فقط‪ ،‬بل ميكن تطبيقه مع كل أنواع‬
‫املاسكات أو القالابت‪ ،‬بل إن معظم القالابت تعمل عند حافة نبضة الساعة سواء الصاعدة أو النازلة‪.‬‬

‫‪Delay‬‬‫نبضة قصرية تنتج عن التأخري الزمين‬


‫(عندما كال املداخل يف احلالة املرتفعة ‪)HIGH‬‬

‫‪a pulse transition detector‬‬ ‫الشكل (‪ )16-7‬كاشف انتقال حالة النبضة‬

‫‪Asynchronous Preset and Clear Inputs‬‬ ‫‪ 4-3‬املداخل غري املتزامنة‬


‫كانت املداخل ابلنسة للقالابت املدروسة املداخل ‪ J‬و‪ K‬و‪ D‬مداخل متزامنة‪ ،‬ألنه ال حيدث هلا أتثري على اخلرج إال إذا‬
‫كانت هناك نبضة تزامن‪ ،‬أي أن هذه املداخل متزامنة مع نبضات التزامن‪ ،‬أحياان تضطران احلاجة إىل إضافة مدخالت غري متزامنة مع‬
‫نبضات التزامن لتؤدي عمل ما‪ ،‬حيث تستخدم ىف الكثري من التطبيقات لوضع حاالت ابتدائية على خرج القالب‪ ،‬كأن نضع‬
‫خرج القالب يف حالة تصفري أي ‪ ، Q= 0‬أو حالة توضيع ‪ ،Q= 1‬قبل البدء ابلتشغيل‪ ،‬أي ودوانل اعتماد على نبضات التزامن‬
‫إلدخال هذه احلاالت‪.‬‬
‫الشكل (‪ )17-7‬يبني الرتكيب الداخلي لقالب من نوع دي ‪ D‬بعد اضافة مدخلني غري متزامنني له‪ ،‬املدخل األول هو‬
‫(‪ ،)CLR‬أي عند وضع صفر ‪ 0‬على هذا‬ ‫‪LOW‬‬ ‫مدخل التصفري )‪ ،clear (CLR‬وهو مدخل يعمل على احلالة املنخفضة‬
‫وهو مدخل يعمل على احلالة‬ ‫)‪Preset (PRE‬‬ ‫=‪ ،Q‬واملدخل الثاين هو مدخل التوضيع‬ ‫‪0‬‬ ‫املدخل يتم تصفري اخلرج أي‬
‫املنخفضة ‪ ،)PRE( LOW‬الذي عندما يكون صفر ‪ 0‬جيرب اخلرج على أن يكون واحد ‪ 1‬أي ‪ ،Q= 1‬دون النظر أيضاً لنبضات‬
‫التزامن ‪.CLK‬‬

‫‪260‬‬
‫أساسيات النظم الرقمية‬

‫( ‪)b‬‬ ‫(‪) a‬‬


‫‪clear‬‬ ‫الشكل (‪ )17-7‬املخطط املنطقي يف (‪ )a‬لقالب من نوع دي ‪ D‬بعد اضافة مدخلني غري متزامنني مدخل التصفري‬
‫ومدخل التوضيع ‪ Preset‬وكل منهما فعال على احلالة املنخفضة‪ ،‬يف (‪ )b‬الرمز املنطقي‬

‫الرمز املنطقي لشرحية الدائرة املتكاملة للقالب من نوع دي ‪ D flip-flop‬اليت حتمل الرقم ‪ ،74HC74‬تظهر يف الشكل‬
‫(‪ ،)18-7‬حتتوي شرحية هذه الدائرة على قالبني مستقلني يعمالن على احلافة الصاعدة لنبضات التزامن ‪a positive edge-‬‬
‫‪ ،triggered D flip-flop‬وللشرحية طرف توضيع وطرف تصفري فعاالن عند احلالة املنخفضة‪ ،‬يرمز داخلياً هلما بـالرمز ‪ R‬للتصفري‬
‫و ‪ S‬للتوضيع‪.‬‬

‫‪D‬‬ ‫الشكل (‪ )18-7‬الشرحية املتكاملة للقالب من نوع دي‬


‫‪ flip-flop‬اليت حتمل الرقم ‪ 74HC74‬حتتوي شرحية هذه الدائرة‬
‫على قالبني مستقلني يعمالن على احلافة الصاعدة لنبضات‬
‫‪a positive edge-triggered D flip-flop‬‬ ‫التزامن‬

‫‪261‬‬
‫أساسيات النظم الرقمية‬

‫الرمز املنطقي لشرحية الدائرة املتكاملة للقالب من نوع جي كا ‪ J-K flip-flop‬اليت حتمل الرقم ‪ ،74HC112‬تظهر يف‬
‫الشكل (‪ ،)19-7‬حتتوي شرحية هذه الدائرة على قالبني مستقلني يعمالن على احلافة اهلابطة لنبضات التزامن ‪dual negative‬‬
‫‪ edge-triggered J-K flip-flop‬وللشرحية طرف توضيع وطرف تصفري فعاالن عند احلالة املنخفضة‪ ،‬يرمز داخلياً هلما بـالرمز ‪R‬‬
‫للتصفري و ‪ S‬للتوضيع‪.‬‬

‫‪J-K‬‬ ‫الشكل (‪ )19-7‬الشرحية املتكاملة للقالب من ن نوع جي كا‬


‫‪ flip-flop‬اليت حتمل الرقم ‪ 74HC112‬حتتوي شرحية هذه الدائرة على‬
‫قالبني مستقلني يعمالن على احلافة اهلابطة لنبضات التزامن‬
‫‪dual negative edge-triggered J-K flip-flop‬‬

‫‪Flip-Flop Operating Characteristics‬‬ ‫‪ 5-3‬خصائص التشغيل للقالابت‬


‫يعتمد األداء ومتطلبات أو حمددات التشغيل ابلنسبة للقالابت على عدد من اخلصائص أو البارامرتات املوجودة على‬
‫ورقة البياانت للجهاز‪ ،‬وبصفة عامة‪ ،‬تنطبق اخلصائص على القالابت من نوع ‪ CMOS‬ومن نوع ‪.TTL‬‬
‫أهم هذه اخلصائص‪:‬‬

‫‪Propagation Delay Time‬‬ ‫‪ -1‬زمن االنتشار أو زمن العبور‬


‫زمن االنتشار ‪ Propagation Delay Time‬هو الفرتة الزمنية بني حالة الدخل للقالب وتغري خرجه إىل قيمة اثبتة بناء‬
‫على هذا الدخل‪ ،‬هناك أكثر من صورة هلذا الزمن على حسب شكل نبضة التزامن وكيفية تغري اخلرج بناء عليها‪ ،‬وهي التايل‪:‬‬

‫‪262‬‬
‫أساسيات النظم الرقمية‬

‫‪ -1‬الزمن (‪ )tPLH‬وهو الفرتة الزمنية من منتصف احلافة املؤثرة لنبضة التزامن (الصاعدة أو النازلة( إىل أن يصعد اخلرج من صفر‬
‫‪ 0‬أو القيمة الصغرى (‪ )LOW‬إىل ‪ 50%‬من قيمة اجلهد املنطقي واحد ‪ 1‬أو القيمة العظمى (‪ ،)HIGH‬الشكل (‪20-‬‬
‫‪ )a( )7‬يبني ذلك مع مثل نبضة التزامن ‪ ،CLK‬ونبضة التوضيع ‪.PRE‬‬
‫‪ -2‬الزمن (‪ )tPHL‬وهو الفرتة الزمنية من منتصف احلافة املؤثرة لنبضة التزامن (الصاعدة أو النازلة( إىل أن ينزل اخلرج من واحد‬
‫من قيمة اجلهد املنطقي صفر ‪ 0‬أو القيمة الصغرى (‪ ،)LOW‬الشكل‬ ‫‪50%‬‬ ‫‪ 1‬أو القيمة العظمى (‪ ،)HIGH‬إىل‬
‫(‪ )b( )7-20‬يبني ذلك مع مثال نبضة التزامن ‪ ،CLK‬ونبضة التصفري ‪.PRE‬‬

‫(‪)b‬‬ ‫(‪) a‬‬


‫‪tPHL ،tPLH‬‬ ‫الشكل (‪ )20-7‬زمن االنتشار للقالب‬

‫‪ -2‬زمن االستقرار ‪Set up Time‬‬

‫( ‪D, J‬‬ ‫زمن االستقرار (‪ set-up time )tS‬هو أقل فرتة زمنية مطلوبة كي تبقى املستوايت املنطقية على مداخل القالابت‬
‫‪ ), K, S , R‬قبل تطبيق احلافة املؤثرة لنبضة التزامن‪ ،‬حىت يثبت اخلرج على قيمته اجلديد‪ ،‬أي أن مستوايت الدخل املنطقية إذا تغريت‬
‫أو مل تستقر حىت مير هذا الزمن‪ ،‬فإن قيمة اخلرج لن تكون اثبتة أو حمددة‪ ،‬الشكل (‪ )21-7‬يبني هذا الزمن على قالب من نوع دي‬
‫‪ ،D‬وجيب التفريق بني زمن االنتشار وزمن االستقرار‪.‬‬

‫‪263‬‬
‫أساسيات النظم الرقمية‬

‫‪tS‬‬ ‫الشكل (‪ )21-7‬زمن االنتشار للقالب‬

‫‪Hold Time‬‬ ‫‪ -3‬زمن املسك‬


‫( ‪D, J ,‬‬ ‫زمن املسك (‪ Hold Time )th‬هو أقل فرتة زمنية مطلوبة كي تثبت املستوايت املنطقية على مداخل القالابت‬
‫‪ )K, S , R‬بعد تطبيق احلافة املؤثرة لنبضة التزامن‪ ،‬وإال فإن اخلرج لن يستقر‪ ،‬الشكل (‪ )22-7‬يبني هذا الزمن على قالب من نوع‬
‫دي ‪.D‬‬

‫‪th‬‬ ‫الشكل (‪ )22-7‬زمن املسك للقالب‬

‫الساعة ‪Maximum clock frequency‬‬ ‫‪ -4‬القيمة العظمى لرتدد‬


‫القيمة العظمى لرتدد الساعة أو تردد نبضات التزامن (‪ ،The Maximum Clock Frequency )fmax‬هي أعلى تردد‬
‫ميكن تطبيقه لتشغيل القالب ويعمل بشكل موثوق‪ ،‬قبل أن يفشل القالب ىف العمل أو متابعة الدخل‪ ،‬عند الرتددات فوق القيمة‬
‫العظمى‪ ،‬القالب سوف لن يكون قادر على االستجابة بشكل سريع‪ ،‬ورمبا يتضرر‪.‬‬

‫‪Pulse Widths‬‬ ‫‪ -5‬عرض النبضات‬


‫عادة ما يتم حتديد القيمة الدنيا لعرض النبضات )‪ Minimum pulse widths )tW‬للتشغيل املوثوق به من قبل الشركة‬
‫املصنعة من أجل مداخل نبضة الساعة ‪ ،clock‬إعادة التوضيع (التصفري) ‪ ،preset‬والتصفري ‪ .clear‬عادة‪ ،‬يتم حتديد نبضة‬
‫الساعة بواسطة حتديد الزمن األدىن للحالة املنخفضة ‪ LOW‬والزمن األدىن للحالة املرتفعة ‪.HIGH‬‬

‫‪264‬‬
‫أساسيات النظم الرقمية‬

‫‪Power Dissipation‬‬ ‫‪ -6‬الطاقة املبددة‬


‫الطاقة املبددة ألي دائرة رقمية تكون إمجايل استهالك الطاقة للجهاز وتعطى ابلعالقة‪:‬‬

‫‪P‬‬ ‫‪= VCC * ICC‬‬


‫من نفس النوع لكنها من‬ ‫‪TTL‬‬ ‫اجلدول (‪ )6-7‬يظهر مقارنة للخصائص السابقة من أجل أربع قالابت ‪ CMOS‬و‬
‫أصناف دوائر متكاملة خملتفة وهي‬
‫))‪(HC(High-speed CMOS), AHC(Advanced high-speed CMOS), LS(Low-power Schottky), F(Fast‬‬

‫وذلك عند درجة احلرارة ‪ 25°C‬درجة مئوية‪.‬‬

‫اجلدول (‪ )6-7‬مقارنة خلصائص أربع قالابت ‪ CMOS‬و ‪ TTL‬من نفس النوع ومن أصناف دوائر متكاملة‬

‫القالابت ‪Flip-Flop Applications‬‬ ‫‪ 6-3‬تطبيقات‬


‫مفصل على العناصر األساسية يف الدوائر التعاقبية‪ ،‬القالابت‪ ،‬سنبني فيما يلي بعض أهم الدوائر‬
‫بعد أن تعرفنا بشكل ّ‬
‫التعاقبية الشهرية واملستخدمة يف كثري من التطبيقات بدون الدخول يف تفاصيل كيفية تصميم هذه الدوائر‪ ،‬إذ سنتناول بعضها يف‬
‫الفصل القادم‪ .‬من أهم التطبيقات الىت تستخدم فيها القالابت‪:‬‬
‫مسجالت اإلزاحة ‪. Shift Registers‬‬
‫العدادات الثنائية ‪. Binary Counters‬‬
‫ّ‬
‫تقسيم الرتدد ‪.Frequency Division‬‬

‫‪265‬‬
‫أساسيات النظم الرقمية‬

‫الذواكر ‪.Memories‬‬
‫ختزين البياانت بشكل على التوازي ‪.Parallel Data Storage‬‬

‫اجلدول (‪ )7-7‬يلخص الدوائر املتكاملة اليت مت عرضها سابقاً‪ ،‬مع أرقامها وحمتوايهتا‬

‫رقمها‬ ‫نوع الدائرة املتكاملة‬

‫‪74HC279A‬‬
‫‪The 74HC279A quad‬‬ ‫ماسك من نوع اس ار ‪ S-R‬ذو املدخالت الفعالة املنخفضة‬
‫‪S-R latch‬‬

‫‪74HC75‬‬
‫‪The 74HC75 quad D‬‬ ‫‪D Latch‬‬ ‫ماسك من نوع دي‬
‫‪latch‬‬

‫‪74HC74‬‬
‫‪a positive edge-‬‬ ‫‪D flip-flop‬‬ ‫قالب من نوع دي‬
‫‪triggered D flip-flop‬‬

‫‪74HC112‬‬
‫‪dual negative edge-‬‬ ‫‪J-K flip-flop‬‬ ‫قالب من ن نوع جي كا‬
‫‪triggered J-K flip-flop‬‬

‫اجلدول (‪ )7-7‬الدوائر املتكاملة اليت مت عرضها يف هذا الفصل‬

‫‪266‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬إذا طبقت املوجات التالية يف الشكل (‪ )23-7‬على ماسك من نوع اس ار ‪ S-R‬ذو املدخالت الفعالة املرتفعة‪ ،‬ارسم‬
‫شكل موجة اخلرج‪ ،‬وافرتض أن احلالة البدائية يف احلالة املنخفضة ‪.LOW‬‬

‫الشكل (‪)23-7‬‬

‫‪ -2‬إذا طبقت املوجات التالية يف الشكل (‪ )24-7‬على ماسك من نوع اس ار ‪ S-R‬ذو املدخالت الفعالة املنخفضة‪،‬‬
‫ارسم شكل موجة اخلرج‪ ،‬وافرتض أن احلالة البدائية يف احلالة املنخفضة ‪.LOW‬‬

‫الشكل (‪)24-7‬‬

‫‪ -3‬إذا طبقت املوجات التالية يف الشكل (‪ )25-7‬على ماسك من نوع اس ار ‪ S-R‬متزامن‪ ،‬ارسم شكل موجة اخلرج‬
‫الطبيعي واخلرج املتمم‪ ،‬وافرتض أن احلالة البدائية للخرج الطبيعي يف احلالة املنخفضة‪.LOW‬‬

‫الشكل (‪)25-7‬‬

‫‪267‬‬
‫أساسيات النظم الرقمية‬

‫‪ -4‬إذا طبقت املوجات التالية يف الشكل (‪ )26-7‬على قالبني من نوع جي كا ‪ ،J-K flip-flops J-K‬ارسم شكل‬
‫موجة اخلرج الطبيعي‪ ،‬وافرتض أن احلالة البدائية هي توضيع ‪ ،RESET‬واشرح الفرق بني القالبني‪.‬‬

‫الشكل (‪)26-7‬‬

‫‪ -5‬إذا طبقت املوجات التالية يف الشكل (‪ )26-7‬على قالب من نوع ‪ D‬دي ‪ ،D flip-flop‬ارسم شكل موجة اخلرج‬
‫الطبيعي‪ ،‬وافرتض أن احلالة البدائية هي توضيع ‪.RESET‬‬

‫الشكل (‪)27-7‬‬

‫‪ -6‬إذا طبقت املوجات التالية يف الشكل (‪ )28-7‬على قالب من نوع ‪ D‬دي ‪ D flip-flop‬يعمل على احلافة الصاعدة‬
‫‪ ،a positive edge-triggered D flip-flop‬ارسم شكل موجة اخلرج الطبيعي‪ ،‬وافرتض أن احلالة البدائية يف احلالة‬
‫املنخفضة ‪.LOW‬‬

‫الشكل (‪)28-7‬‬

‫‪ -7‬إذا طبقت املوجات التالية يف الشكل (‪ )29-7‬على قالب من نوع جي كا ‪ ،J-K flip-flop J-K‬ارسم شكل موجة‬
‫اخلرج الطبيعي‪ ،‬وافرتض أن احلالة البدائية يف احلالة املنخفضة ‪.LOW‬‬

‫‪268‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪)29-7‬‬

‫‪ -8‬تظهر ورقة العمل ألحد القالابت أن أقل زمن للحالة املرتفعة ‪ minimum HIGH time‬من أجل نبضات التزامن‬
‫(نبضة الساعة ‪ ،30 ns )clock pulse‬وأقل زمن للحالة املنخفضة ‪ minimum LOW time‬هو ‪ ،60 ns‬ما هو‬
‫الرتدد األعظمي لبنضات الساعة ميكن أن يعمل عنده هذا القالب‪.‬‬

‫‪269‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬
‫‪Combinational‬‬
‫‪251‬‬ ‫‪Synchronous‬‬ ‫التزامن‬ ‫‪245‬‬ ‫الدوائر املنطقية التوافقية‬
‫‪Logic Circuit‬‬
‫املاسك اس ار ‪S-R‬‬
‫‪251‬‬
‫‪The Gated S-R‬‬
‫‪245‬‬ ‫‪Flip Flops‬‬ ‫القالابت‬
‫‪Latch‬‬ ‫املتزامن‬
‫‪Sequential Logic‬‬
‫‪252‬‬ ‫‪The Gated D Latch‬‬ ‫املاسك دي ‪ D‬املتزامن‬ ‫‪245‬‬ ‫الدوائر املنطقية التعاقبية‬
‫‪Circuits‬‬

‫‪Synchronous‬‬ ‫أجهزة ثنائية االستقرار‬


‫‪254‬‬ ‫‪246‬‬ ‫‪Latch Circuit‬‬ ‫دائرة املاسك‬
‫‪Bistable Devices‬‬ ‫متزامنة‬
‫قالابت تقدح عند‬
‫‪Flip-Flops are Edge-‬‬
‫‪Bistable‬‬
‫‪254‬‬ ‫‪Triggered or Edge-‬‬ ‫احلافة أو حساسة‬ ‫‪246‬‬ ‫املهتز ثنائي االستقرار‬
‫‪Multivibrator‬‬
‫‪Sensitive‬‬
‫للحافة‬

‫‪The Dynamic Input‬‬ ‫مؤشر الدخل‬


‫‪254‬‬ ‫‪246‬‬ ‫‪Feedback‬‬ ‫التغذية املرتدة‬
‫‪Indicator‬‬ ‫الديناميكي‬

‫‪A Positive Edge-‬‬ ‫قالب يقدح على‬ ‫املداخل الفعالة عند‬


‫‪255‬‬ ‫‪247‬‬ ‫‪Active High Inputs‬‬
‫‪Triggered Flip-Flop‬‬ ‫احلافة الصاعدة‬ ‫املستوى املرتفع‬

‫القالب جي كا ‪J-K‬‬ ‫منط التشغيل‬


‫‪Mode of‬‬
‫‪257‬‬ ‫‪The J-K Flip-Flop‬‬ ‫‪247‬‬
‫‪Operation‬‬
‫‪257‬‬ ‫‪Toggle Mode‬‬ ‫منط التبديل‬ ‫‪247‬‬ ‫‪Latch RESET‬‬ ‫املاسك يف وضع التصفري‬

‫‪A Negative Edge-‬‬ ‫قالب يقدح على‬


‫‪257‬‬ ‫‪247‬‬ ‫‪Latch SET‬‬ ‫املاسك يف وضع التوضيع‬
‫‪Triggered Flip-Flop‬‬ ‫احلافة اهلابطة‬
‫قالب من نوع جي كا‬
‫‪A Positive Edge-‬‬
‫)‪S-R (SET-RESET‬‬ ‫املاسك من نوع‬
‫‪258‬‬ ‫‪Triggered J-K Flip-‬‬ ‫‪ J-K‬يعمل على‬ ‫‪247‬‬
‫‪Flop‬‬
‫‪Latch‬‬ ‫توضيع – تصفري‬
‫حافة القدح الصاعدة‬
‫قالب من نوع جي كا‬
‫‪A Negative Edge-‬‬ ‫املداخل الفعالة عند‬
‫‪258‬‬ ‫‪Triggered J-K Flip-‬‬ ‫‪ J-K‬يعمل على حافة‬ ‫‪248‬‬ ‫‪Active Low Inputs‬‬
‫‪Flop‬‬ ‫املستوى املنخفض‬
‫القدح اهلابطة‬
‫‪Asynchronous‬‬ ‫‪Pulse Transition‬‬
‫‪260‬‬ ‫املداخل غري املتزامنة‬ ‫‪259‬‬ ‫حساس حافة النبضة‬
‫‪Inputs‬‬ ‫‪Detector‬‬

‫‪270‬‬
‫أساسيات النظم الرقمية‬

‫قالب من نوع دي ‪D‬‬


‫‪A Positive Edge-‬‬
‫‪Advanced High-‬‬
‫‪265‬‬ ‫عايل السرعة متقدم‬ ‫‪260‬‬ ‫‪Triggered D Flip-‬‬ ‫يقدح على احلافة‬
‫‪Speed‬‬
‫‪Flop‬‬
‫الصاعدة‬
‫قالبني من نوع جي كا‬
‫‪Low-Power‬‬ ‫شوتكي منخفض‬ ‫‪Dual Negative‬‬
‫‪265‬‬ ‫‪261‬‬ ‫‪Edge-Triggered‬‬ ‫‪ J-K‬يقدحان على‬
‫‪Schottky‬‬ ‫الطاقة‬ ‫‪J-K Flip-Flop‬‬
‫احلافة اهلابطة‬
‫‪Propagation Delay‬‬
‫‪265‬‬ ‫‪High-Speed CMOS‬‬ ‫مسوس عايل السرعة‬ ‫‪262‬‬ ‫زمن االنتشار‬
‫‪Time‬‬
‫‪265‬‬ ‫‪Shift Registers‬‬ ‫مسجالت اإلزاحة‬ ‫‪263‬‬ ‫‪Set up Time‬‬ ‫زمن االستقرار‬
‫‪265‬‬ ‫‪Binary Counters‬‬ ‫الع ردادات الثنائية‬ ‫‪264‬‬ ‫‪Hold Time‬‬ ‫زمن املسك‬
‫القيمة العظمى لرتدد‬
‫‪The Maximum‬‬
‫‪265‬‬ ‫‪Frequency Division‬‬ ‫تقسيم الرتدد‬ ‫‪264‬‬ ‫الساعة أو تردد نبضات‬
‫‪Clock Frequency‬‬
‫التزامن‬

‫‪Parallel Data‬‬ ‫ختزين البياانت بشكل‬ ‫‪Minimum Pulse‬‬ ‫القيمة الدنيا لعرض‬
‫‪266‬‬ ‫‪264‬‬
‫‪Storage‬‬ ‫على التوازي‬ ‫‪Widths‬‬ ‫النبضات‬
‫‪266‬‬ ‫‪Memories‬‬ ‫الذواكر‬ ‫‪265‬‬ ‫‪Power Dissipation‬‬ ‫الطاقة املبددة‬

‫‪271‬‬
‫أساسيات النظم الرقمية‬

‫‪272‬‬
‫أساسيات النظم الرقمية‬

‫الفصل الثامن ‪8‬‬


‫الدوائر املنطقية التعاقبية‬
‫‪Sequential Logic Circuits‬‬

‫‪273‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫التعرف على أهم الدوائر املنطقية التعاقبية‪.‬‬
‫حتليل الدوائر املنطقية التعاقبية‪.‬‬
‫استخدام خمططات التزامن يف حتليل الدوائر املنطقية التعاقبية‪.‬‬
‫التعرف على أهم الفروقات ما بني الدوائر املنطقية التوافقية والدوائر املنطقية التعاقبية‪.‬‬
‫التعرف على املسجالت كدوائر منطقية تعاقبية‪.‬‬
‫فهم توضيح طريقة القراءة والكتابة يف املسجالت‪.‬‬
‫توضيح طريقة نقل البياانت بني املسجالت‪.‬‬ ‫فهم‬
‫فهم آلية عمل وتصميم مسجالت اإلزاحة أبنواعها‪.‬‬
‫التعرف على أهم تطبيقات مسجالت االزاحة‪.‬‬
‫التعرف على أهم شرائح الدوائر املتكاملة ‪ ICS‬ملسجالت االزاحة‪.‬‬

‫‪274‬‬
‫أساسيات النظم الرقمية‬

‫‪Introduction‬‬ ‫‪ -1‬مقدمة‬
‫‪Sequential‬‬ ‫يف هذ الفصل سوف نتعرف على الصنف الثاين من الدوائر املنطقية الرقمية‪ ،‬وهو الدوائر املنطقية التعاقيبة‬
‫‪ ،Logic Circuits‬هذه الدوائر تتكون من دخل وخرج حيث يتحدد اخلرج عند أي حلظة بقيمة الدخل عند هذه اللحظة واخلرج‬
‫عند اللحظة السابقة‪ ،‬لذلك نقول أن هذه الدوائر متتلك نوع من أنواع الذاكرة حيث أن اخلرج يتحدد جزئياً ابخلرج عند اللحظة‬
‫السابقة الذي تتذكره الدائرة‪ ،‬أي أن هذا النوع من الدوائر له ذاكرة ‪ Memory‬تستطيع ختزين القيم السابقة خلرج الدائرة حبيث‬
‫تستطيع التأثري على خرجها احلايل‪ .‬والسبب يف ظهور هذه القدرة التخزينية هو وجود تغذية مرتدة ‪ Feedback‬من خرج الدائرة إىل‬
‫دخلها‪.‬‬
‫من أهم أنواع الدوائر املنطقية التعاقبية تكون دوائر املاسكات ومسجالت اإلزاحة والع ّدادات‪ ،‬سنعرض تفصيالً عنها يف‬
‫هذا الكتاب‪ ،‬ولن نتعرض لتصميم الدوائر املنطقية التعاقبية ابلتفصيل‪ ،‬كما فعلنا ابلنسبة للدوائر املنطقية التوافقية‪ ،‬بل سنرتك هذه‬
‫الدراسة التفصيلية ملقرر آخر متقدم يف التصميم املنطقي‪.‬‬
‫سنتعرف على حتليل وتصميم الدوائر املنطقية التعاقبية‪ ،‬وعلى أحد أهم أنواعها وهو املسجالت‪ ،‬ووظائفها‪ ،‬ابالضافة إىل‬
‫مسجالت االزاحة وأنواعها وطرق تصيميها وآلية عملها وتطبيقاهتا‪.‬‬

‫‪Sequential Circuits Analysis‬‬ ‫‪ -2‬حتليل الدوائر التعاقبية‬


‫تبعا للقيم احلاضرة ملداخلها‬
‫تعرفنا سابقاً على الدوائر املنطقية التعاقبية ووجدان أبهنا دوائر تتحدد قيم خمارجها يف أية حلظة ً‬
‫أيضا‪ ،‬أي حتتوي هذه الدوائر على عناصر الذاكرة‪.‬‬ ‫والقيم السابقة ملخارج الدائرة ً‬
‫عمليا من جمموعة من القالابت وجمموعة من البواابت املنطقية متصلة مع‬
‫معظم الدوائر التعاقبية املمكن أن تصادفها ً‬
‫بعضها البعض بطريقة ما إلجراء مهمة أو وظيفة ما‪ ،‬ويستخدم عادة مع هذه الدوائر الرقمية إشارة نبضات تزامن واحدة تعمل‬
‫على تنظيم وتزامن عمل الدائرة ككل‪.‬‬
‫إ ًذا تتكون الدائرة من قسمني‪:‬‬
‫األول هو قسم دوائر املنطق التوافقي ‪ Combinational Logic Circuit‬خمتلفة و تتميز هذه الدوائر أبهنا‬
‫تبعا للقيم احلاضرة ملداخلها دون النظر إىل القيم السابقة هلذه املداخل‪.‬‬
‫ً‬ ‫دوائر تتحدد قيم خمارجها يف أية حلظة مباشرة‬
‫الثاين هو قسم الذاكرة ‪ Memory‬الذي ختزن فيه البياانت عن متحوالت الدخل واخلرج السابقة أو ألي منهما‬
‫حسب متطلبات الدائرة‪.‬‬

‫السبب يف ظهور القدرة التخزينية يف الدوائر املنطقية التعاقبية هو وجود تغذية مرتدة ‪ Feedback‬حيث أن خرج الدائرة‬
‫يتم أخذه عرب هذه التغذية املرتدة وإدخاله إىل الدائرة مرة أخرى مع متغريات الدخل‪ ،‬ونظراً لوجود ماضي وحاضر يف الدوائر‬

‫‪275‬‬
‫أساسيات النظم الرقمية‬

‫‪Clock‬‬ ‫املنطقية التعاقبية نستطيع القول أن الزمن ‪ Time‬يدخل فيها كمتغري‪ ،‬ودخول الزمن كمتغري يتطلب وجود إشارة التزامن‬
‫‪ Signal‬يف الدوائر املنطقية التعاقبية للقيام يف الدوائر املنطقية التعاقبية للقيام بدور تنسيقي وتنظيمي هام يف النظام الرقمي‪.‬‬
‫ميكن متثيل دائرة املنطق التتابعي بصندوقني أحدمها ميثل دائرة املنطق التوافقي واألخرى دوائر الذاكرة‪ ،‬كما هو موضح‬
‫ابلشكل (‪.)1-8‬‬
‫‪A‬‬ ‫‪X1‬‬
‫‪B‬‬
‫‪.‬‬
‫‪.‬‬
‫دائرة املنطق التوافقي‬ ‫‪X2‬‬
‫‪.‬‬
‫‪.‬‬ ‫‪.‬‬
‫‪.‬‬ ‫‪Combinational‬‬ ‫‪.‬‬
‫‪.‬‬
‫‪Z‬‬ ‫‪.‬‬
‫‪Logic Circuit‬‬ ‫‪.‬‬
‫‪Xn‬‬

‫‪Y1‬‬
‫‪Y2‬‬
‫دائرة الذاكرة‬ ‫‪.‬‬
‫‪.‬‬
‫‪.‬‬
‫‪.‬‬
‫‪Memory Circuit‬‬ ‫‪.‬‬
‫‪.‬‬
‫‪Ym‬‬

‫الشكل (‪ )1-8‬املخطط الصندوقي لدائرة تعاقبية‬

‫ميكن بشكل عام حتليل عمل الدائرة التعاقبية وذلك إبتباع اخلطوات التالية‪:‬‬
‫‪ .1‬دراسة مفصلة للدائرة املنطقية من قالابت وبواابت منطقية والتعرف على مجيع أجزائها‪.‬‬

‫حتديد وحساب املستوى املنطقي لدخل كل قالب قبل ورود نبضة التزامن‪.‬‬ ‫‪.2‬‬
‫استخدام املستوى املنطقي لكل قالب قبل ورود نبضة التزامن يف حتديد خرج القالب بعد ورود نبضة التزامن‪.‬‬ ‫‪.3‬‬
‫إعادة اخلطوتني ‪ 2‬و ‪ 3‬بعد كل ورود لنبضة التزامن‪.‬‬ ‫‪.4‬‬
‫واجلدول (‪ )1-8‬يلخص أهم الفروقات ما بني الدوائر املنطقية التوافقية والدوائر املنطقية التعاقبية‬
‫الدوائر املنطقية التعاقبية‬ ‫الدوائر املنطقية التوافقية‬
‫‪Sequential Circuits Analysis‬‬ ‫‪Combinational Logic Circuit‬‬

‫يعتمد على القيم احلالية للدخل وعلى القيم السابقة للخرج‬ ‫يعتمد على القيم احلالية للدخل فقط‬ ‫‪Output‬‬ ‫اخلرج‬
‫هلا ذاكرة‬ ‫ليس هلا ذاكرة‬ ‫الذاكرة ‪Memory‬‬

‫توجد هبا تغذية مرتدة‬ ‫ال توجد هبا تغذية مرتدة‬ ‫‪Feedback‬‬ ‫التغذية املرتدة‬
‫يدخل الزمن فيها كمتغري‬ ‫توجد هبا تغذية مرتدة‬ ‫‪Time‬‬ ‫الزمن‬
‫توجد هبا إشارة تزامن‬ ‫ال توجد هبا إشارة تزامن‬ ‫إشارة التزامن ‪Clock‬‬

‫العدادات الثنائية‪ ،‬الذواكر‬


‫القالابت‪ ،‬املسجالت‪ّ ،‬‬ ‫الدوائر احلسابية‪ ،‬حملل الشفرة‪ ،‬املشفر‪ ،‬الناخب‪ ،‬املوزع‬ ‫‪Applications‬‬ ‫التطبيقات‬

‫اجلدول (‪ )1-8‬ملخص ألهم الفروقات ما بني الدوائر املنطقية التوافقية والدوائر املنطقية التعاقبية‬

‫‪276‬‬
‫أساسيات النظم الرقمية‬

‫‪Registers‬‬ ‫‪ 1-2‬املسجالت‬
‫املسجل ‪ Register‬هو عبارة عن دائرة منطقية تعاقبية هلا وظيفتني أساسيتني مها‪:‬‬
‫ختزين البياانت ‪.Data Storage‬‬
‫نقل البياانت ‪.Data Movement‬‬

‫قدرات التخزين للمسجل جتعل منه نوع مهم من أجهزة الذاكرة‪ ،‬حيث يعرب املسجل عن موقع ختزيين له القدرة على‬
‫ختزين معلومة مكونة من عدة خاانت (‪ ، )Bits‬وهي أحد أنواع الدوائر املنطقية التعاقبية‪ ،‬ووجدان من دراستنا السابقة لدوائر‬
‫القالب أنه ميكن ختزين رقم ثنائي مفرد (‪ ،)Bit‬بواسطة دائرة قالب واحدة‪ ،‬ومن مث ميكن توصيل عدد من دوائر القالب معاً لبناء‬
‫املسجل‪ ،‬والذي يستخدم كذاكرة مؤقتة لتخزين كمية صغرية من البياانت ولفرتة زمنية قصرية‪ ،‬وذلك متهيداً لنقلها كما يف‬
‫مسجالت النقل أو العزل ‪ ،Buffer Registers‬أو الزاحة البياانت ‪ ،Shift Registers‬أو من أجل نقل البياانت بشكل‬
‫‪.Parallel Data‬‬ ‫متسلسل ‪ ،Serial Data‬أو نقل البياانت بشكل متوازي‬
‫والعمليات اليت ميكن إجراؤها على املسجالت هي‪:‬‬
‫‪ Write‬أي ختزين معلومة يف املسجل‪.‬‬ ‫الكتابة‬
‫‪ Read‬أي إسرتجاع معلومة خمزنة يف املسجل‪.‬‬ ‫القراءة‬
‫‪.Register-to-Register Transfer‬‬ ‫نقل البياانت ما بني املسجالت‬

‫الشكل (‪ )2-8‬يوضح مفهوم ختزين واحد ‪ 1‬أو صفر ‪ 0‬يف قالب من نوع دي ‪ ،D flip-flop‬عند تطيبيق واحد ‪1‬‬
‫على مدخل البياانت كما هو موضح‪ ،‬فمع نبضة التزامن يتم ختزين الواحد ‪ 1‬يف القالب‪ ،‬وعندما يزال الواحد ‪ 1‬من الدخل‪،‬‬
‫ويتم ختزين الواحد ‪ .1‬وبنفس الطريقة يتم ختزين الصفر ‪ 0‬ويتم تصفري‬ ‫‪SET‬‬ ‫القالب حيتفظ حبالة الواحد ‪ 1‬أي حالة التوضيع‬
‫القالب ‪.resetting‬‬

‫‪D flip-flop‬‬ ‫الشكل (‪ )2-8‬عملية التخزين يف املسجل املبين من قالب من نوع دي‬

‫‪277‬‬
‫أساسيات النظم الرقمية‬

‫سعة التخزين للمسجل ‪ Storage Capacity‬هي العدد الكلي من اخلاانت الثنائية األصفار والواحدات للبياانت الرقمية‬
‫اليت ميكنه االحتفاظ هبا‪ ،‬وابلتايل عدد القالابت املؤلف منها املسجل حيدد امكانياته وسعته التخزينية‪.‬‬

‫‪Write and Read Operations‬‬ ‫‪ 1-1-2‬الكتابة يف املسجالت والقراءة منها‬


‫عند إجراء عملية كتابة ‪ Write‬يف املسجل فإن املعلومة املطلوب ختزينها عادة ما تصل إىل املسجل من خالل انقل بياانت‬
‫‪ Data Bus‬و عند إجراء عملية قراءة ‪ Read‬من املسجل فإن املعلومة اليت مت إسرتجاعها عادة ما تنقل من املسجل إىل اجلهة‬
‫املقصودة عرب انقل البياانت ‪ Data Bus‬أيضاً‪ .‬وانقل البياانت هذا هو عبارة عن جمموعة من املوصالت املتوازية كل منها حيمل خانة‬
‫واحدة ‪ bit‬فقط من البياانت‪ ،‬و الشكل (‪ )8-3‬يوضح انقل بياانت ذو أربعة خاانت ‪4-Bit Data Bus‬‬

‫‪B0‬‬
‫‪B1‬‬ ‫انقل بياانت‬
‫‪B2‬‬ ‫‪Data Bus‬‬
‫‪B3‬‬

‫‪4-Bit Data Bus‬‬ ‫الشكل (‪ )3-8‬انقل بياانت ذو أربعة خاانت‬

‫الحظ أنه من الناحية الكهرابئية البد من وجود موصل خامس يف انقل البياانت ذو األربعة خاانت املوضح أعاله‪ ،‬و هذا‬
‫املوصل اخلامس هو املوصل األرضي ‪ Ground‬أو ‪ GND‬الذي يعترب مرجع قياس اجلهود ابلنسبة لبقية املوصالت‪ .‬ولكن ال يتم عادة‬
‫توضيح هذا املوصل األرضي و إمنا يُفهم وجوده ضمناً‪ ،‬و ذلك كنوع من التبسيط‪.‬‬

‫هذا ويتم ربط كل من أطراف الدخل وأطراف اخلرج للبياانت للمسجل بناقل البياانت ابستخدام عوازل‪ ،‬هذه العوازل‬
‫تسمح مبرور الدخل كما هو إىل اخلرج يف املسجل‪ ،‬أو تقوم مبنع أو عزل الدخل عن اخلرج‪.‬‬

‫إلجراء عملية الكتابة ‪ Write‬يف املسجل للبياانت املوجودة على الناقل‪ ،‬يتم وصل أطراف الدخل للمسجل مع الناقل‬
‫‪ ،Bus‬وتنتقل البياانت املوجودة على الناقل إىل داخل املسجل‪ ،‬ويتم ختزينها‪.‬‬
‫إلجراء عملية القراءة ‪ Read‬من املسجل‪ ،‬يتم وصل أطراف اخلرج للمسجل مع الناقل ‪ ،Bus‬وتنتقل البياانت املخزنة‬
‫يف املسجل إىل الناقل‪.‬‬

‫‪Register-to-Register Transfer‬‬ ‫‪ 2-1-2‬نقل البياانت ما بني املسجالت‬


‫لنقل البياانت بني جمموعة من املسجالت يتم ربط تلك املسجالت بناقل مشرتك ‪ ،Common Bus‬حيث يتم نقل‬
‫البياانت من مسجل إىل آخر ابستخدام الناقل كوسيط‪ ،‬ويتم قراءة حمتوايت املسجل األول لتظهر تلك احملتوايت على الناقل‪ ،‬بعد‬
‫ذلك يتم قراءهتا من الناقل بواسطة املسجل الثاين‪.‬‬

‫‪278‬‬
‫أساسيات النظم الرقمية‬

‫االزاحة ‪Shift Register‬‬ ‫‪ 2-2‬مسجل‬


‫مسجل اإلزاحة ‪ Shift Register‬هو عبارة عن مسجل يستطيع‪ ،‬إضافة إىل العمليات السابقة‪ ،‬عمل إزاحة للبياانت‬
‫املوجودة بداخله مبقدار خانة واحدة أو أكثر مييناً أو يساراً ‪ .one bit‬ووجدان أن عدد القالابت حيدد سعة املسجل وميثل أيضاً‬
‫‪.one bit of storage capacity‬‬ ‫عدد املراحل حيث كل مرحلة متثل خانة واحد من سعة التخزين‬
‫تستخدم مسجالت اإلزاحة لنقل حمتوايت مسجل إىل مسجل آخر بشكل تسلسلي‪ ،‬أي خانة واحدةيف كل عملية‪ ،‬أو‬
‫لتحويل اإلشارة الرقمية على التوازي ‪ Parallel‬إىل إشارة رقمية تسلسلية ‪ Parallel‬على خط وحيد‪.‬‬
‫امكانية االزاحة ‪ Shift Capability‬للمسجل تسمح ابنتقال البياانت من مرحلة إىل مرحلة ضمن املسجل‪ ،‬أو من‬
‫داخل املسجل أو إىل خارجه‪ ،‬الشكل (‪ )4-8‬يوضح أنواع احلركة األساسية للبياانت يف مسجل االزاحة‪ ،‬وذلك ملسجل من أربع‬
‫بتات ‪.4-Bit Register‬‬

‫‪4-Bit Register‬‬ ‫الشكل (‪ )4-8‬أنواع حركة البياانت ضمن مسجل االزاحةمن أربع خاانت‬

‫من الشكل (‪ )4-8‬جند أنواع احلركة األساسية للبياانت ملسجل االزاحة تكون‪:‬‬
‫‪ -‬ادخال من اليسار ‪ left‬مع االزاحة (ادخال على التسلسل ‪/Serial in‬اخراج على التسلسل ‪ )Serial out‬كما يف (‪.)a‬‬
‫‪ -‬ادخال من اليمني ‪ right‬مع االزاحة (ادخال على التسلسل ‪/Serial in‬اخراج على التسلسل ‪ )Serial out‬كما يف (‪.)b‬‬

‫‪279‬‬
‫أساسيات النظم الرقمية‬

‫‪ -‬ادخال مع االزاحة (ادخال على التوازي ‪/Parallel in‬اخراج على التسلسل ‪ )Serial out‬كما يف (‪.)c‬‬
‫‪ -‬ادخال مع االزاحة (ادخال على التسلسل ‪/Serial in‬اخراج على التوازي ‪ )Parallel out‬كما يف (‪.)d‬‬
‫‪ -‬ادخال مع االزاحة (ادخال على التوازي ‪/Parallel in‬اخراج على التوازي ‪ )Parallel out‬كما يف (‪.)e‬‬
‫‪ -‬االزاحة الدورانية حنو اليسار ‪ Rotate Left‬كما يف (‪.)g‬‬
‫‪ -‬االزاحة الدورانية حنو اليمني ‪ Rotate Right‬كما يف (‪.)f‬‬

‫‪Types of Shift Register Data I/Os‬‬ ‫‪ 3-2‬أنواع مسجل االزاحة حسب طريقة إدخال وإخراج البياانت‬
‫يعترب مسجل االزاحة من املكوانت اإللكرتونية متعددة االستخدام ىف الكثري من التطبيقات الرقمية‪ ،‬حيث يستخدم‬
‫مسجل اإلزاحة ىف تسجيل البياانت الرقمية حيث ميكن إجراء بعض العمليات على حمتوايت هذا املسجل‪ ،‬من هذه العمليات‬
‫مثال‪ ،‬إزاحة البياانت من اليمني إىل اليسار أو العكس‪ ،‬أو دوران البياانت من اليمني لليسار أيضاً أو العكس‪ ،‬ميكن إدخال‬
‫البياانت على املسجل على التوازي وإخراجها على التسلسل‪ ،‬أو إدخاهلا على التسلسل مث إخراجها على التوازي‪ ،‬كل هذه‬
‫العمليات سنرى يف هذا الفصل كيفية حتقيقها‪.‬‬
‫وحدة بناء مسجل اإلزاحة هى القالب من النوع دي ‪ ،D‬هذا القالب له دخل واحد امسه دي ‪ ،D‬والدخل اآلخر هو‬
‫طرف التزامن ‪ ،)CLK( C‬وكما رأينا يف الفصل السابق أن هذا القالب به خرج طبيعي كيو ‪ Q‬وخرج متمم له‪ ،‬االشارة املوجودة‬
‫على الدخل دي ‪ D‬تنتقل إىل اخلرج كيو ‪ Q‬بعد اعطاء نبضة على طرف التزامن ‪ ،C‬وأحياانً يقال أن تسمية القالب دي ‪D‬‬
‫جاءت اختصاراً لكلمة أتخري زمين ‪ delay‬ألنه يقوم بتأخري اظهار البياانت على اخلرج‪.‬‬
‫سنناقشها ابلتفصيل‬ ‫‪inputs/outputs‬‬ ‫يوجد أربعة أنواع ملسجل االزاحة ابالعتماد على طريقة ادخال واخرج البياانت‬
‫مع الرسم ‪ ،‬وهي‪:‬‬
‫‪Serial In/Serial Out Shift Registers‬‬ ‫مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التسلسل‬
‫‪Serial In/Parallel Out Shift Registers‬‬ ‫مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التوازي‬
‫‪Parallel In/Serial Out Shift Registers‬‬ ‫مسجالت االزاحة ادخال على التوازي‪/‬اخراج على التسلسل‬
‫‪Parallel In/Parallel Out Shift Registers‬‬ ‫مسجالت االزاحة ادخال على التوزاي‪/‬اخراج على التوازي‬

‫‪Serial In/Serial Out Shift Registers‬‬ ‫‪ 1-3-2‬مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التسلسل‬
‫مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التسلسل ‪ Serial In/Serial Out Shift Registers‬تقبل‬
‫البياانت بشكل تسلسلي حبيث تكون خانة واحد من البياانت على خط ادخال وحيد ‪ Serial data input‬من اليسار‪ ،‬ويتم‬
‫اخراج البياانت املخزنة فيها على اخلرج بشكل تسلسلي ‪.Serial data output‬‬

‫‪280‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )5-8‬يظهر مسجل ازاحة أربع خاانت ‪ ،4-bit register‬مبين من أربع قالابت من نوع دي ‪،D flip-flops‬‬
‫له أربع مراحل‪ ،‬ميكنه ختزين حىت أربع خاانت ثنائية من البياانت ‪.up to four bits of data‬‬

‫التسلسل ‪Serial In/Serial Out Shift Registers‬‬ ‫الشكل (‪ )5-8‬مسجل ازاحة ادخال على التسلسل‪/‬اخراج على‬

‫‪LSB‬‬ ‫على سبيل املثال إذا أردان ادخال األربع خاانت الثنائية ‪ 1010‬إىل مسجل االزاحة‪ ،‬حبيث نبدأ ابخلانة األقل أمهية‬
‫وهي اخلانة على اليمني‪ ،‬و احلالة االبتدائية للمسجل تكون حبالة تصفري ‪ clear‬صفر ‪.0‬‬

‫األول ‪FF0‬‬ ‫تطبيق اخلانة األوىل وهي الصفر ‪ 0‬على خط البياانت ‪ ،Serial data input‬جتعل ‪ D = 0‬من أجل القالب‬
‫عند تطبيق نبضة التزامن األوىل ‪ ،CLK‬القالب األول ‪ FF0‬يصبح حبالة تصفري ‪ reset‬وخيزن اخلانة صفر ‪.0‬‬
‫عند تطبيق اخلانة الثانية وهي الواحد ‪ 1‬على خط البياانت ‪ ،Serial data input‬جتعل ‪ D = 1‬من أجل القالب‬
‫األول ‪ ،FF0‬و ‪ D = 0‬من أجل القالب الثاين ‪ FF1‬ألن الدخل دي ‪ D‬من أجل القالب الثاين ‪ FF1‬موصول مع خرج القالب‬
‫األول ‪ ،Q0‬ومع نبضة التزامن الثانية الواحد ‪ 1‬على خط البياانت يزاح إىل القالب األول ‪ FF0‬واضعاً هذا القالب حبالة التوضيع‬
‫‪ set‬أي واحد ‪ ،1‬والصفر ‪ 0‬الذي كان يف القالب القالب األول ‪ FF0‬يزاح إىل القالب الثاين ‪.FF1‬‬
‫عند تطبيق اخلانة الثالثة وهي الصفر ‪ 0‬على خط البياانت ‪ ،Serial data input‬ونبضة التزامن تطبق‪ ،‬الصفر ‪ 0‬يدخل‬
‫إىل القالب األول ‪ ،FF0‬الواحد ‪ 1‬املخزن يف القالب األول ‪ FF0‬يزاح إىل القالب الثاين ‪ ،FF1‬الصفر ‪ 0‬املخزن يف القلب الثاين‬
‫‪ FF1‬يزاح إىل القالب الثالث ‪ .FF2‬عند تطبيق اخلانة االخرية وهي الواحد ‪ 1‬على خط البياانت ‪ ،Serial data input‬ونبضة‬
‫التزامن تطبق‪ ،‬الواحد ‪ 1‬يدخل إىل القالب األول ‪ ،FF0‬الصفر ‪ 0‬املخزن يف القالب األول ‪ FF0‬يزاح إىل القالب الثاين ‪،FF1‬‬
‫الواحد ‪ 1‬املخزن يف القلب الثاين ‪ FF1‬يزاح إىل القالب الثالث ‪ ،FF2‬الصفر ‪ 0‬املخزن يف القلب الثالث ‪ FF2‬يزاح إىل القالب‬
‫الرابع ‪ .FF3‬اجلدول (‪ )2-8‬يوضح عملية ادخال ادخال األربع خاانت ثنائية ‪ 1010‬إىل مسجل االزاحة‪.‬‬

‫اجلدول (‪)2-8‬‬

‫‪281‬‬
‫أساسيات النظم الرقمية‬

‫نالحظ أنه يف االدخال على التسلسل‪ ،‬خانة واحدة من البياانت تنتقل يف كل مرة‪.‬‬
‫إذا أردان أن حنصل على البياانت من املسجل أو نقلها إىل خارج املسجل‪ ،‬اخلاانت الثنائية جيب أن تزاح بشكل‬
‫تسلسلي إىل خرج القالب األخري ‪ ،Q3‬بعد نبضة التزامن الرابعة ‪ CLK4‬من عملية ادخال البياانت السابقة‪ ،‬اخلانة األقل‬
‫أمهية ‪ ،LSB‬وهي الصفر ‪ 0‬تظهر على اخلرج األخري ‪ ،Q3‬وعند تطبيق نبضة التزامن اخلامسة ‪ CLK5‬اخلانة الثانية تظهر على اخلرج‬
‫األخري ‪ ،Q3‬نبضة التزامن السادسة ‪ CLK6‬تزيح اخلانة الثالثة إىل اخلرج األخري ‪ ،Q3‬وعند تطبيق نبضة التزامن السابعة ‪CLK7‬‬
‫اخلانة الرابعة تزاح وتظهر على اخلرج األخري ‪ ،Q3‬وهكذا يكون اخلاانت األربعة مت ازاحتها إىل خارج املسجل‪ ،‬الشكل (‪)6-8‬‬
‫يوضح أشكال املوجة هلذه العمليات ونالحظ أن البياانت الثنائية األربعة خزنت بعد أربع نبضات تزامن‪ ،‬اجلدول (‪ )3-8‬يوضح‬
‫ذلك‪.‬‬

‫اجلدول (‪)3-8‬‬

‫الشكل (‪ )6-8‬أشكال املوجة لعملية ادخال القيمة الثنائية ‪ 1010‬إىل مسجل االزاحة أبربع خاانت‪ ،‬ادخال على‬
‫التسلسل‪/‬اخراج على التسلسل‬

‫‪282‬‬
‫أساسيات النظم الرقمية‬

‫الرمز الصندوقي املنطقي ملسجل ازاحة ادخال على التسلسل‪/‬اخراج على التسلسل يظهر يف الشكل (‪ )7-8‬حيث الرمز‬
‫‪ SRG‬يشري مسجل ازاحة بسعة مثان خاانت ‪.8-Bit Serial In/Serial Out Shift Register‬‬

‫خاانت ‪8-Bit Serial In/Serial‬‬ ‫الشكل (‪ )7-8‬الرمز املنطقي ملسجل ازاحة ادخال على التسلسل‪/‬اخراج على التسلسل بثمان‬
‫‪Out Shift Register‬‬

‫‪Serial In/Parallel Out Shift Registers‬‬ ‫‪ 2-3-2‬مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التوازي‬
‫يتم يف مسجالت االزاحة ادخال على التسلسل‪/‬اخراج على التوازي ادخال البياانت بشكل تسلسلي حبيث تكون خانة‬
‫واحد من البياانت على خط ادخال وحيد من اليسار‪ ،‬وهي اخلانة األقل أمهية ‪ ،LSB‬كما يف املسجل السابق‪ ،‬الفرق الوحيد يف‬
‫طريقة اخراج البياانت املخزنة فيها واليت تتم على التوازي‪ ،‬حيث املسجل ذو اخلرج التفرعي يكون خرج كل مرحلة متوفراً حاملا يتم‬
‫ختزين البياانت‪ ،‬حيث تظهر كل خانة ثنائية على خط اخلرج املوافق‪ ،‬ومجيع اخلاانت الثنائية تظهر بنفس الوقت بدالً من خانة خانة‬
‫كما يف االخراج التسلسلي‪.‬‬
‫‪a 4-bit serial‬‬ ‫الشكل (‪ )8-8‬يظهر مسجل ازاحة من نوع ادخال على التسلسل‪/‬اخراج على التوازي أبربع خاانت‬
‫‪ in/parallel out shift register‬مع الرمز املنطقي‪ .‬والشكل (‪ ) 9-8‬يظهر أشكال املوجة خلط البياانت وخط التزامن هلذا‬
‫املسجل مع احلالة االبتدائية للمسجل هي كل القالابت واحد ‪ ،1‬وجند أن املسجل حيتوي على القيمة الثنائية ‪ 0110‬بعد أربع‬
‫نبضات تزامن‪.‬‬

‫له ‪a 4-bit serial‬‬ ‫الشكل (‪ )8-8‬مسجل ازاحة ادخال على التسلسل‪/‬اخراج على التوازي من اربع خاانت مع الرمز املنطقي‬
‫‪in/parallel out shift register‬‬

‫‪283‬‬
‫أساسيات النظم الرقمية‬

‫يظهر يف الشكل (‪ ،)10-8‬الرمز املنطقي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على التسلسل‪/‬اخراج‬
‫على التوازي بثمان خاانت ‪ 8-bit serial in/parallel out shift register‬اليت حتمل الرقم ‪ ،74HC164‬حتتوي شرحية هذه مثان‬
‫خمارج على التوازي هي من ‪ Q0‬حىت ‪ ،Q7‬وللشرحية طرف غري متزامن للتصفري فعال عند احلالة املنخفضة‪ ،‬وهلا طريف ادخال‬
‫تسلسلي مها ‪A, B‬‬

‫الشكل (‪ )9-8‬أشكال املوجة لعملية ادخال القيمة الثنائية ‪ 0110‬إىل مسجل االزاحة أبربع خاانت ادخال على التسلسل‪/‬اخراج‬
‫على التوازي‬

‫الشكل (‪ )10-8‬الرمز املنطقي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على التسلسل‪/‬اخراج على التوازي بثمان‬
‫خاانت ‪ 8-bit serial in/parallel out shift register‬اليت حتمل الرقم ‪74HC164‬‬

‫‪284‬‬
‫أساسيات النظم الرقمية‬

‫‪Parallel In/Serial Out Shift Registers‬‬ ‫‪ 3-3-2‬مسجالت االزاحة ادخال على التوازي‪/‬اخراج على التسلسل‬
‫يف مسجل االزاحة من نوع ادخال على التوازي‪/‬اخراج على التسلسل‪ ،‬يتم ادخال البياانت بنفس الوقت على خطوط‬
‫دخل متوازية‪ ،‬بدالً من إدخاهلا خانة خانة خبط دخل وحيد‪ ،‬اخلرج التسلسلي يكون كما يف مسجالت االزاحة من نوع ادخال‬
‫على التسلسل‪/‬اخراج على التسلسل‪ ،‬ويظهر حاملا يتم ختزين كامل اخلاانت يف املسجل‪.‬‬
‫‪a 4-bit‬‬ ‫الشكل (‪ )11-8‬يظهر مسجل ازاحة من نوع ادخال على التوازي‪/‬اخراج على التسلسل أبربع خاانت‬
‫‪ parallel in/serial out shift register‬مع الرمز املنطقي‪ ،‬حيث يوجد أربع خطوط دخل للبياانت من ‪ D0‬حىت ‪ D3‬ابالضافة‬
‫إىل مدخلني للتحكم مها حتميل ‪ /‬ازاحة ‪ SHIFT/LOAD‬اليت تسمح للخاانت األربع ابلتحميل إىل املسجل‪ ،‬عندما‪:‬‬

‫تكون يف حالة متكني تسمح للخاانت الثنائية لتطبق‬ ‫‪G4‬‬ ‫من ‪ G1‬حىت‬ ‫‪AND‬‬ ‫البواابت آند‬ ‫‪SHIFT/LOAD= 0‬‬
‫‪D= 0‬‬ ‫سيكون القالب يف حالة التوضيع‪ ،‬ومع‬ ‫‪D=1‬‬ ‫على الدخل دي ‪ D‬للقالب املوافق‪ ،‬فمع نبضة التزامن عندما يكون‬
‫القالب سيكون يف حالة التصفري‪ ،‬ابلتايل ختزين كل اخلاانت يكون بنفس الوقت‪.‬‬
‫‪ SHIFT/LOAD= 1‬البواابت آند ‪ AND‬من ‪ G1‬حىت ‪ G4‬تكون يف حالة عدم التمكني‪ ،‬والبواابت آند ‪ AND‬من‬
‫‪ G5‬حىت ‪ G7‬تكون يف حالة التمكني تسمح للخاانت الثنائية ابالزاحة حنو اليمني تتابعياً من املرحلة األوىل إىل املرحلة التالية حىت‬
‫املرحلة األخرية‪ ،‬البواابت آند ‪ AND‬تسمح إما بعملية ازاحة عادية أو عملية ادخال بياانت على التوازي‪.‬‬
‫الشكل (‪ )12-8‬يظهر أشكال املوجة للخرج ملسجل ازاحة من نوع ادخال على التوازي‪/‬اخراج على التسلسل أبربع‬
‫خاانت‪ ،‬مع أشكال املوجة لنبضة التزامن وخلط التحكم حتميل ‪ /‬ازاحة ‪SHIFT/LOAD‬‬
‫نالحظ مع نبضة التزامن االوىل يتم حتميل البياانت على التوازي التالية إىل املسجل‪ ،‬اخلرج ‪ Q3‬يصبح صفر ‪.0‬‬
‫)‪(D0D1D2D3 = 1010‬‬

‫‪Q3‬‬ ‫مع نبضة التزامن الثانية الواحد ‪ 1‬يف ‪ Q2‬يزاح إىل‬ ‫‪-‬‬

‫‪Q3‬‬ ‫مع نبضة التزامن الثالثة الصفر ‪ 0‬تزاح إىل‬ ‫‪-‬‬

‫‪Q3‬‬ ‫مع نبضة التزامن الرابعة اخلانة األخرية واحد ‪ 1‬تزاح إىل‬ ‫‪-‬‬

‫‪ -‬مع نبضة التزامن اخلامسة كل خاانت البياانت تزاح خارجاً وفقط واحد ‪ 1‬يبقى يف املسجل‪ ،‬مع افرتاض أن‬
‫الدخل ‪ D0‬يبقى واحد ‪.1‬‬

‫نالحظ أنه يف االدخال على التوازي‪ ،‬عدد من اخلاانت الثنائية تنقل يف نفس الوقت‪.‬‬

‫‪285‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )11-8‬املخطط املنطقي مع الرمز املنطقي ملسجل ازاحة من نوع ادخال على التوازي‪/‬اخراج على التسلسل أبربع‬
‫خاانت ‪a 4-bit parallel in/serial out shift register‬‬

‫الشكل (‪ )12-8‬أشكال املوجة لعملية ادخال القيمة الثنائية ‪ 1010‬إىل مسجل االزاحة أبربع خاانت ادخال على التوازي‪/‬اخراج‬
‫على التسلسل ‪ a 4-bit parallel in/serial out shift register‬مع الرمز املنطقي‬

‫‪286‬‬
‫أساسيات النظم الرقمية‬

‫يظهر يف الشكل (‪ ،)13-8‬الرمز املنطقي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على التوازي‬
‫‪/‬اخراج على التسلسل مع التحميل بثمان خاانت ‪ 8-bit parallel load shift register‬اليت حتمل الرقم ‪ ،74HC165‬واليت‬
‫ميكن أن تعمل أيضاً كمسجل من نوع ادخال على التسلسل‪/‬اخراج على التسلسل‪ ،‬حيث ميكن إدخال البياانت له على التوازي‬
‫من أطراف البياانت الثمانية من ‪ D0‬حىت ‪ ،D7‬اخلرج للمسجل هو ‪ Q7‬ومتممه‪ ،‬وحتتوي ابالضافة إىل طرف التحكم حتميل‪/‬ازاحة‬
‫‪ ،SH/LD‬الطرف ‪ ،CLK INH‬وعندما يكون يف احلالة املرتفعة ‪ HIGH‬فإن نبضات التزامن يتم حجبها ويتم جتميد الشرحية‪.‬‬

‫الشكل (‪ )13-8‬الرمز املنطقي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على التوازي (التسلسل)‪/‬اخراج على‬
‫التسلسل بثمان خاانت ‪ 8-bit parallel load shift register‬اليت حتمل الرقم ‪74HC165‬‬

‫‪ 4-3-2‬مسجالت االزاحة ادخال على التوازي‪/‬اخراج على التوازي ‪Parallel In/Parallel Out Shift Registers‬‬
‫مسجل االزاحة من نوع ادخال على التوازي‪/‬اخراج على التوازي ‪ parallel in/parallel out shift register‬تستخدم‬
‫فيه الطريقة على التوازي يف االدخال واالخراج‪ ،‬مباشرة بعد االدخال املتزامن لكل البياانت من اخلاانت الثنائية‪ ،‬تظهر على اخلرج‬
‫املتوازي‪ .‬مت مناقشة طريقة ادخال واخراج البياانت على التوازي سابقاً‪ ،‬الشكل (‪ )14-8‬يظهر هذا املسجل‪.‬‬

‫الشكل (‪ )14-8‬املسجل من نوع ادخال على التوازي‪/‬اخراج على التوازي‬

‫‪287‬‬
‫أساسيات النظم الرقمية‬

‫يظهر يف الشكل (‪ ،)15-8‬الرمز املنطقي النموذجي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على‬
‫التوازي‪/‬اخراج على التوازي أبربع خاانت ‪ 4-bit parallel access shift register‬اليت حتمل الرقم ‪ ،74HC195‬واليت ميكن أن‬
‫تعمل أيضاً كمسجل من نوع ادخال على التسلسل‪/‬اخراج على التسلسل‪ ،‬وكمسجل من نوع ادخال على التفرع ‪/‬اخراج على‬
‫التسلسل‪ ،‬حيث ميكن استخدام اخلرج ‪ ،Q3‬ونالحظ أن هلا مدخلني جي وكا ‪ J, K‬من أجل االدخال على التسلسل للمرحلة‬
‫يكون فعال عند احلالة املنخفضة ابالضافة إىل طرف التحكم‬ ‫‪CLR‬‬ ‫األوىل من املسجل‪ ،‬وحتتوي طرف من أجل التصفري‬
‫حتميل‪/‬ازاحة ‪.SH/LD‬‬
‫عندما ‪ SH/LD= 0‬مع نبضة التزامن على الطرف ‪ CLK‬تنتقل البياانت من املداخل إىل املخارج‪.‬‬
‫عندما ‪ SH/LD= 1‬مع نبضة التزامن على الطرف ‪ CLK‬تنتقل البياانت املخزنة مزاحة حنو اليمني من ‪ Q0‬إىل ‪.Q3‬‬

‫الشكل (‪ )15-8‬الرمز املنطقي النموذجي لشرحية الدائرة املتكاملة ملسجل االزاحة من نوع ادخال على التوازي‪/‬اخراج على التوازي‬
‫أبربع خاانت ‪ 4-bit parallel access shift register‬اليت حتمل الرقم ‪74HC195‬‬

‫‪288‬‬
‫أساسيات النظم الرقمية‬

‫‪Bidirectional Shift Registers‬‬ ‫‪ 4-2‬مسجالت االزاحة ثنائية االجتاه‬


‫مسجل االزاحة ثنائي االجتاه ‪ Bidirectional Shift Register‬هو املسجل الذي فيه ميكن للبياانت أن تزاح مييناً‬
‫ويساراً‪ ،‬وميكن أن يصمم ابستخدام منطق متزامن يساعد نقل البياانت من مرحلة إىل أخرى إىل اليمني أو اليسار ابالعتماد على‬
‫قيمة خط ا لتحكم‪.‬‬
‫الشكل (‪ )16-8‬يوضح مسجل ازاحة ثنائي االجتاه أبربع خاانت ‪ ،A 4-bit bidirectional shift register‬احلالة‬
‫املرتفعة ‪ HIGH‬على خط التحكم ‪ RIGHT/LEFT‬تسمح للبياانت الثنائية داخل املسجل أن تزاح إىل اليمني‪ ،‬وعندم يكون يف‬
‫احلالة املنخفضة ‪ LOW‬متكن البياانت الثنائية داخل املسجل أن تزاح إىل اليسار‪.‬‬
‫البواابت آند ‪ AND‬من ‪ G1‬حىت ‪ G4‬تكون يف حالة عدم التمكني‪ ،‬والبواابت آند ‪ AND‬من ‪ G5‬حىت ‪ G7‬تكون يف‬
‫حالة التمكني تسمح للخاانت الثنائية ابالزاحة حنو اليمني تتابعياً من املرحلة األوىل إىل املرحلة التالية حىت املرحلة األخرية‪ ،‬البواابت‬
‫آند ‪ AND‬تسمح إما بعملية ازاحة عادية أو عملية ادخال‬
‫‪RIGHT/LEFT = 1‬‬ ‫عندما خط التحكم ‪ RIGHT/LEFT‬يكون يف احلالة املرتفعة ‪ HIGH‬أي‬
‫البواابت آند ‪ AND‬من ‪ G1‬حىت ‪ G4‬تكون يف حالة التمكني‪ ،‬وحالة اخلرج كيو ‪ Q‬لكل قالب مترر من خالل الدخل‬
‫دي ‪ D‬إىل القالب التايل‪ ،‬عند حدوث نبضة الساعة‪ ،‬خاانت البياانت تزاح مكان واحد حنو اليمني‪.‬‬
‫‪RIGHT/LEFT = 0‬‬ ‫عندما خط التحكم ‪ RIGHT/LEFT‬يكون يف احلالة املخفضة ‪ LOW‬أي‬
‫البواابت آند ‪ AND‬من ‪ G5‬حىت ‪ G8‬تكون يف حالة التمكني‪ ،‬وحالة اخلرج كيو ‪ Q‬لكل قالب مترر من خالل الدخل‬
‫دي ‪ D‬إىل القالب السابق‪ ،‬عند حدوث نبضة الساعة‪ ،‬خاانت البياانت تزاح مكان واحد حنو اليسار‪.‬‬

‫‪A 4-bit bidirectional shift register‬‬ ‫الشكل (‪ )16-8‬مسجل ازاحة ثنائي االجتاه أبربع خاانت‬

‫‪289‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪ )17-8‬يظهر أشكال املوجة للتزامن وللخرج ملسجل ازاحة ثنائي االجتاه أبربع خاانت‪ ،‬مع أشكال املوجة‬
‫خلطوط التحكم ‪ ،RIGHT/LEFT‬مع الفرض أن ‪ Q1 = 1, Q2 = 0, Q3 = 1 Q0 = 1‬واخلط التسلسلي لبياانت الدخل ‪serial‬‬
‫‪ data-input line‬يف احلالة املنخفضة ‪ ،LOW‬حيث يتم عرض شكل موجة التزامن يف (‪ )a‬وشكل موجة اخلرج يف (‪.)b‬‬

‫الشكل (‪ )17-8‬يظهر أشكال املوجة للتزامن وللخرج ملسجل ازاحة ثنائي االجتاه أبربع خاانت‬

‫‪A 4-bit bidirectional shift register‬‬

‫يظهر يف الشكل (‪ ،)18-8‬الرمز املنطقي لشرحية الدائرة املتكاملة ملسجل االزاحة العام من نوع ثنائي االجتاه‪ ،‬اليت حتمل‬
‫الرقم ‪ 74HC194‬وهو أبربع خاانت ‪ ،4-bit bidirectional universal shift register‬مسجل االزاحة العام (متعدد األغراض)‬
‫‪ A universal shift register‬له امكانيات العمل ابلشكلني على التوازي وعلى التفرع لكل من االدخال واالخراج‪ ،‬أي يقبل‬
‫دخالً على التوازي وعلى التسلسل‪ ،‬و يقوم ابإلزاحة مييناً أو يساراً‪ .‬وحتتوي على طرف من أجل التصفري ‪ CLR‬يكون فعال عند‬
‫احلالة املنخفضة‪.‬‬
‫‪Parallel to‬‬ ‫هذا وميكن استخدام مسجل اإلزاحة العام يف حتويل البياانت من الشكل على التوازي إىل الشكل التسلسلي‬
‫‪ Serial‬أو من تسلسلي إىل توازي ‪.Serial to Parallel‬‬
‫حتتوى هذه الشرحية على ‪ 4‬مراحل ميكن من خالهلم إجراء كل العمليات الىت ميكن طلبها من أي مسجل إزاحة‪ ،‬الشرحية‬
‫هلا خطى حتكم ‪ S0, S1‬ميكن هبما التحكم ىف طريقة تشغيل الشرحية على الشكل التايل‪:‬‬
‫التحميل على التوازي ‪ Parallel loading‬ينجز عندما‪:‬‬

‫‪290‬‬
‫أساسيات النظم الرقمية‬

‫‪ S0 = 1 S1 = 1‬ومع نبضة التزامن املوجبة على املدخل ‪ CLK‬فإن بياانت الدخل على املداخل على التوازي ‪ D0‬حىت‬
‫‪ D3‬تنتقل إىل املخارج من ‪ Q0‬إىل ‪.Q3‬‬

‫االزاحة حنو اليمني ‪ Shift right‬مع االدخال على التسلسل تنجز عندما‬
‫‪ S0 = 1‬ومع نبضة التزامن املوجبة على املدخل ‪ CLK‬فإن البياانت تزاح تتابعياً حنو اليمني أي من ‪ Q0‬ابجتاه‬ ‫‪S1 = 0‬‬

‫‪.Q3‬‬

‫اليمني ‪Shift-right serial‬‬ ‫ادخال البياانت على التسلسل ‪ Serial data‬يتم عرب املدخل على التسلسل مع ازاحة حنو‬
‫)‪ input (SR SER‬وبياانت هذا الدخل تذهب إىل املرحلة ‪.Q0‬‬

‫االزاحة حنو اليسار ‪ Shift left‬تنجز عندما‬


‫وبياانت جديدة تدخل عرب املدخل على التسلسل مع‬ ‫‪CLK‬‬ ‫‪ S0 = 0‬ومع نبضة التزامن املوجبة على املدخل‬ ‫‪S1 = 1‬‬

‫‪.Q3‬‬ ‫ازاحة حنو اليسار )‪ ،shift-left serial input (SL SER‬وبياانت هذا الدخل تذهب إىل املرحلة‬

‫الشكل (‪ )18-8‬الرمز املنطقي النموذجي لشرحية الدائرة املتكاملة ملسجل االزاحة العام من نوع ثنائي االجتاه‪ ،‬اليت‬
‫حتمل الرقم ‪ 74HC194‬وهو أبربع خاانت ‪4-bit bidirectional universal shift register‬‬

‫‪291‬‬
‫أساسيات النظم الرقمية‬

‫‪Shift Register Applications‬‬ ‫‪ 5-2‬تطبيقات مسجالت اإلزاحة‬


‫يوجد عدة تطبيقات ملسجل االزاحة‪ ،‬سنقدم بعضها يف هذا الفصل‪:‬‬

‫‪Time Delay‬‬ ‫‪ 1-5-2‬التأخري الزمين‬


‫‪A serial in/serial out shift‬‬ ‫ميكن استخدام مسجل ازاحة من نوع ادخال على التسلسل‪ /‬اخراج على التسلسل‬
‫‪ register‬للحصول على أتخري زمين مي ّكن إدخال البياانت املتتالية على دخله مث استقبال هذه البياانت على خرجه يف آخر‬
‫مرحلة بعد زمن أتخري مقداره عدد مراحل هذا املسجل مضروابً ىف زمن كل نبضة من نبضات التزامن‪.‬‬
‫أي زمن التأخري اتبع لكل من‪:‬‬
‫‪ -‬عدد املراحل (‪)n‬‬
‫‪ -‬تردد نبضات الساعة ‪ ) clock frequency‬التزامن)‬
‫الشكل (‪ )19-8‬يبني رمساً توضيحياً للرمز املنطقي ملسجل االزاحة كجهاز أتخري زمين مع املخطط الزمين‪ ،‬حيث‬
‫نالحظ من هذا الشكل أن البياانت التسلسلية تطبق على دخل مسجل ازاحة على ادخال التسلسل‪ /‬اخراج على التسلسل بثمان‬
‫خاانت ‪ ،8-bit serial in/serial out shift register‬يستخدم من تردد نبضات ساعة ‪ 1 MHz‬لنصل لزمن أتخري (‪ )td‬مقداره‬
‫‪ ،8µs‬من مرتبة مكرو اثنية‪ .‬أي أننا حصلنا على البياانت املدخلة بعد زمن أتخري مقداره (‪ ،)1 µs × 8‬وميكن ضبط هذا‬
‫الزمن أكثر أو أقل بتغيري تردد نبضات الساعة‪ ،‬وميكن زايدته بزايدة عدد مسجالت االزاحة‪.‬‬

‫الشكل (‪ )19-8‬الرمز املنطقي ملسجل االزاحة كجهاز أتخري زمين مع املخطط الزمين‬

‫‪292‬‬
‫أساسيات النظم الرقمية‬

‫‪ 2-5-2‬حتويل البياانت من الشكل التسلسلي إىل الشكل على التوازي‬


‫‪Serial-to-Parallel Data Converter‬‬
‫نقل البياانت على خط بياانت تسلسلي من نظام رقمي إىل آخر يستخدم عادة لتخفيض عدد األسالك يف خط النقل‪،‬‬
‫ويستخدم النقل التسلسلي عادة لتمرير البياانت من األجهزة الطرفية إىل احلاسب‪ ،‬يف العادة ترسل البياانت ملسافات طويلة على‬
‫خط واحد لنقل البياانت مثل خط اهلاتف‪ ،‬هذه البياانت عندما تصل إىل املستقبل البد من حتويلها إىل الصورة املتوازية قبل إدخاهلا‬
‫إىل املعاجل أو احلاسب‪ ،‬على سبيل املثال الناقل التسلسلي العام للبياانت )‪ USB (universal serial bus‬يستخدم لوصل لوحة‬
‫املفاتيح‪ ،‬الطابعة‪ ،‬املاسحات وغريها من الطرفيات للحاسب‪ ،‬وكل احلواسيب تعاجل البياانت بشكل على التوازي‪ ،‬لذلك تتطلب‬
‫حتويل من تسلسلي إىل متوازي ‪.serial-to-parallel conversion‬‬
‫الشكل (‪ )20-8‬يبني الدائرة املبسطة اليت ستقوم هبذا التحويل‪ ،‬حيث حتتوي على من مسجالت االزاحة‪ ،‬الحظ أن‬
‫البياانت ترسل على خط النقل بصورة بياانت تسلسلية كاملبينة يف الشكل (‪ ،)21-8‬يف هذا الشكل نالحظ أهنا تتألف من احدى‬
‫عشرة خانة ثنائية (بت)‪ ،‬اخلانة األوىل خانة البداية )‪ (start bit‬تكون دائماً صفر ‪ ،0‬ونبدأ دائماً ابالنتقال من احلالة املرتفعة إىل‬
‫احلالة ملنخفضة ‪ ،HIGH-to-LOW transition‬اخلاانت الثمانية التالية من ‪ D7‬حىت ‪ D0‬تكون خاانت البياانت (واحدة منها‬
‫ميكن أن تكون خانة التحقق من االزدواجية ‪ ،parity bit‬وآخر خانة أو اثنني (خاانت التوقف ‪ (stop bits‬تكون دائماً واحد‪.‬‬
‫عندما ال توجد بياانت أي ال يوجد تراسل حالة خط البياانت دائما مرتفعة ‪.HIGH‬‬
‫عند نزول اإلشارة من احلالة املرتفعة إىل احلالة املنخفضة ‪ ،HIGH-to-LOW transition‬خانة البدء توضع قالب‬
‫التحكم ‪ Control flip-flop‬جي كا ‪ J-K‬ويصبح خرجه يساوي واحد ‪ ،1‬ونتيجة لذلك يفعل مولد النبضات الذي يبدأ ابعطاء‬
‫نبضات تزامن برتدد يساوي متاما تردد البياانت املرسلة على خط البياانت‪ ،‬هذه النبضات تستخدم كنبضات تزامن لكل من مسجل‬
‫للعداد ‪ 3‬بت الذى يعد مثان ‪8‬‬
‫اإلزاحة األول)مسجل الدخل ‪ )data-input register‬الذي يستقبل البياانت التعاقبية‪ ،‬وأيضا ّ‬
‫عدات‪.‬‬
‫ّ‬
‫مع كل نبضة من نبضات التزامن تزاح البياانت بشكل متسلسل خالل مراحل مسجل الدخل مبقدار خانة واحدة ‪،1‬‬
‫ويزداد الع ّداد مبقدار واحد ‪،1‬وبعد مثان ‪ 8‬نبضات تزامن يصبح خرج الع ّداد ‪ TC‬يساوي مثانية ‪ 8‬حيث تصبح آخر مرحلة فيه‬
‫تساوي واحد ‪ ،1‬عند ذلك ومع أول نبضة تزامن قادمة فإن البوابة آند ‪ ،AND‬تعطى واحد ‪ 1‬ىف خرجها ‪. TC . CLK‬هذا الواحد‬
‫‪ 1‬ينشط مسجل اإلزاحة الثاين) مسجل بياانت اخلرج ‪ )data-output register‬مع الدخل ‪ CLK‬اخلاص به فيقوم بتحميل اإلشارة‬
‫من مثان خاانت املوجودة على خرج املسجل األول)مسجل بياانت الدخل ‪ )data-input register‬ويسجلها على خرجه هو‬
‫فتصبح هي الصورة املتوازية من البياانت واليت ميكن التعامل معها من خالل أي معاجل أو حاسب‪ ،‬عند صعود خرج بوابة اآلند‬
‫‪ ،AND‬من صفر ‪ 0‬إىل واحد ‪ 1‬ينشط مولد النبضة ‪ one shot‬الذي يعطي نبضة واحدة قصرية تصفر الع ّداد وقالب التحكم جي‬
‫كا ‪ J-K‬استع ّدادا لبدأ التعامل مع جمموعة جديدة من البياانت‪.‬‬

‫‪293‬‬
‫أساسيات النظم الرقمية‬

‫هبذه العملية تتم حتويل البياانت من الشكل التسلسلي للشكل املتوازي‪ ،‬و عندما نقوم بعكس العملية حنصل على حتويل‬
‫من الشكل املتوازي إىل الشكل التسلسلي‪.‬‬
‫ال خيلو أى حاسب من شرحية اإلرسال واالستقبال التتابعي العامة غري املتزامنة‪ ،‬واليت يطلق عليها ‪ UART‬وذلك اختصار‬
‫لعبارة ‪ Universal Asynchronous Receiver Transmitter‬أو شرحية االستقبال واإلرسال الغري تزامين‪ .‬حتتوى هذه الشرحية‬
‫على دائرة حتويل من تسلسلي إىل توازي كاليت شرحناها سابقاً كما حتتوى أيضا على دائرة أخرى تقوم ابلعملية العكسية وهي‬
‫التحويل من توازي إىل تسلسلي متهيداً لإلرسال‪ ،‬لذلك فإن هذه الشرحية توجد دائماً ىف بطاقة املودمي ألداء مهمة التحويل ىف‬

‫االجتاهني‪.‬‬

‫الشكل (‪ )20-8‬مسجل االزاحة كجهاز أتخري زمين‬

‫الشكل (‪ )21-8‬بنية وشكل البياانت الرقمية‬

‫‪294‬‬
‫أساسيات النظم الرقمية‬

‫‪a general‬‬ ‫الشكل (‪ )22-8‬يظهر املخطط املنطقي لشرحية ‪ UART‬يف تطبيق نظام عام يعتمد على املعاجل‬
‫‪ ،microprocessor-based system application‬تتضمن شرحية ‪ UART‬كال التحويلني من الشكل التسلسلي إىل املتوازي‪،‬‬
‫ومن املتوازي إىل التسلسلي‪.‬‬
‫الشكل (‪ )23-8‬يوضح املخطط الزمين لعملية حتويل البياانت من الشكل التسلسلي إىل الشكل املتوازي‬
‫‪.the operation of the serial-to-parallel data converter‬‬

‫‪a general microprocessor-‬‬ ‫الشكل (‪ )22-8‬املخطط املنطقي لشرحية ‪ UART‬يف تطبيق نظام عام يعتمد على املعاجل‬
‫‪.based system application‬‬

‫‪295‬‬
‫أساسيات النظم الرقمية‬

‫حتميل البياانت خارج املسجل‬

‫‪the operation of the‬‬ ‫الشكل (‪ )23-8‬املخطط الزمين لعملية حتويل البياانت من الشكل التسلسلي إىل الشكل املتوازي‬
‫‪serial-to-parallel data converter‬‬

‫‪296‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪ )4-8‬يلخص الدوائر املتكاملة اليت مت عرضها سابقاً‪ ،‬مع أرقامها وحمتوايهتا‬

‫رقمها‬ ‫نوع الدائرة املتكاملة‬

‫‪8-bit serial in/parallel‬‬ ‫مسجل االزاحة من نوع ادخال على التسلسل‪/‬اخراج على‬
‫‪74HC164‬‬
‫‪out shift register‬‬
‫التوازي بثمان خاانت‬

‫‪8-bit parallel load shift‬‬ ‫مسجل االزاحة من نوع ادخال على التوازي‬
‫‪74HC165‬‬
‫‪register‬‬
‫(التسلسل)‪/‬اخراج على التسلسل بثمان خاانت‬

‫‪74HC194‬‬
‫‪4-bit bidirectional‬‬ ‫مسجل االزاحة العام ثنائي االجتاه أبربع خاانت‬
‫‪universal shift register‬‬

‫‪4-bit parallel access‬‬ ‫مسجل االزاحة من نوع ادخال على التوازي‪/‬اخراج على التوازي‬
‫‪74HC195‬‬
‫‪shift register‬‬
‫أبربع خاانت‬

‫اجلدول (‪ )4-8‬الدوائر املتكاملة اليت مت عرضها يف هذا الفصل‬

‫‪297‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬ما هي أهم الفروقات بني الدوائر املنطقية الرتابطية والدوائر املنطقية التعاقبية‪.‬‬

‫‪ -2‬ملاذا تعترب مسجالت اإلزاحة أحد وسائل التخزين؟‬

‫‪ -3‬ما هي سعة التخزين ملسجل ميكن أن حيتفظ ببايت واحد من البياانت؟‬

‫‪ -4‬ما هي الوظيفة األساسية للمسجالت وما هي العمليات اليت ميكن إجراؤها على املسجالت؟‬

‫‪ -5‬مسجل ازاحة حنو اليسار أبربع خاانت‪ ،‬دخله عند نبضة التزامن الرابعة ‪ ،1011‬ما هي قيمة خرج املسجل عند النبضة‬
‫السابعة‪ ،‬علماً أن املدخل يساوي صفر ‪.1‬‬

‫‪ -6‬مسجل ازاحة حنو اليمني أبربع خاانت‪ ،‬دخله عند نبضة التزامن اخلامسة ‪ ،1001‬ما هي قيمة خرج املسجل عند النبضة‬
‫السابعة‪ ،‬علماً أن املدخل يساوي صفر ‪.0‬‬

‫‪ -7‬مت تطبيق السلسلة ‪ 1011‬على مدخل مسجل ازاحة أبربع خاانت الذي حالته االبتدائية يف وضع التصفري‪ ،‬ما هي‬

‫حالة املسجل بعد ثالث نبضات تزامن؟‬

‫‪ -8‬من أجل دخل البياانت التسلسلي‪ ،‬ونبضة التزامن يف الشكل (‪ ،)24-8‬حدد حاالت كل قالب من قالابت مسجل‬
‫االزاحة أبربع خاانت مبني من قالابت من نوع دي ‪ ،D‬وارسم موجة اخلرج مفرتضاً أن احلالة االبتدائية للمسجل هي‬
‫واحدات ‪.1‬‬

‫الشكل (‪)24-8‬‬

‫‪ -9‬اعد حل املسألة يف ‪ 8‬من أجل البياانت يف الشكل (‪.)25-8‬‬

‫الشكل (‪)25-8‬‬

‫‪298‬‬
‫أساسيات النظم الرقمية‬

‫‪ -10‬ما هي حالة املسجل يف الشكل (‪ )26-8‬بعد كل نبضة تزامن إذا بدأ مع احلالة ‪.101001111000‬‬

‫الشكل (‪)26-8‬‬

‫‪ -11‬احلافة الصاعدة لنبضة التزامن طبقت على مسجل من نوع ادخال على التسلسل‪/‬اخراج على التسلسل ميلك شكل‬
‫موجة كما يف الشكل (‪ ،) 27-8‬ما هي القيمة الثنائية املخزن يف املسجل بثمان خاانت إذا كانت خانة البياانت األوىل اليت‬
‫خرجت (أقصى اليسار) هي اخلانة األقل أمهية ‪.LSB‬‬

‫الشكل (‪)27-8‬‬

‫‪ -12‬من أجل مسجل ازاحة ثنائي االجتاه بثمان خاانت يف الشكل (‪ ) 28-8‬حدد حالة املسجل بعد كل نبضة تزامن من‬
‫أجل شكل موجة التحكم ميني‪ /‬يسار املعطاة‪ ،‬حبيث احلالة املرتفعة على هذا الدخل ‪ ،HIGH‬متكن االزاحة حنو اليمني‪،‬‬
‫واحلالة املنخفضة ‪ LOW‬متكن االزاحة حنو اليسار‪ ،‬افرتض أن املسجل َيزن احلالة االبتدائية وهي الرقم الثنائي املكافئ‬
‫للرقم العشري ‪ ،76‬مع اخلانة يف أقصى اليمني هي اخلانة األقل أمهية ‪ ،LSB‬وحالة خط البياانت هي احلالة املنخفضة‬
‫‪.LOW‬‬

‫‪299‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪)28-8‬‬

‫‪ -13‬اعد حل املسألة يف ‪ 12‬من أجل شكل املوجة يف الشكل (‪.)29-8‬‬

‫الشكل (‪)29-8‬‬

‫‪ -14‬يف الشكل (‪ )30-8‬أشكال ملوجات حتكم مع نبضة تزامن تطبق على مسجل ازاحة خط البياانت له يكون صفر ‪،0‬‬
‫وخخطوط املعطيات على التوازي تكون ‪D0 = 1, D1 = 0, D2 = 1, D3 = 0‬‬

‫ارسم شكل موجة اخلرج ابلنسبة للدخل‪.‬‬

‫الشكل (‪)30-8‬‬

‫‪ -15‬صمم مسجل ازاحة عام بثالث خاانت ‪ 3 bit‬لإلزاحة حنو اليمني وحنو اليسار ابستخدام مدخل حتكم‪.‬‬

‫‪ -16‬صمم مسجل إزاحة بثالث خاانت ‪ 3 bit‬مزود بثالث خطوط حتكم قراءة وكتابة واختيار‪.‬‬

‫‪300‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪Sequential Logic‬‬
‫‪278‬‬ ‫‪Common Bus‬‬ ‫انقل مشرتك‬ ‫‪275‬‬ ‫الدوائر املنطقية التعاقبية‬
‫‪Circuits‬‬
‫‪278‬‬ ‫‪4-Bit Data Bus‬‬ ‫انقل بياانت أبربعة خاانت‬ ‫‪275‬‬ ‫‪Feedback‬‬ ‫تغذية مرتدة‬
‫‪Combinational‬‬
‫‪279‬‬ ‫‪Shift Capability‬‬ ‫امكانية االزاحة‬ ‫‪275‬‬ ‫الدوائر املنطقية التوافقية‬
‫‪Logic Circuit‬‬
‫‪279‬‬ ‫‪4-Bit Register‬‬ ‫مسجل أبربع خاانت‬ ‫‪276‬‬ ‫‪Clock Signal‬‬ ‫اشارة التزامن‬
‫‪279‬‬ ‫‪Serial In‬‬ ‫ادخال على التسلسل‬ ‫‪276‬‬ ‫‪Memory Circuit‬‬ ‫دائرة الذاكرة‬
‫‪279‬‬ ‫‪Serial Out‬‬ ‫اخراج على التسلسل‬ ‫‪277‬‬ ‫‪Registers‬‬ ‫املسجالت‬
‫‪280‬‬ ‫‪Rotate Left‬‬ ‫االزاحة الدورانية لليسار‬ ‫‪277‬‬ ‫‪Data Storage‬‬ ‫ختزين البياانت‬
‫‪280‬‬ ‫‪Rotate Right‬‬ ‫االزاحة الدورانية لليمني‬ ‫‪277‬‬ ‫‪Data Movement‬‬ ‫نقل البياانت‬
‫‪280‬‬ ‫‪Parallel In‬‬ ‫ادخال على التوازي‬ ‫‪277‬‬ ‫‪Shift Registers‬‬ ‫مسجالت االزاحة‬
‫‪Parallel In/Serial‬‬ ‫مسجالت االزاحة ادخال‬
‫‪280‬‬ ‫‪Out‬‬ ‫‪277‬‬ ‫‪Buffer Registers‬‬ ‫مسجالت النقل أو العزل‬
‫‪Shift Registers‬‬ ‫ابلتوازي‪/‬اخراج ابلتسلسل‬

‫‪Register-to-‬‬ ‫نقل البياانت ما بني‬


‫‪280‬‬ ‫‪Parallel In‬‬ ‫اخراج على التوازي‬ ‫‪277‬‬
‫‪Register Transfer‬‬ ‫املسجالت‬
‫‪Serial In/Serial‬‬ ‫مسجالت االزاحة ادخال‬
‫‪280‬‬ ‫‪Out‬‬ ‫‪277‬‬ ‫‪Serial Data‬‬ ‫بياانت تسلسلية‬
‫‪Shift Registers‬‬ ‫تسلسلي‪/‬اخراج تسلسلي‬
‫‪Serial In/Parallel‬‬ ‫مسجالت االزاحة ادخال‬
‫‪280‬‬ ‫‪Out‬‬ ‫‪277‬‬ ‫‪Parallel Data‬‬ ‫بياانت متوازية‬
‫‪Shift Registers‬‬ ‫ابلتسلسل‪/‬اخراج ابلتوازي‬
‫‪280‬‬ ‫‪Serial Data Input‬‬ ‫اخراج بياانت تسلسلياً‬ ‫‪277‬‬ ‫‪D Flip-Flop‬‬ ‫قالب من نوع دي ‪D‬‬

‫‪Parallel‬‬ ‫مسجالت االزاحة ادخال‬


‫‪280‬‬ ‫‪In/Parallel Out‬‬ ‫‪278‬‬ ‫‪Storage Capacity‬‬ ‫سعة التخزين‬
‫‪Shift Registers‬‬ ‫ابلتوزاي‪/‬اخراج ابلتوازي‬
‫مسجل ازاحة ادخال‬
‫‪4-Bit Serial‬‬
‫‪283‬‬ ‫‪In/Parallel Out‬‬ ‫ابلتسلسل‪/‬اخراج ابلتوازي‬ ‫‪278‬‬ ‫‪Data Bus‬‬ ‫انقل البياانت‬
‫‪Shift Register‬‬
‫ابربع خاانت‬

‫‪301‬‬
‫أساسيات النظم الرقمية‬

‫مسجل ازاحة ادخال‬


‫‪4-Bit Parallel‬‬
‫‪292‬‬ ‫‪Clock Frequency‬‬ ‫تردد نبضات الساعة‬ ‫‪286‬‬ ‫‪In/Serial Out‬‬ ‫ابلتوازي‪/‬اخراج ابلتسلسل‬
‫‪Shift Register‬‬
‫أبربع خاانت‬
‫حتويل البياانت من الشكل‬ ‫مسجل االزاحة ادخال‬
‫‪8-Bit Parallel‬‬
‫‪Serial-to-Parallel‬‬
‫‪293‬‬ ‫التسلسلي للشكل على‬ ‫‪287‬‬ ‫‪Load Shift‬‬ ‫ابلتوازي‪/‬اخراج ابلتسلسل‬
‫‪Data Converter‬‬
‫‪Register‬‬
‫التوازي‬ ‫مع التحميل بثمان خاانت‬
‫مسجل ازاحة ادخال‬
‫‪USB (universal‬‬ ‫الناقل التسلسلي العام‬ ‫‪4-Bit Parallel‬‬
‫‪293‬‬ ‫‪288‬‬ ‫‪Access Shift‬‬ ‫ابلتوازي ‪/‬اخراج ابلتوازي‬
‫)‪serial bus‬‬ ‫للبياانت‬ ‫‪Register‬‬
‫أبربع خاانت‬

‫‪HIGH-to-LOW‬‬ ‫انتقال من احلالة املرتفعة إىل‬ ‫‪Bidirectional‬‬ ‫مسجل االزاحة ثنائي‬


‫‪293‬‬ ‫‪289‬‬
‫‪Transition‬‬ ‫احلالة ملنخفضة‬ ‫‪Shift Registers‬‬ ‫االجتاه‬
‫خانة التحقق من‬ ‫‪4-Bit‬‬ ‫مسجل ازاحة ثنائي االجتاه‬
‫‪293‬‬ ‫‪Parity Bit‬‬ ‫‪289‬‬ ‫‪Bidirectional‬‬
‫االزدواجية‬ ‫‪Shift Register‬‬ ‫أبربع خاانت‬
‫‪4-bit‬‬
‫‪Data-Output‬‬ ‫‪Bidirectional‬‬ ‫مسجل االزاحة العام ثنائي‬
‫‪293‬‬ ‫مسجل بياانت اخلرج‬ ‫‪290‬‬
‫‪Register‬‬ ‫‪Universal Shift‬‬ ‫االجتاه أبربع خاانت‬
‫‪Register‬‬

‫‪Universal Shift‬‬ ‫مسجل االزاحة العام‬


‫‪293‬‬ ‫‪Start Bit‬‬ ‫خانة البداية‬ ‫‪290‬‬
‫‪Register‬‬ ‫(متعدد األغراض)‬
‫‪Universal‬‬
‫‪Asynchronous‬‬ ‫شرحية اإلرسال واالستقبال‬
‫‪294‬‬ ‫‪290‬‬ ‫‪Parallel Loading‬‬ ‫التحميل على التوازي‬
‫‪Receiver‬‬ ‫التتابعي العامة غري املتزامنة‬
‫‪Transmitter‬‬
‫‪A General‬‬
‫‪Microprocessor-‬‬ ‫تطبيق نظام عام يعتمد على‬
‫‪295‬‬ ‫‪292‬‬ ‫‪Time Delay‬‬ ‫التأخري الزمين‬
‫‪Based System‬‬ ‫املعاجل‬
‫‪Application‬‬
‫‪Data-Output‬‬
‫‪293‬‬ ‫مسجل بياانت الدخل‬
‫‪Register‬‬

‫‪302‬‬
‫أساسيات النظم الرقمية‬

‫الفصل التاسع ‪9‬‬


‫ّ‬
‫العدادات‬
‫‪Counters‬‬

‫‪303‬‬
‫أساسيات النظم الرقمية‬

‫‪Chapter Objectives‬‬ ‫األهداف العامة للفصل‬


‫عندما تكمل هذا الفصل سوف تكتسب جمموعة من اخلربات واملعارف وينبغي أن تكون قادراً على‪:‬‬
‫تصميم الع ّدادات أبنواعها املختلفة وشرح طريقة عملها واستخدامها يف األنظمة الرقمية‪.‬‬
‫لعدادات املتزامنة وغري املتزامنة‪.‬‬
‫فهم مبدأ عمل ا ّ‬
‫لعدادات التصاعدية والتنازلية‪.‬‬
‫تصميم ا ّ‬
‫لعدادات‪.‬‬
‫فهم وحتليل املخططات الزمنية ل ّ‬
‫لعداد يف توليد سلسلة من البياانت الثنائية‪.‬‬
‫استعمال ا ّ‬
‫لعداد كمقسم للرتدد‪.‬‬
‫استعمال ا ّ‬
‫لعدادات‪.‬‬
‫التعرف على أهم شرائح الدوائر املتكاملة ‪ ICS‬ل ّ‬

‫‪304‬‬
‫أساسيات النظم الرقمية‬

‫‪Introduction‬‬ ‫‪ -1‬مقدمة‬
‫يقدم هذا الفصل شرحاً عن أهم تطبيقات الدوائر املنطقية التعاقبية‪ ،‬وهي الع ّدادات الرقمية‪ ،‬وأتيت أمهيتها لتطبيقاهتا‬
‫واستخداماهتا املهمة واملتنوعة‪ ،‬إذ تعد جزءاً من معظم األنظمة الرقمية وغري الرقمية‪ ،‬بدءاً من ع ّداد السيارة‪ ،‬إىل ع ّداد السباق‪ ،‬إىل‬
‫الساعة الرقمية‪ ،‬وغريها كثري‪.‬‬
‫الع ّداد الرقمي عبارة عن جمموعة من القالابت املوصلة مع بعضها بطريقة معينة ميكن هبا أن تعد النبضات الداخلة إليها‪،‬‬
‫على حسب طريقة توصيل كل قالب مع القالب التايل له يتحدد نوع الع ّداد كما سنرى ىف هذا الفصل‪ ،‬إذ سنتعرف على أنواع‬
‫الع ّدادات وطرق تصميمها وكيفية حتليل املخططات الزمنية هلا مع التعرف على أهم شرائح الدوائر املتكاملة للع ّدادات‪.‬‬

‫‪Counters‬‬ ‫‪ -2‬الع ردادات‬


‫العدادات الرقمية ‪ Digital Counters‬هي دوائر منطقية تعاقبية ‪ Sequential Logic Circuits‬ذات وظيفة حمددة‪،‬‬ ‫ّ‬
‫ينتقل خرجها من قيمة إىل قيمة أخرى اتلية عددايً عند حدوث تغري معلوم يف الدخل‪ ،‬أي هلا القدرة على العد ثنائياً برتتيب معني‪،‬‬
‫وترتيب العد يكون ترتيباً تصاعدايً ‪ ،Up Counting‬أو قد يكون ترتيباً تنازلياً ‪ ،Down Counting‬أو قد يكون برتتيب آخر‪.‬‬
‫العداد من حالة إىل أخرى من حاالته مع‬ ‫العداد أثناء عملية العد تسمى حالة ‪ State‬وينتقل ّ‬
‫كل قيمة يصل إليها ّ‬
‫العداد من احلالة اليت هو فيها إىل احلالة اليت‬
‫نبضات التزامن ‪ Clock‬وبرتتيب معني‪ .‬أي أن كل نبضة من نبضات التزامن تنقل ّ‬
‫العداد العد من أي حالة من حاالته‪ ،‬و يطلق على احلالة اليت يبدأ العد منها تسمية احلالة‬
‫تليها يف ترتيب العد‪ ،‬وميكن أن يبدأ ّ‬
‫اإلبتدائية ‪.Initial State‬‬

‫العداد ات تشبه املسجالت من حيث أهنا دوائر منطقية تعاقبية‪ ،‬ويتم بناؤها من القالابت‪ ،‬فاملسجل مصمم كي خيزن‬ ‫ّ‬
‫العداد متثل عدد نبضات التزامن اليت دخلت‬‫العداد اخلاانت الثنائية اليت يتم ختزينها عن طريق ّ‬
‫عدد من اخلاانت الثنائية‪ ،‬بينما يف ّ‬
‫العداد تعمل على تغيري حالة دوائر القالابت املصمم منها‬
‫من مدخل نبضات التزامن ‪ ،clock input‬ونبضات التزامن املطبقة على ّ‬
‫العداد‪.‬‬
‫العداد‪ ،‬ومبالحظة خرج دوائر القالابت ميكننا حتديد عدد نبضات التزامن اليت مت تطبيقها على مدخل ّ‬
‫ّ‬

‫‪Counters Types‬‬ ‫‪ 1-2‬أنواع الع ردادات‬


‫هناك نوعان أساسيان من الع ّدادات والفرق الرئيس بني هذين النوعني من الع ّدادات هو طريقة توصيل نبضات التزامن‬
‫بني القالابت اليت يتكون منها الع ّداد‪.‬‬
‫وضع ٍ‬
‫اتل حبسب متغريات‬ ‫الع ردادات غري املتزامنة ‪ Asynchronous Counters‬هي ع ّدادات تنتقل من ٍ‬
‫وضع إىل ٍ‬
‫الدخل‪ ،‬وأغلب القالابت اليت يتكون منها ال توصل إىل نبضات التزامن الرئيسة‪.‬‬

‫‪305‬‬
‫أساسيات النظم الرقمية‬

‫ضع ات ٍل عند ورود نبضة التزامن‬ ‫الع ردادات املتزامنة ‪ Synchronous Counters‬هي ع ّدادات تنتقل من و ٍ‬
‫ضع إىل و ٍ‬
‫الرئيسة إىل مجيع قالابت الع ّداد)‪.‬‬ ‫)حيث توصل نبضة التزامن‬

‫‪Asynchronous Counters‬‬ ‫‪ 1-1-2‬الع ردادات غري املتزامنة‬


‫يتألف الع ّداد كما يف املسجل من جمموعة قالابت وبواابت منطقية توصل فيما بينها حبيث حتقق العمل املطلوب منها‪.‬‬
‫‪do not have fixed time‬‬ ‫واملصطلح غري متزامن ‪ asynchronous‬يشري إىل أحداث ال متلك فيما بينها عالقات زمنية اثبتة‬
‫‪ ،relationship‬وبشكل عام ال حتدث عند نفس الزمن‪.‬‬
‫هو ع ّداد يتألف من قالابت ال تغري حاالهتا عند نفس الزمن‬ ‫‪An asynchronous counter‬‬ ‫الع رداد غري املتزامن‬
‫ألهنا ال متلك نبضات تزامن مشرتكة‪.‬‬

‫‪ 1-1-1-2‬الع رداد الثنائي غري املتزامن خبانتني (التموجي)‬


‫(‪A 2-Bit Asynchronous Binary Counter )Ripple Counter‬‬

‫العدادات يتم توصيل خرج كل قالب كنبضات تزامن للقالب التايل له‪ ،‬ونبضات الساعة للمرحلة‬
‫ىف هذا النوع من ّ‬
‫عداد خبانتني من أجل عملية غري متزامنة‪ ،‬الحظ أن نبضات التزامن‬
‫األوىل تكون هى النبضات املراد عدها‪ ،‬الشكل (‪ )1-9‬يظهر ّ‬
‫‪FF1‬‬ ‫‪ CLK‬تطبق على مدخل التزامن ‪ C‬فقط للقالب األول فقط ‪ FF0‬الذي يعطي دائماً اخلانة األقل أمهية ‪ ،LSB‬القالب الثاين‬
‫يقدح بواسطة اخلرج ‪ Q0‬للقالب األول ‪ ،FF0‬القالب األول ‪ FF0‬يغري حالته عند احلافة املوجبة لنبضة التزامن‪ ،‬بينما القالب الثاين‬
‫‪ FF1‬يتغري فقط عندما يقدح بواسطة االنتقال املوجب للخرج ‪ Q0‬للقالب األول ‪.FF0‬‬
‫بسبب التأخري الزمين املرتافق مع القالب‪ ،‬االنتقال لنبضة التزامن للساعة ‪ CLK‬واالنتقال للخرج ‪ Q0‬للقالب األول‬
‫العداد يكون‬
‫‪ ،FF0‬ال ميكن أن حتدث بنفس الوقت‪ ،‬لذلك القالابت ال يتم قدحهما بنفس الوقت أي بشكل متزامن‪ ،‬ومنه عمل ّ‬
‫غري متزامن‪.‬‬

‫‪A 2-bit asynchronous binary counter‬‬ ‫الشكل (‪ )1-9‬ع ّداد ثنائي خبانتني مبين من قالابت دي ‪ D‬غري متزامن‬

‫‪306‬‬
‫أساسيات النظم الرقمية‬

‫‪.Ripple Counter‬‬ ‫يُعرف الع ّداد الغري متزامن أيضاً ابسم الع ّداد التموجي‬
‫يظهر الشكل (‪ )2-9‬املخطط الزمين ‪ Timing Diagram‬لعملية التشغيل األساسية للع ّداد غري املتزامن يف الشكل‬
‫(‪ ،)9-1‬بواسطة تطبيق أربع ‪ 4‬نبضات تزامن على القالب األول ‪ FF0‬ومراقبة اخلرج كيو ‪ Q‬لكل قالب‪ ،‬وسنفرتض احلالة‬
‫االبتدائية للقالابت هي حالة التصفري ‪ RESET‬أي احلالة املنخفضة ‪.LOW‬‬
‫من الشكل (‪ )2-9‬نالحظ أن‪:‬‬
‫‪ -‬احلافة املوجبة لنبضة التزامن األوىل ‪ CLK1‬جتعل خرج القالب األول ‪ FF0‬وهو ‪ Q0‬ينتقل إىل احلالة املرتفعة ‪ ،HIGH‬أي أن‬
‫‪ Q0 = 1‬وعند نفس الزمن اخلرج املتمم ‪ Q0‬ينتقل إىل احلالة املنخفضة ‪ ،LOW‬أي ‪ Q0 = 0‬لكن بدون أي أتثري على القالب‬
‫الثاين ‪ FF1‬ألن االنتقال املوجب جيب أن حيدث ليقدح القالب‪.‬‬
‫‪ Q0 = 1 ، CLK1‬و ‪Q1 = 0‬‬ ‫بعد احلافة اهلابطة لنبضة التزامن‬
‫‪Q1 = 1‬‬ ‫ويقدح القالب الثاين ‪ FF1‬مؤدايً ابخلرج‬ ‫‪ Q0 = 0‬و ‪Q0 = 1‬‬ ‫‪ -‬احلافة املوجبة لنبضة التزامن الثانية ‪ CLK2‬تؤدي إىل أن‬
‫‪ Q0 = 0 ، CLK2‬و ‪Q1 = 1‬‬ ‫بعد احلافة اهلابطة لنبضة التزامن‬
‫‪FF1‬‬ ‫وبدون أي أتثري على القالب الثاين‬ ‫‪ Q0 = 1‬و ‪Q0 = 0‬‬ ‫‪ -‬احلافة املوجبة لنبضة التزامن الثالثة ‪ CLK3‬تؤدي إىل أن‬
‫‪ Q0 = 1 ، CLK3‬و ‪Q1 = 1‬‬ ‫بعد احلافة اهلابطة لنبضة التزامن‬
‫‪ FF1‬مؤدايً ابخلرج ‪Q1 = 0‬‬ ‫ويقدح القالب الثاين‬ ‫‪ Q0 = 0‬و ‪Q0 = 1‬‬ ‫‪ -‬احلافة املوجبة لنبضة التزامن الرابعة ‪ CLK4‬تؤدي إىل أن‬
‫‪ Q0 = 0 ، CLK4‬و ‪Q1 = 0‬‬ ‫بعد احلافة اهلابطة لنبضة التزامن‬
‫العدادين يف حالة التصفري ‪.RESET‬‬
‫العداد اآلن يعود إىل حالته األصلية كال ّ‬
‫ّ‬

‫الشكل (‪ )2-9‬املخطط الزمين للع ّداد غري املتزامن خبانتني‬

‫نالحظ من الشكل (‪ )2-9‬أن الع ّداد خبانتني يظهر أربع حاالت خمتلفة‪ ،‬حيث لدينا قالبني والتايل عدد احلاالت‬
‫للخرج (‪ ،)22= 4‬وإذا كان اخلرج ‪ Q0‬ميثل اخلانة األقل أمهية )‪ LSB (least significant bit‬فإن ‪ Q1‬ميثل اخلانة األكثر أمهية‬

‫‪307‬‬
‫أساسيات النظم الرقمية‬

‫‪ binary‬كما هو‬ ‫‪sequence‬‬ ‫‪ ،MSB‬تسلسل احلاالت للع ّداد ميثل تسلسل من اخلاانت الثنائية‬ ‫)‪(Most Inefficient Bit‬‬
‫موضح يف اجلدول (‪:)1-9‬‬

‫اجلدول (‪)1-9‬‬
‫‪binary‬‬ ‫مبا أنه حصلنا على تسلسل من اخلاانت الثنائية كل منها ميثل عدد ثنائي‪ ،‬فإن الع ّداد السابق هو ع ّداد ثنائي‬
‫العداد بتصفري نفسه ويبدأ العد‬
‫‪ ،counter‬وهو يعد عدد نبضات التزامن تصاعدايً حىت الثالثة ‪ ،3‬وعند النبضة الرابعة ‪ 4‬يقوم ّ‬
‫من جديد‪.‬‬

‫حتدد دورة العد وهي أقصى عد للع رداد‪ Maximum Count of a Counter N ،‬أي أقصى عدد يصل إليه ّ‬
‫العداد‬
‫قبل تكرار الدورة كما يلي‪:‬‬

‫‪N= 2n – 1‬‬

‫‪Modulus of Counter MOD‬‬ ‫مقياس الع رداد وهو عدد حاالت اخلرج (التشكيالت املختلفة للخرج)‬

‫ألي ع رداد حيسب من العالقة‬

‫‪MOD = 2n‬‬

‫حيث ‪ n‬عدد عدد مراحل الع رداد أو عدد القالابت الىت يتكون منها‪.‬‬

‫العدادات غري املتزامنة أن أزمنة أتخري االنتشار ‪ Propagation delays‬ترتاكم من مرحلة ألخرى‪ ،‬لذلك أطلق‬
‫من عيوب ّ‬
‫عليها اسم الع ردادت التموجية ‪ ripple counters‬أي زمن التأخري يتموج أو يرتاكم من مرحلة للثانية حىت يصل آلخر مرحلة‪.‬‬
‫لشرح التأخري الزمين الشكل (‪ )3-9‬يظهر الع ّداد الثنائي لثالث خاانت ‪،3-bit asynchronous binary counter‬‬
‫والشكل (‪ )4-9‬يظهر املخطط الزمين له‪ ،‬والشكل (‪ )5-9‬يشرح زمن التأخري‪.‬‬
‫الع ّداد الثنائي بثالث خاانت (‪ ،)23= 8‬له مثان حاالت ألنه مؤلف من ثالث قالابت‪ ،‬حيث جند أنه من أجل مثان‬
‫نبضات تزامن‪ ،‬الع ّداد يتقدم بع ّدات ثنائية من الصفر ‪ 0‬إىل السبعة ‪ 7‬ومن مث يعود من جديد إىل الصفر ‪.0‬‬
‫اجلدول (‪ )2-9‬يوضح هذه احلاالت‪:‬‬

‫‪308‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪)2-9‬‬

‫‪A 3-bit asynchronous binary counter‬‬ ‫عداد ثنائي بثالث خاانت غري متزامن‬
‫الشكل (‪ّ )3-9‬‬

‫الع ّداد يصفر ويعود من جديد‬


‫‪3-bit asynchronous binary counter‬‬ ‫عداد غري ثنائي متزامن بثالث خاانت‬
‫الشكل (‪ )4-9‬املخطط الزمين ل ّ‬

‫‪309‬‬
‫أساسيات النظم الرقمية‬

‫‪3-bit asynchronous binary counter‬‬ ‫عداد ثنائي غري متزامن بثالث خاانت‬
‫الشكل (‪ )5-9‬أزمنة أتخري االنتشار ل ّ‬
‫من الشكل (‪ )5-9‬نالحظ أن القالابت الثالثة تتغري حالتها على احلافة اهلابطة لنبضة التزامن الرابعة ‪ ،CLK4‬يظهر يف‬
‫الشكل أتثري التموج يف القدح‪ ،‬مع ما يشري إىل أزمنة أتخري االنتشار حيث‪:‬‬
‫للخرج األول ‪ Q0‬يؤدي إىل أول زمن‬ ‫‪LOW-to-HIGH transition‬‬ ‫االنتقال من احلالة املنخفضة إىل احلالة املرتفعة‬
‫أتخري ‪ tPLH‬بعد نبضة التزامن املوجبة‪.‬‬
‫للخرج الثاين ‪ Q1‬يؤدي إىل زمن أتخري‬ ‫‪LOW-to-HIGH transition‬‬ ‫االنتقال من احلالة املنخفضة إىل احلالة املرتفعة‬
‫‪ tPLH‬بعد نبضة التزامن املوجبة خلرج للقالب األول ‪. Q0‬‬

‫االنتقال من احلالة املنخفضة إىل احلالة املرتفعة ‪ LOW-to-HIGH transition‬للخرج الثالث ‪ Q2‬يؤدي إىل زمن أتخري‬
‫‪.Q1‬‬ ‫‪ tPLH‬بعد نبضة التزامن املوجبة خلرج للقالب الثاين‬
‫كما تالحظ أن القالب الثالث ‪ FF2‬ال يقدح إال بعد زمنني أتخري‪ ،‬ولكي يتأثر ابحلافة املوجبة لنبضة التزامن الرابعة‬
‫العداد وتغري حالة اخلرج ‪ Q2‬من احلالة املنخضة إىل احلالة‬
‫‪ ،CLK4‬أيخذ ثالث أزمنة أتخري انتشار‪ ،‬أي أن ‪ CLK4‬تتموج عرب ّ‬
‫املرتفعة ‪ LOW to HIG‬بعد ثالث أزمنة أتخري انتشار‪.‬‬
‫الشكل (‪ )6-9‬يظهر ع ّداد غري متزامن أبربع خاانت ‪ ،4-bit asynchronous binary counter‬والشكل (‪)7-9‬‬
‫يظهر املخطط الزمين مع زمن التأخري ‪ ، Propagation delays‬ونالحظ أن زمن التأخري للمرحلة األخرية سيساوي إىل )‪4tp(tot‬‬
‫حيث ‪ tp‬هو زمن أتخري املرحلة الواحدة و‪ 4‬هو عدد املراحل‪.‬‬
‫العداد‪ ،‬أو مبعىن آخر أكرب تردد‬
‫ابلطبع زمن التأخري هذا كما نرى سيضع حداً ألكرب تردد ميكن أن يعمل عنده مثل هذا ّ‬
‫لنبضات التزامن الىت يعدها هذا الع ّداد ‪.‬ختيل مثال أن زمن التأخري للمرحلة الواحدة هو ‪ 10‬اننواثنية‪ ،‬وأن لدينا ع ّدادا من أربع ‪4‬‬
‫مراحل ‪.‬ىف هذه احلالة سيكون مقدار التأخري لكل املراحل هو‬

‫‪310‬‬
‫أساسيات النظم الرقمية‬

‫سرعة الع ّداد( جيب أال تتع ّدى ‪:‬‬ ‫معىن ذلك أن أكرب تردد لنبضات الساعة )وابلتايل‬

‫‪The maximum clock frequency‬‬ ‫تردد الساعة األعظمي‬


‫ّ‬

‫‪4-bit asynchronous binary counter‬‬ ‫عداد ثنائي غري متزامن أبربع خاانت‬
‫الشكل (‪ّ )6-9‬‬

‫‪4-bit asynchronous binary counter‬‬ ‫عداد ثنائي غري متزامن أبربع خاانت‬
‫الشكل (‪ )7-9‬املخطط الزمين ل ّ‬

‫‪311‬‬
‫أساسيات النظم الرقمية‬

‫‪An Asynchronous Binary Counter for Any Base‬‬ ‫‪ 2-1-1-2‬الع ردادات غري املتزامنة ألي قاعدة‬
‫لقد رأينا أن الع ّداد السابق البد أن مير بكل احلاالت املمكنة للخرج‪ ،‬لذلك فإن عدد حاالته أو نظام عده هو ‪ 2n‬حيث‬
‫‪ n‬هي عدد مراحل الع ّداد‪. ،‬ميكن تصميم الع ّداد ليعد ألي عدد من احلاالت‪ ،‬مثل ع ّداد يعد من صفر إىل مثان عشر (‪ ،)18~0‬أو‬
‫من صفر إىل مئة (‪ ،)100~0‬أو إىل أي رقم ليس من قوى الرقم ‪.2‬‬
‫ويسمى أيضاً ‪ MOD 10‬الذي يعد من صفر ‪ 0‬إىل‬ ‫‪Decade Counters‬‬ ‫أشهر هذه الع ّدادات هو الع رداد العشري‬
‫‪ ،9‬أي أنه له ‪ 10‬حاالت خرج‪ ،‬أو طول دورته ‪ ،10‬سنرى ىف هذا اجلزء كيفية تصميم الع ّداد العشري‪.‬‬
‫النظرية هنا هي أننا نستخدم عدد من املراحل يعطي هذا العدد من احلاالت املطلوبة أو أكثر‪ ،‬مث بعد ذلك نستخدم حملل‬
‫شفرة ينشط عند احلالة عشرة ‪ )1010(2‬فيعطي إشارة تصفر مجيع مراحل الع ّداد وجتعله يبدأ العد من الصفر ‪ 0‬مرة أخرى‪ .‬الشكل‬
‫(‪ )8-9‬يبني هذا الع ّداد‪ ،‬نالحظ من هذا الشكل أن الع ّداد مكون من ‪ 4‬مراحل ألن ‪ 3‬مراحل تعطي ‪ 8‬حاالت فقط‪ ،‬لذلك البد‬
‫من استخدام ‪ 4‬مراحل‪ ،‬بعد ذلك استخدمنا بوابة انند ‪ ) NAND‬حملل شفرة) دخليها مها ‪ Q1‬و ‪ Q3‬حيث كل منهما يكون‬
‫يف احلالة املرتفعة ‪ HIGH‬أي واحد ‪ 1‬عند العدة العاشرة ‪ )1010(2‬فقط‪.‬‬
‫خرج بوابة انند ‪ NAND‬يذهب ليصفر مجيع القالابت من طرف التصفري ‪ CLR‬اخلاص بكل منها‪ ،‬حيث عندها يبدأ‬
‫العداد‪ ،‬الحظ وجود النتوء أو النبضة القصرية‬
‫العداد من الصفر مرة أخرى‪ .‬الشكل (‪ )9-9‬يبني املخطط التزامىن خلرج مجيع مراحل ّ‬
‫ّ‬
‫جداً ‪ glitch‬الىت ظهرت على اخلرج ‪ Q1‬عند العدة العاشرة‪ ،‬هذا النتوء يظهر ألن اخلرج ‪ Q1‬عند هذه اللحظة يصعد للواحد ‪1‬‬
‫أوالً‪ ،‬وبعد مرور زمن قصري جداً يعود للصفر ‪ 0‬مرة اثنية‪ ،‬هذا الزمن هو زمن االنتشار خالل بوابة انند ‪ ،NAND‬مث زمن االنتشار يف‬
‫القالب خالل الطرف ‪ ،CLR‬كل ذلك يقدر بعدد صغري من النانواثنية‪ .‬لذلك فإن عرض هذا النتوء يكون صغريا جداً ومن الصعب‬
‫رؤيته إال ابستخدام راسم اشارة ‪ oscilloscope‬عايل الرتدد‪ ،‬أو حملل منقطي ‪ Logic analyzer‬ابلطبع فإن هذا النتوء يعترب عيباً‬
‫عداد ألي قاعدة‪.‬‬
‫ألنه قد يسبب بعض املشاكل يف الكثري من الدوائر الرقمية‪ .‬بنفس الطريقة ميكن تصميم أي ّ‬

‫‪An asynchronously clocked decade‬‬ ‫الشكل (‪ )8-9‬ع ّداد عشري غري متزامن يعود إىل الصفر بطريقة غري متزامنة‬
‫‪counter with asynchronous recycling‬‬

‫‪312‬‬
‫أساسيات النظم الرقمية‬

‫نبضة قصرية جداً‬

‫عداد عشري غري متزامن يعود إىل الصفر بطريقة غري متزامنة ويكرر نفسه‬
‫الشكل (‪ )9-9‬املخطط الزمين ل ّ‬
‫‪An asynchronously clocked decade counter with asynchronous recycling‬‬

‫العدادات غري املتزامنة على شكل دوائر متكاملة متعددة األنواع‪ ،‬الشكل (‪ )a()10-9‬يظهر الرمز املنطقي للشرحية‬
‫تتوفر ّ‬
‫عداد غري متزامن حتمل الرقم ‪ 74HC93‬تتكون هذه الشرحية من ‪ 4‬قالابت من النوع دي‬ ‫املتكاملة (‪ IC )Integrated Circuit‬ل ّ‬
‫عداد بثالث خاانت غري متزامن ‪3-bit asynchronous binary‬‬ ‫‪ ،D‬يف الواقع ميكن تقسيمها إىل قالب واحد دخله ‪ ،CLK A‬و ّ‬
‫‪ counter‬يكون دخله ‪ ،CLK B‬وهذا التصميم من أجل املرونة‪ ،‬ميكن أن تستخدم كمقسم على ‪ 2‬إذا استخدم فقط القالب‪،‬‬
‫العداد‪.‬‬
‫عداد مبقياس عد مثانية ‪ modulus-8 counter‬إذا استخدم جزء ّ‬ ‫وميكن أن تستخدم ك ّ‬
‫العداد يتم‬
‫فإن ّ‬ ‫‪HIGH‬‬ ‫عندما يكون كالمها يف حالة مرتفعة‬ ‫‪RO2‬‬ ‫و‬ ‫‪RO1‬‬ ‫حتتوي الشرحية على مدخلني للتصفري‬
‫تصفريه ‪ reset‬ويعود للحالة ‪.0000‬‬
‫عداد بست عشرة ‪ 16‬عدة (‪،a 4-bit modulus-16 counter )15~ 0‬‬
‫ميكن أيضاً استخدام الشرحية ‪ 74HC93‬ك ّ‬
‫ويتم ذلك بوصل اخلرج ‪ Q0‬إىل الدخل ‪ CLK B‬كما هو موضح يف الشكل (‪،)a()10-9‬‬
‫استخداما ملا تتميز به من مداخل حتكم تسمح للمصمم حبرية‬
‫ً‬ ‫يعترب الع ّداد يف الشرحية ‪ 74193‬من أكثر الع ّدادات‬
‫االستخدام والتحكم‪ ،‬إذ ميكن أيضاً أن تعمل كع ّداد عشري (‪ a decade counter )9~ 0‬بوصلها كما هو موضح ابلشكل‬
‫(‪.)b()9-10‬‬

‫‪313‬‬
‫أساسيات النظم الرقمية‬

‫(‪)a‬‬ ‫(‪)b‬‬
‫الشكل (‪ )10-9‬الرمز املنطقي للشرحية املتكاملة ‪ IC‬لع ّداد غري متزامن حتمل الرقم ‪ ،74HC93‬موصلة كع ّداد ست‬
‫عشرة عدة يف (‪ ،a 4-bit modulus-16 counter )a‬وكع ّداد عشري ‪ a decade counter‬يف (‪)b‬‬

‫‪Synchronous Counters‬‬ ‫‪ 2-1-2‬الع ردادات املتزامنة‬


‫العدادات بشكل تسلسلي لتحقيق‬
‫وخصوصا عند ربط جمموعة كبرية من ّ‬
‫ً‬ ‫العدادات غري املتزامنة‪،‬‬
‫املشكلة اليت تظهر يف ّ‬
‫عدد خاانت كبري‪ ،‬تكمن يف زمن أتخري القالب أو زمن االنتشار‪ ،‬حيث تغري القالابت من حاالهتا بشكل تسلسلي‪ ،‬ميكن‬
‫التخلص من هذه السيئة بقدح القالابت بنبضة تزامن مشرتكة حبيث تغيري القالابت حالتها يف وقت واحد‪ .‬وللتحكم خبرج القالب‬
‫ليتمكن من قلب حالته‪ ،‬تُستخدم بواابت منطقية ضمن تشكيلة خاصة حتقق عمل القالب بشكل صحيح‪.‬‬
‫‪have fixed time‬‬ ‫يشري إىل جمموعة أحداث متلك عالقات زمنية اثبتة‬ ‫‪synchronous‬‬ ‫املصطلح متزامن‬
‫عداد كل القالابت فيه تقدح بنفس‬ ‫‪ relationship‬مع بعضها البعض‪ .‬الع رداد املتزامن ‪ An synchronous counter‬هو ّ‬
‫الزمن بواسطة نبضة تزامن مشرتكة‪ ،‬أي تغري حالتها ابلتوافق مع نفس نبضات التزامن‪ ،‬لذلك فإن طرف التزامن لكل القالابت يكون‬
‫العدادات غري املتزامنة التموجية اليت‬
‫العدادات يكون أسرع من ّ‬
‫موصالً على نفس املصدر‪ ،‬وابلتايل فإننا سنرى أن هذا النوع من ّ‬
‫درسناها ىف اجلزء السابق‪.‬‬
‫‪D‬‬ ‫العدادات املتزامنة قالابت من نوع جي كا ‪ ،J-K flip-flops‬ويكن استخدام قالابت من نوع دي‬
‫تستخدم يف بناء ّ‬
‫لكنها تتطلب عدد أكرب من البوابت املنطقية‪.‬‬

‫‪A 2-Bit Synchronous Binary Counter‬‬ ‫‪ 1-2-1-2‬الع رداد الثنائي املتزامن خبانتني‬
‫‪ ،a‬األول مبين من‬ ‫‪2-bit synchronous binary counter‬‬ ‫يعرض الشكل (‪ )11-9‬ع ّداد ثنائي متزامن خبانتني‬
‫القالب جي كا ‪ J-K‬والثاين من القالب دي ‪.D‬‬
‫العداد املبين القالب جي كا ‪ ،J-K‬نفرض أن كال القالبني يف حالة تصفري ‪ ،Reset‬جند أن‬ ‫لشرح آلية العمل سنشرح ّ‬
‫القالب األول جيب أن يغري حالته عند ورود كل نبضة من نبضات الساعة‪ ،‬إ ًذا يتم وصل مدخليه على احلالة املرتفعة "‪ " 1‬بينما يتم‬

‫‪314‬‬
‫أساسيات النظم الرقمية‬

‫أيضا أن القالب الثاين جيب أن يغري‬


‫مباشرة على مدخل نبضات التزامن للقالب ‪ .C‬ونالحظ ً‬ ‫وصل إشارة نبضة التزامن ‪ً CLK‬‬
‫حالته كل نبضتني متتاليتني من نبضات الساعة‪ ،‬وابلتايل ال تصلح الطريقة املستخدمة يف القالب األول كون القالب الثاين مشرتك‬
‫مع القالب األول إبشارة نبضات الساعة‪.‬‬

‫للتخلص من هذه املشكلة ومبالحظة أن القالب جي كا ‪ J-k‬ال يقلب حالته ‪ toggle‬إال إذا كان مدخليه موصولني على‬
‫احلالة املرتفعة " ‪ ، " 1‬يتم وصل مداخل القالب الثاين جي كا ‪ J-k‬مع خمرج القالب األول‪ ،‬عندها يغري القالب الثاين حالة خرجه‬
‫كل نبضتني متتاليتني‪.‬‬

‫عداد ثنائي متزامن خبانتني ‪ ،a 2-bit synchronous binary counter‬مبين من القالب جي كا ‪ J-k‬على‬
‫الشكل (‪ّ )11-9‬‬
‫اليمني‪ ،‬ومن القالب دي ‪ D‬على اليسار‬

‫للعداد مع أزمنة التأخري للقالابت ‪ ،Propagation delay‬مع افرتاض أهنا‬ ‫الشكل (‪ )12-9‬يظهر املخطط الزمين ّ‬
‫العدادات املتزامنة‪.‬‬
‫متساوية‪ ،‬والشكل (‪ )13-9‬يظهر املخطط الزمين مع امهال أزمنة التأخري للتبسيط‪ ،‬مع أهنا عامل مهم يف ّ‬
‫سوف يتبدل خرجه وسوف يصبح اخلرج‬ ‫‪FF0‬‬ ‫القالب األول‬ ‫‪CLK1‬‬ ‫عند تطبيق احلافة املوجبة لنبضة التزامن األوىل‬
‫‪ ،Q0= 1‬والقالب الثاين ‪ FF1‬يبقى على حالته بدون تغيري‪.‬‬
‫‪Q1 = 0‬‬ ‫و‬ ‫‪Q0 = 1‬‬ ‫فإن‬ ‫‪CLK1‬‬ ‫بعد نبضة التزامن األوىل‬
‫عند حدوث احلافة الصاعدة لنبضة التزامن الثانية ‪ ،CLK2‬القالب األول ‪ FF0‬سوف يتبدل خرجه وسوف يصبح اخلرج‬
‫‪ ،Q0= 0‬ومبا أن مداخل القالب الثاين ‪ FF1‬موصولة مع خرج القالب األول و ‪ Q0 = 1‬فعند حافة القدح لنبضة التزامن‪،‬‬
‫خرج القالب يتبدل ويصبح ‪.Q1 = 1‬‬
‫‪Q1 = 1‬‬ ‫و‬ ‫‪Q0 = 0‬‬ ‫فإن‬ ‫‪CLK2‬‬ ‫بعد نبضة التزامن الثانية‬
‫عند حدوث احلافة الصاعدة لنبضة التزامن الثالثة ‪ ،CLK3‬القالب األول ‪ FF0‬سوف يتبدل خرجه وسوف يصبح اخلرج‬
‫‪ ،Q0= 1‬القالب الثاين ‪ FF1‬سوف يبقى واحد ‪ Q1 = 1‬ألن كال مداخله هي صفر ) ‪ ،( Q0 = 0‬بعد حافة القدح هذه‪،‬‬
‫‪Q1 = 1‬‬ ‫و‬ ‫‪Q0 = 1‬‬

‫‪315‬‬
‫أساسيات النظم الرقمية‬

‫أخرياً عند حدوث احلافة الصاعدة لنبضة التزامن الرابعة ‪ ،CLK4‬سوف يصبح اخلرج ‪ Q0= 0‬و ‪ Q1 = 0‬ألن كال‬
‫القالبني يف منط التبديل ‪.toggle‬‬

‫للعداد املتزامن خبانتني الذي يظهر أزمنة التأخري للقالابت ‪،Propagation delay‬‬
‫الشكل (‪ )12-9‬تفاصيل املخطط الزمين ّ‬
‫ابفرتاض أهنا متساوية‬

‫‪a 2-bit synchronous binary counter‬‬ ‫عداد ثنائي متزامن خبانتني‬


‫الشكل (‪ )13-9‬املخطط الزمين ل ّ‬

‫‪Up/Down Synchronous Counters‬‬ ‫‪ 3-1-2‬الع ردادات املتزامنة من نوع التصاعدي‪/‬التنازيل‬


‫الع ّداد التصاعدي‪/‬التنازيل ‪ Up/Down Counter‬هو ع ّداد له القدرة على العد يف كال االجتاهني‪ ،‬ويسمى أحياانً ثنائي‬
‫االجتاه ‪ ،bidirectional counter‬فالع ّداد الثنائي بثالث خاانت يعد تصاعدايً ابلتسلسل (‪،)7 ،6 ،5 ،4 ،3 ،2 ،1 ،0‬‬
‫وميكن أن يعكس العد أبن يعد ابالجتاه املعاكس تنازلياً (‪.)0 ،1 ،2 ،3 ،4 ،5 ،6 ،7‬‬
‫العدادات التصاعدية التنازلية ميكن أن تعكس حالتها عند أي نقطة وليس ابلضرورة حىت انتهاء‬
‫بشكل عام معظم ّ‬
‫حاالت العد كما هو مبني‪:‬‬

‫‪316‬‬
‫أساسيات النظم الرقمية‬

‫‪Up/Down sequence for a 3-bit‬‬ ‫اجلدول (‪ )3-9‬يظهر تسلسل احلاالت من اجل ع ّداد ثنائي تصاعدي‪/‬تنازيل‬
‫‪.binary counter‬‬

‫اجلدول (‪)3-9‬‬
‫من اجلدول (‪ )3-9‬ميكن أن نالحظ‬
‫يغري حالته ‪ Q0‬عند ورود كل نبضة من نبضات الساعة سواء للنمط التصاعدي أو‬ ‫‪FF0‬‬ ‫القالب األول‬
‫أي ‪ .J0 = K0 = 1‬بينما يتم وصل‬ ‫"‪" 1‬‬ ‫التنازيل كما يشري السهم‪ ،‬إ ًذا يتم وصل مدخليه على احلالة املرتفعة‬
‫مباشرة على مدخل نبضات التزامن للقالابت‪.‬‬
‫إشارة نبضة التزامن ً‬
‫القالب الثاين ‪ FF1‬مع نبضة التزامن التالية يغري حالته عندما‪:‬‬
‫‪Q0 = 1‬‬ ‫من أجل النمط التصاعدي ‪ up sequence‬عندما‬
‫‪Q0 = 0‬‬ ‫من أجل النمط التنازيل ‪ down sequence‬عندما‬
‫حتت الشروط املعرب عنها كالتايل‪:‬‬ ‫املرتفعة "‪" 1‬‬ ‫لذلك املداخل جيب أن تساوي احلالة‬

‫)‪J1 = K1 = (Q0 . UP) + (Q0 . DOWN‬‬


‫القالب الثالث ‪ FF2‬مع نبضة التزامن التالية يغري حالته عندما‪:‬‬
‫‪Q1 = Q0 = 1‬‬ ‫من أجل النمط التصاعدي ‪ up sequence‬عندما‬
‫‪Q1 = Q0 = 0‬‬ ‫من أجل النمط التنازيل ‪ down sequence‬عندما‬

‫‪317‬‬
‫أساسيات النظم الرقمية‬

‫حتت الشروط املعرب عنها كالتايل‪:‬‬ ‫املرتفعة "‪" 1‬‬ ‫لذلك املداخل جيب أن تساوي احلالة‬

‫)‪J2 = K2 = (Q0 . Q1 . UP) + (Q0 . Q1 . DOWN‬‬


‫عند نقطة حمددة من سلسلة العد‬ ‫‪toggle‬‬ ‫كل الشروط من أجل املداخل ‪ J‬و ‪ ،K‬لكل القالابت تنتج حالة التبديل‬
‫للع ّداد‪.‬‬
‫الشكل (‪ )14-9‬يظهر ع ّداد ثنائي تصاعدي‪/‬تنازيل من ثالث خاانت ‪ a 3-bit up/down binary counter‬مصمم‬
‫ابستخدام املعادالت السابقة املستنتجة من أجل املداخل لكل قالب‪ .‬مع مالحظة أن مدخل التحكم ‪ UP/DOWN‬يكون يف‬
‫احلالة املرتفعة ‪ HIGH‬من أجل النمط التصاعدي ‪ UP‬ويف احلالة املنخفضة ‪ LOW‬من أجل النمط التنازيل ‪.DOWN‬‬
‫الشكل (‪ )15-9‬يظهر الرمز املنطقي للشرحية املتكاملة ‪ IC‬لع ّداد عشري تصاعدي‪/‬تنازيل من أربع خاانت مؤلف من‬
‫أربع قالابت ‪ up/down synchronous decade counter‬حتمل الرقم ‪ ،74HC190‬اجتاه العد حيدد ابملستوى املنطقي خلط‬
‫التحكم ‪ .D / U‬وهي يعد حىت ‪ 9‬فقط من ‪ 0‬حىت ‪( 9‬واالسم ‪ CTR DIV 10‬اي بعشر حاالت أو قاسم على ‪.)10‬‬

‫العداد يعد تنازلياً‬


‫فعندما يكون يساوي احلالة املرتفعة ‪ّ D / U= 1‬‬
‫العداد يعد تصاعدايً‪.‬‬
‫وعندما يكون يساوي احلالة املنخفضة ‪ D / U= 0‬فإن ّ‬
‫وميكن بدء العد أبي قيمة وذلك بوضعها على املداخل املتوازية ‪ D0‬حىت ‪ D3‬ومبجرد وضع اخلط ‪ LOAD‬يبدأ العد من‬
‫هذه القيمة‪ .‬طرف اخلرج القيمة الصغرى‪/‬القيمة العظمى ‪ MAX/MIN‬ينتج احلالة املرتفعة "‪ " 1‬عندما يصل العد إىل القيمة تسعة‬
‫‪ )1001(2 9‬يف العد التصاعدي‪ ،‬والقيمة صفر ‪ )0000(2 0‬يف العد التنازيل‪.‬‬
‫طرف خرج التزامن التموجي ‪ ،)RCO( the ripple clock output‬ميكن استخدامه كنبضة تزامن ملراحل اتلية ومن‬
‫اجل الوصل مع دوائر أخرى‪ .‬مدخل متكني العد ‪ )CTEN) the count enable input‬يكون يساوي الصفر ‪ 0‬لكي تعمل‬
‫العداد يتجمد عند آخر وضع وصل إليه وال يعمل‪.‬‬
‫الشرحية يف حالة العد الطبيعي‪ ،‬وإذا كان واحد ‪ 1‬فإن ّ‬
‫أطراف التحكم التالية‪:‬‬
‫القيمة الصغرى‪/‬القيمة العظمى ‪ – MAX/MIN‬خرج التزامن التموجي (‪ ،)RCO‬تستخدم عند وصل الع ّدادات‪.‬‬
‫‪up/down‬‬ ‫الشكل (‪ )16-9‬يظهر الرمز املنطقي للشرحية املتكاملة ‪ IC‬لع ّداد عشري تصاعدي‪/‬تنازيل من أربع خاانت‬
‫‪ synchronous decade counter‬حتمل الرقم ‪ ،74HC163‬وهي مؤلفة من أربع قالابت‪ ،‬وتشابه يف عملها الشرحية السابقة‪ ،‬إال‬
‫أن القالابت موصلة داخلياً لتعد حىت ‪ 15‬وليس حىت ‪( 9‬واالسم ‪ CTR DIV 16‬أي بست عشرة حالة أو قاسم على ‪.)16‬‬
‫الطرف )‪ terminal count (TC‬يصبح واحد ‪ 1‬ملدة نبضة تزامن واحدة قبل آخر حالة للع ّداد‪ ،‬ويستخدم هذ الطرف للوصل مع‬
‫ع ّدادات أخرى‪ ،‬واملداخل ‪ ENP - ENT‬هي مداخل لتمكني الشرحية‪.‬‬

‫‪318‬‬
‫أساسيات النظم الرقمية‬

‫‪a 3-bit up/down binary counter‬‬ ‫الشكل (‪ )14-9‬ع ّداد ثنائي تصاعدي‪/‬تنازيل من ثالث خاانت‬

‫‪ 74HC190‬يعد لـ ‪9‬‬ ‫عداد عشري تصاعدي‪/‬تنازيل من أربع خاانت حتمل الرقم‬


‫الشكل (‪ )15-9‬الرمز املنطقي للشرحية املتكاملة ل ّ‬
‫‪up/down synchronous decade counter‬‬

‫‪ 74HC163‬يعد لـ ‪16‬‬ ‫الشكل (‪ )16-9‬الرمز املنطقي للشرحية املتكاملة لع ّداد عشري تصاعدي‪/‬تنازيل من أربع خاانت حتمل الرقم‬
‫‪up/down synchronous decade counter‬‬

‫‪319‬‬
‫أساسيات النظم الرقمية‬

‫‪Design of Synchronous Counters‬‬ ‫‪ 4-1-2‬تصميم الع ردادات املتزامنة‬


‫مت حىت اآلن استعراض جمموعة من الع ّدادات غري املتزامنة واملتزامنة‪ ،‬مع بيان الرتكيب الداخلي‪ ،‬ومبدأ عمل كل ع ّداد‪،‬‬
‫حيث نالحظ أن معظم هذه الع ّدادات استخدمت نوع واحد من القالابت وهو القالب جي كا ‪ J-K‬ملا يتميز به هذا القالب من‬
‫املرتفعة "‪ " 1‬وذلك عند وورد نبضة الساعة‪.‬‬ ‫خاصية قلب حالة اخلرج ‪ Toggle‬عندما يكون مدخليه موصوالن إىل احلالة‬
‫سنرى فيما يلي طريقة منهجية يف تصميم الع ّدادات املتزامنة مت ّكن املصمم من تصميم أي ع ّداد ابستخدام أي نوع من أنواع‬
‫القالابت وفق أي شروط‪ ،‬وأية طريقة مرغوبة يف العد‪ ،‬وتعطي مرونة يف التصميم وذلك لسهولة استخدامها وعموميتها‪.‬‬

‫تصميم الع ّدادات املتزامنة اخلطوات التالية‪:‬‬ ‫تتبع طريقة‬

‫‪State Diagram‬‬ ‫‪ -1‬حتديد خمطط احلالة‬


‫للعداد‪ ،‬حيث يظهر خمطط احلالة التقدم‬‫حندد نوع القالابت اليت سنستخدمها ونكتب خمطط احلالة ‪ّ State Diagram‬‬
‫تعرف الدائرة التعاقبية‬
‫العداد عندما تطبيق نبضات التزامن‪ ،‬ويعترب أهم مميزات تصميم الدوائر املنطقية التعاقبية‪ ،‬إذ ّ‬
‫يف حاالت ّ‬
‫مبخطط احلالة‪.‬‬
‫عداد يعد ابلشفرة الرمادية " غراي " بثالث خاانت‬
‫يظهر الشكل (‪ )17-9‬خمطط احلالة ‪ State Diagram‬من أجل ّ‬
‫‪ ،a‬هذه الدائرة ليس لديها مداخل سوى نبضات التزامن‪ ،‬وليس هلا خرج سوى خمارج‬ ‫‪basic 3-bit Gray code counter‬‬
‫العداد‪.‬‬
‫القالابت يف ّ‬

‫عداد ابلشفرة الرمادية " غراي " بثالث خاانت‬


‫الشكل (‪ )17-9‬خمطط احلالة ‪ State Diagram‬من أجل ّ‬
‫‪a basic 3-bit Gray code counter‬‬

‫‪320‬‬
‫أساسيات النظم الرقمية‬

‫‪Next-State Table‬‬ ‫‪ -2‬نوجد جدول احلالة التالية‬


‫جدول احلالة التالية ‪ The next-state table‬للع ّداد هو جدول يشتقه من خمطط احلالة ‪ ،State Diagram‬ونرتب كل‬
‫حالة من حاالت خرج الع ّداد قبل وبعد ورود نبضة التزامن‪ ،‬وهي احلالة احلالية واحلالة التالية املوافقة‪ .‬اجلدول (‪ )4-9‬يظهر جدول‬
‫احلالة من أجل مثال ع ّداد الشفرة الرمادية " غراي" بثالث خاانت ‪ a basic 3-bit Gray code counter‬وهو كالتايل‪:‬‬

‫اجلدول (‪)4-9‬‬

‫‪Flip-Flop Transition Table‬‬ ‫‪ -3‬جدول االنتقال للقالب‬


‫‪Q‬‬ ‫جدول االنتقال للقالب ‪ Flip-Flop Transition Table‬هو جدول يظهر كل حاالت االنتقال املمكنة للخرج‬
‫‪ possible output transitions‬من احلالة احلالية إىل احلالة التالية‪ ،‬حيث‪:‬‬
‫‪ QN‬احلالة احلالية للقالب قبل نبضة التزامن‪.‬‬
‫‪ QN+1‬احلالة التاية للقالب بعد نبضة التزامن‪.‬‬
‫العدادت ابالعتماد على جدول احلالة التالية‪ .‬اجلداول‬
‫العداد يطبق جدول االنتقال لكل قالب موجود يف ّ‬ ‫عند تصميم ّ‬
‫(‪ )6-9( )5-9‬تكون جداول االنتقاالت ألكثر القالابت استخداماً يف التصميم‪:‬‬
‫جدول االنتقال للقالب جي كا ‪J-K‬‬ ‫جدول االنتقال للقالب دي ‪D‬‬
‫‪Transition table for a J-K flip-flop‬‬ ‫‪Transition table for a D flip-flop‬‬

‫الحالة الحالية‬
‫الحالة التالية‬
‫غير هامة‬

‫‪J-K‬‬ ‫اجلدول (‪ )6-9‬جدول االنتقال للقالب جي كا‬ ‫‪D‬‬ ‫اجلدول (‪ )5-9‬جدول االنتقال للقالب دي‬

‫‪321‬‬
‫أساسيات النظم الرقمية‬

‫على سبيل املثال من أجل احلالة احلالية ‪ the present state 000‬يكون‪:‬‬
‫‪ -‬اخلرج ‪ Q0‬ينتقل من صفر ‪ 0‬حالته احلالية إىل واحد ‪ ،1‬وجلعل هذا حيدث جيب أن يكون‬

‫‪(J0 = 1, K0 = X), X‬‬ ‫ليست مهمة‬ ‫‪K0‬‬ ‫و حالة املدخل‬ ‫‪J0‬‬ ‫الدخل ‪= 1‬‬
‫‪ -‬اخلرج ‪ Q1‬حالته احلالية صفر ‪ 0‬ويبقى صفر ‪ 0‬للحالة التالية من أجل هذا التحول‪:‬‬
‫‪(J1 = 0, K1 = X), X‬‬ ‫ليست مهمة‬ ‫‪K1‬‬ ‫وحالة املدخل‬ ‫‪J1‬‬ ‫الدخل ‪= 0‬‬
‫‪ -‬اخلرج ‪ Q2‬حالته احلالية صفر ‪ 0‬ويبقى صفر ‪ 0‬للحالة التالية من أجل هذا التحول‪:‬‬
‫‪(J2 = 0, K2 = X), X‬‬ ‫ليست مهمة‬ ‫‪K2‬‬ ‫و حالة املدخل‬ ‫‪J2‬‬ ‫الدخل ‪= 0‬‬
‫يتم اعادة هذا التحليل من أجل كل حالة حالية يف اجلدول‪.‬‬

‫‪Karnaugh Maps‬‬ ‫‪ -4‬خمططات كارنوف‬


‫العداد‪،‬‬
‫خمطط كارنوف ‪ Karnaugh Map‬ميكن أن يستخدم لتحديد املنطق املطلوب من أجل مداخل كل قالب يف ّ‬
‫حيث يوجد من أجل املدخل جي ‪ J‬خمطط كارنوف‪ ،‬ومن أجل املدخل كا ‪ K‬خمطط كارنوف وذلك لكل قالب‪ ،‬حيث كل‬
‫للعداد‪.‬‬
‫خلية يف جدول كارنوف متثل حالة من احلاالت احلالية ّ‬
‫ابستخد ام جدول االنتقال للقالب املستخدم‪ ،‬ننشىء خمططات كارنوف لتوابع مداخل كل قالب من القالابت يف‬
‫عداد الشفرة الرمادية " غراي " بثالث خاانت ‪ a basic 3-bit Gray code counter‬كما يف الشكل (‪.)18-9‬‬
‫ّ‬
‫العداد‪ ،‬ومن مث نقوم إبجياد التعبري البولياين‬
‫نقوم بتجميع اخلالاي يف خمططات كارنوف من أجل القالابت الثالثة يف ّ‬
‫املقابل لكل جمموعة‪ ،‬وهي كما يف الشكل (‪.)19-9‬‬
‫‪Logic Expressions for Flip-Flop Inputs‬‬ ‫‪ -5‬التعابري املنطقية ملداخل القالابت‬
‫من خمططات كارنوف يف الشكل (‪ )18-9‬نستخلص التعابري املنطقية من أجل املداخل جي ‪ J‬و كا ‪ K‬لكل قالب‬
‫كما يلي‪:‬‬

‫‪322‬‬
‫أساسيات النظم الرقمية‬

‫‪J0‬‬ ‫‪K0‬‬

‫القيم المطلوبة للمداخل ‪ J0‬و ‪K0‬‬


‫النتاج االنتقال توضّع على كل‬
‫مخطط في خلية الحالة الحالية ‪.‬‬

‫القيم المطلوبة للمداخل ‪ J0‬و ‪K0‬‬


‫النتاج االنتقال توضّع على كل‬
‫مخطط في خلية الحالة الحالية ‪.‬‬

‫من أجل القيمة الحالية‬


‫‪ Q0 ،000‬يصنع االنتقال‬
‫من ‪ 0‬إلى ‪ 1‬للحالة التالية‪.‬‬

‫من أجل القيمة الحالية‬


‫‪ Q0 ،101‬يصنع االنتقال‬
‫من ‪ 1‬إلى ‪ 0‬للحالة التالية‪.‬‬

‫الشكل (‪ )18-9‬مثال عن عملية متثيل البياانت يف خمططات كارنوف من خمطط احلالة التالية وخمطط االنتقال للقالب املستخدم‬
‫للعداد ذو خمطط احلالة يف الشكل (‪)17-9‬‬
‫وذلك ّ‬

‫عداد الشفرة الرمادية " غراي " بثالث خاانت‬


‫الشكل (‪ )19-9‬خمططات كارنوف للقالابت يف ّ‬

‫‪323‬‬
‫أساسيات النظم الرقمية‬

‫‪Counter Implementation‬‬ ‫‪ -6‬تنفيذ الع رداد‬


‫اخلطوة األخرية تنفيذ ع ّداد الشفرة الرمادية " غراي " بثالث خاانت ‪ ،a 3-bit Gray code counter‬حيث نبدأ بتنفيذ‬
‫املنطق التوافقي من التعابري املنطقية من أجل املداخل جي ‪ J‬و كا ‪ K‬للقالابت‪ ،‬مث نقوم بتوصيل الدوائر اليت حصلنا عليها‬
‫ابلقالابت‪ ،‬الشكل (‪ )20-9‬يظهر الع ّداد‪.‬‬

‫‪a 3-bit Gray code counter‬‬ ‫عداد الشفرة الرمادية " غراي " بثالث خاانت‬
‫الشكل (‪ّ )20-9‬‬

‫ميكن أن نلخص خطوات تصميم الع ردادات املتزامنة كما يلي‪:‬‬


‫للعداد ونرسم خمطط احلالة ‪ ،state diagram‬وحندد نوع القالب املستخدم يف‬
‫‪ .1‬حندد طبيعة تسلسل احلاالت العددية ّ‬
‫العداد‪.‬‬
‫العداد ‪ MOD‬لتحديد عدد القالابت املراد استخدامها يف تصميم ّ‬
‫العداد‪ ،‬ودورة العد ‪ N‬ومقياس ّ‬
‫ّ‬
‫‪ .2‬نستنتج جدول احلالة التالية ‪ a next-state table‬من خمطط احلالة‪.‬‬
‫‪ .3‬نكتب جدول االنتقال للقالب املستخدم ‪.Flip-Flop Transition Table‬‬
‫لتوابع مداخل كل قالب من القالابت ابالستعانة جبدول االنتقال‬ ‫‪Karnaugh Maps‬‬ ‫‪ .4‬ننشئ خمططات كارنوف‬
‫للقالب‪.‬‬
‫‪ .5‬نستخلص التعابري املنطقية ‪ Logic Expressions‬ملداخل القالابت‪.‬‬
‫العداد‪.‬‬
‫‪ .6‬ننفذ التعابري ابستخدام املنطق التوافقي‪ ،‬وندجمها مع القالابت لتشكيل ّ‬

‫‪324‬‬
‫أساسيات النظم الرقمية‬

‫مثال‬
‫صمم ع ّداد ثنائي غري منتظم العد ‪ irregular binary count sequence‬خمطط احلالة له يظهر يف الشكل (‪ ،)21-9‬ابستخدام‬
‫قالب من نوع دي ‪.D‬‬

‫للعداد‬
‫الشكل (‪ )21-9‬خمطط احلالة ّ‬
‫العداد يعد بطريقة غري منتظمة‪ ،‬له أربع حاالت خرج‪ ،‬طول دورة العد هو سبعة ‪)111( 7‬‬
‫‪ .1‬من خمطط احلالة جند أن ّ‬
‫ابلتايل حنتاج إىل ثالثة قالابت‪ ،‬ومبا أن التسلسل املطلوب ال يشمل كل احلاالت الثنائية املمكنة‪ ،‬احلاالت غري املوجودة (‪3 ،0‬ـ‪،4 ،‬‬
‫‪ )6‬ميكن أن تعامل كحاالت غري هامة ”‪.)X( “don’t cares‬‬
‫‪ .2‬نستنتج جدول احلالة التالية من خمطط احلالة‪:‬‬

‫‪ .3‬نكتب جدول االنتقال للقالب دي ‪:D‬‬

‫‪325‬‬
‫أساسيات النظم الرقمية‬

‫‪ .4‬نوجد خمططات كارنوف لتوابع مدخل القالب دي ‪ ،D‬مع مالحظة أن احلاالت غري املوجودة‪ ،‬نكتبها غري هامة ‪.X‬‬

‫‪ . 5‬نقوم إبجيراء التطويقات املناسبة مستفيدين من احلاالت غري اهلامة لكي حنصل على أبسط شكل ممكن‪ ،‬واجياد التوابع املنطقية‬
‫من أجل كل مدخل دي ‪ D‬واليت تكون كما يلي‪:‬‬

‫العداد كما ابلشكل (‪ )22-9‬حيث نالحظ ربط املداخل دي ‪ D‬كما تنص التعابري املنطقية‪.‬‬
‫‪ .6‬ننفذ ّ‬

‫‪irregular binary count sequence counter‬‬ ‫الشكل (‪ )22-9‬ع ّداد ثنائي غري منتظم العد‬

‫نالحظ أن الع ّداد عندما يذهب إىل أحد احلاالت غري املوجودة (‪،3 ،0‬ـ ‪ )6 ،4‬سوف يعود دائماً إىل احلاالت املوجودة‬
‫وفقاً للتسلسل ( ‪ 0‬إىل ‪ 3‬إىل ‪ 4‬إىل ‪.)7‬‬

‫‪326‬‬
‫أساسيات النظم الرقمية‬

‫من املالحظات املهمة للع ردادات‬


‫له تردد نصف تردد نبضات التزامن‬ ‫‪Q0‬‬ ‫خرج كل مرحلة يعترب قاسم لرتدد املرحلة السابقة مبقدار‪ 2‬فاخلرج‬
‫وابلتايل ربع تردد نبضات التزامن‪ ،‬وهكذا‪ ،‬وميكن متابعة‬ ‫‪Q0‬‬ ‫له تردد نصف تردد اخلرج‬ ‫‪Q1‬‬ ‫املدخلة‪ ،‬واخلرج‬
‫ذلك على خمططات التزامن ألي ع ّداد كم الع ّدادات السابقة‪ ،‬ابلنسبة للع ّداد العشري وجدان أن خرج املرحلة‬
‫عشر تردد نبضات التزامن‪ ،‬أي يقسم تردد اإلشارة املدخلة على عشرة‪.‬‬ ‫الرابعة ‪ Q3‬يعترب‬
‫)يف الع ّدادات املتتالية يكون خرج املرحلة األخرية‬ ‫‪Cascaded counters‬‬ ‫تستخدم عادة الع ّدادت املتتالية‬
‫للع ّداد يقود دخل الع ّداد التايل)‪ ،‬ل تقسيم تردد التزامن املرتفع للحصول على ترددات نبضات أكثر دقة‪ ،‬وميكن‬
‫أيضاً وصلها للحصول على ترددات أقل على شكل سلسلة ‪ in cascade‬كما يف الشكل (‪ ،)23-9‬حيث‬
‫العداد العشري موصولة‬
‫وابستخدام ثالث دوائر من ّ‬ ‫‪1 MHz‬‬ ‫ابفرتاض أن تردد نبضة التزامن األساسية هي‬
‫عداد يقسم الرتدد على ‪،10‬‬
‫على التتايل‪ ،‬حنصل على ‪ 100 kHz, 10 kHz, and 1 kHz‬حيث كل ّ‬
‫العداد التايل‪.‬‬
‫ونالحظ استخدام الطرف ‪ TC‬لتمكني وتفعيل ّ‬

‫للعدادات اجلزئية املكون منها‬


‫للعدادات املوصولة على التسلسل هو حاصل ضرب مقاييس العد ّ‬
‫العد الكلي ّ‬
‫مقياس ّ‬
‫للعداد يف الشكل (‪ )23-9‬يكون‪:‬‬
‫العداد‪ .‬وابلنسبة ّ‬
‫ّ‬

‫‪The overall modulus of cascaded counters= 10 ×10× 10= 1000‬‬

‫الشكل (‪ )23-9‬ع ّدادات عشرية موصولة بشكل متسلسل لتشكيل دائرة مقسم تردد على ‪ ،1000‬وكل مرحلة تقسم على ‪10‬‬
‫‪Three cascaded decade counters forming a divide-by-1000 frequency divider‬‬

‫‪327‬‬
‫أساسيات النظم الرقمية‬

‫اجلدول (‪ )7-9‬يلخص الدوائر املتكاملة اليت مت عرضها سابقاً‪ ،‬مع أرقامها وحمتوايهتا‬

‫رقمها‬ ‫نوع الدائرة املتكاملة‬

‫‪a 4-bit modulus-16‬‬ ‫ع ّداد بست عشرة ‪ 16‬حالة عد‬


‫‪74HC93‬‬
‫‪counter‬‬

‫‪up/down synchronous‬‬ ‫ع ّداد عشري تصاعدي‪/‬تنازيل من أربع خاانت بعشر ‪ 10‬حاالت عد‬
‫‪74HC190‬‬
‫‪decade counter‬‬

‫‪up/down synchronous‬‬ ‫ع ّداد عشري تصاعدي‪/‬تنازيل من أربع خاانت بست عشر ‪ 16‬حالة عد‬
‫‪74HC163‬‬
‫‪decade counter‬‬

‫اجلدول (‪ )7-9‬الدوائر املتكاملة اليت مت عرضها يف هذا الفصل‬

‫‪328‬‬
‫أساسيات النظم الرقمية‬

‫تدريبات‬

‫‪ -1‬من أجل الع رداد التموجي يف الشكل (‪ )24-9‬ارسم املخطط الزمين الكامل له‪ ،‬من أجل ‪ 8‬نبضات تزامن‪ ،‬حيث تظهر‬
‫نبضة التزامن واخلرج للقالب األول والقالب الثاين‪.‬‬

‫الشكل (‪)24-9‬‬

‫‪ -2‬من أجل الع رداد الع رداد العشري يف الشكل (‪ )25-9‬تطبق عليه نبضة التزامن ونبضة التصفري كما هو موضح‪ ،‬ارسم‬
‫شكل موجة اخلرج للمخارج األربعة‪ ،‬حيث التصفري يكون متزامن‪ ،‬واحلالة االبتدائية للعدد هي احلالة الثنائية ‪.1000‬‬

‫الشكل (‪)25-9‬‬

‫‪ -3‬حدد خرج الع رداد يف الشكل (‪)26-9‬‬

‫الشكل (‪)26-9‬‬

‫‪329‬‬
‫أساسيات النظم الرقمية‬

‫‪ -4‬حدد خرج الع رداد يف الشكل (‪)27-9‬‬

‫الشكل (‪)27-9‬‬

‫‪ 7‬ويكرر ) ابستخدام القالب جي كا ‪.J-K‬‬ ‫‪ -5‬صمم ع رداد غرب متزامن تصاعدي طول دورته ‪ ( M=8‬يعد ‪0‬‬

‫‪ 15‬ويكرر ) ابستخدام القالب دي ‪.D‬‬ ‫‪ -6‬صمم ع رداد غرب متزامن تنازيل طول دورته ‪ ( M=16‬يعد ‪0‬‬

‫‪ -7‬صمم ع رداد غرب متزامن تصاعدي تنازيل طول دورته ‪ M=10‬ابستخدام القالب دي ‪.D‬‬

‫‪ -8‬صمم دائرة حتول الرتدد ‪ 1MHz‬إىل ‪.100KHz‬‬

‫‪ -9‬صمم ع رداد يقوم ابنتاج التسلسل التايل ابستخدام القالب جي كا ‪.J-K‬‬

‫‪.....00, 10, 01, 11, 00, .....‬‬

‫‪ -10‬صمم ع رداد يقوم ابنتاج التسلسل التايل ابستخدام القالب جي كا ‪.J-K‬‬

‫‪1, 4, 3, 5, 7, 6, 2, 1, ........‬‬

‫‪ -11‬صمم ع رداد يقوم ابنتاج التسلسل التايل ابستخدام القالب جي كا ‪.J-K‬‬

‫‪.....00, 10, 01, 11, 00, .....‬‬

‫‪ )9‬متزامن مستخدماً ثنائيات االستقرار جي كا ‪.J-K‬‬ ‫‪ -12‬صمم ع رداد عشري ‪0( BCD‬‬

‫‪-13‬صمم دائرة تعاقبية متزامنة مع نبضات الساعة ‪ C‬ومدخل التحكم ‪ X‬تعمل كع رداد مير ابألعداد‬

‫‪0‬‬ ‫‪3‬‬ ‫‪1‬‬ ‫‪2‬‬ ‫‪0‬‬ ‫‪x=0‬‬ ‫عندما‬

‫‪0‬‬ ‫‪2‬‬ ‫‪1‬‬ ‫‪3‬‬ ‫‪0‬‬ ‫‪x=1‬‬ ‫عندما‬

‫‪330‬‬
‫أساسيات النظم الرقمية‬

‫‪-14‬صمم ع رداد ثنائي يعطي تسلسل موضح يف خمطط احلالة يف الشكل (‪.)28-9‬‬

‫الشكل (‪)28-9‬‬

‫‪-15‬ما أقصى عد يصل إليه الع رداد املكون من مثانية قالابت‪.‬‬

‫‪-16‬احسب أقصى قيمة لرتدد نبضات التزامن ‪ f‬لدائرة ع رداد غري متزامن يتكون من ست عشرة ‪ 16‬قالب‪ ،‬إذا كان كل‬
‫قالب له زمن اتخري انتشار ‪ tp‬يساوي إىل ‪.10ns‬‬

‫‪-17‬احسب زمن التأخري الكلي لع رداد تصاعدي متزامن يتكون من أربعة قالابت‪ ،‬إذا كان كل قالب له زمن أتخري انتشار‬
‫‪ tp‬يساوي إىل ‪ 10ns‬ومن أتخري البوابة ‪ AND‬يساوي إىل ‪.5ns‬‬

‫‪ -18‬صمم دائرة تقوم بعد عدد السيارات يف كراج عند أي حلظة زمنية‪ ،‬استخدم ع رداد تصاعدي تنازيل‪ ،‬حبيث مع دخول‬
‫سيارة يزداد الع رداد بواحد‪ ،‬ومع خروج سيارة ينقص بواحد‪.‬‬

‫‪ -19‬صمم نظام الظهار عدد األشخاص يف حجرة‪ ،‬حيث يستخدم عدد األشخاص الضاءة احلجرة طاملا هبا أشخاص‪،‬‬
‫ويقوم ابطفاء االضاءة عندما يصل عدد األشخاص إىل الصفر‪ ،‬علماً أن عدد األشخاص يظهر على شاشة القطع السبع‪.‬‬

‫‪ -20‬ابستخدام خمطط صندوقي عام للع رداد‪ ،‬اظهر كيف ميكن احلصول على الرتددات التالية‪ ،‬من تردد نبضات ‪10 MHz‬‬
‫ابستخدام قالابت وحيدة‪ ،‬وع ردادات من نوع مقياس عد ‪ modulus-5 counters 5‬موصولة على التسلسل‪.‬‬
‫‪(a) 5 MHz (b) 2.5 MHz (c) 2 MHz (d) 1 MHz (e) 500 kHz‬‬
‫‪ -21‬من أجل كل جمموعة ع ردادات موصولة على التسلسل يف الشكل (‪ )29-9‬حدد الرتدد عن كل نقطة مشار إليها‬
‫برقم وحدد مقياس الع رداد الكلي‪.‬‬

‫‪331‬‬
‫أساسيات النظم الرقمية‬

‫الشكل (‪)29-9‬‬

‫‪332‬‬
‫أساسيات النظم الرقمية‬

‫‪Key Terms and Abbreviations‬‬ ‫املصطلحات والعبارات الرئيسة واختصاراهتا‬


‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬ ‫الصفحة‬ ‫الرتمجة‬ ‫املصطلح أو العبارة‬

‫‪308‬‬
‫‪Maximum Count of‬‬
‫أقصى عد للع رداد‬ ‫‪305‬‬ ‫‪Digital Counters‬‬ ‫الع ردادات الرقمية‬
‫‪a Counter‬‬

‫‪308‬‬ ‫‪Propagation Delays‬‬ ‫أزمنة أتخري االنتشار‬ ‫‪305‬‬


‫‪Sequential Logic‬‬
‫دوائر منطقية تعاقبية‬
‫‪Circuits‬‬

‫‪311‬‬
‫‪The Maximum‬‬
‫تردد الساعة األعظمي‬ ‫‪305‬‬ ‫‪Up Counting‬‬ ‫عد تصاعدي‬
‫‪Clock Frequency‬‬
‫‪312‬‬ ‫‪Decade Counters‬‬ ‫الع رداد العشري‬ ‫‪305‬‬ ‫‪Down Counting‬‬ ‫عد تنازيل‬
‫‪An Asynchronously‬‬ ‫ع رداد عشري غري متزامن‬
‫‪Clocked Decade‬‬
‫‪312‬‬ ‫‪Counter With‬‬ ‫يعود إىل الصفر بطريقة‬ ‫‪305‬‬
‫‪Asynchronous‬‬
‫الع ردادات غري املتزامنة‬
‫‪Counters‬‬
‫‪Asynchronous‬‬
‫‪Recycling‬‬
‫غري متزامنة‬

‫‪312‬‬ ‫‪Glitch‬‬ ‫النبضة القصرية‬ ‫‪305‬‬ ‫‪Initial State‬‬ ‫احلالة االبتدائية‬

‫‪312‬‬ ‫‪Oscilloscope‬‬ ‫راسم اشارة‬ ‫‪306‬‬


‫‪Synchronous‬‬
‫الع ردادات املتزامنة‬
‫‪Counters‬‬
‫‪A 2-Bit‬‬ ‫ع رداد ثنائي خبانتني غري‬
‫‪312‬‬ ‫‪Logic Analyzer‬‬ ‫حملل منقطي‬ ‫‪306‬‬ ‫‪Asynchronous‬‬
‫‪Binary Counter‬‬ ‫متزامن‬
‫‪Integrated Circuit‬‬
‫‪313‬‬ ‫الدائرة املتكاملة‬ ‫‪306‬‬ ‫‪A Binary Counter‬‬ ‫الع رداد الثنائي‬
‫)‪(IC‬‬
‫‪A Modulus-8‬‬
‫‪313‬‬ ‫ع رداد مبقياس عد مثانية‬ ‫‪307‬‬ ‫‪Ripple Counter‬‬ ‫الع رداد التموجي‬
‫‪Counter‬‬

‫‪A 4-Bit Modulus-16‬‬ ‫ع رداد مبقياس عد ست‬


‫‪313‬‬ ‫‪307‬‬ ‫‪RESET‬‬ ‫وضع التصفري‬
‫‪Counter‬‬ ‫عشرة‪ ،‬أربعة خاانت‬
‫‪A 2-Bit‬‬ ‫عداد ثنائي متزامن‬
‫‪314‬‬ ‫‪Synchronous‬‬ ‫‪307‬‬ ‫‪Timing Diagram‬‬ ‫املخطط الزمين‬
‫‪Binary Counter‬‬ ‫خبانتني‬
‫‪Bidirectional‬‬
‫‪316‬‬ ‫الع رداد ثنائي االجتاه‬ ‫‪307‬‬ ‫‪Least Inefficient Bit‬‬ ‫اخلانة األقل أمهية‬
‫‪Counter‬‬

‫‪An Up/Down‬‬ ‫الع رداد‬


‫‪316‬‬ ‫‪308‬‬ ‫‪Most Inefficient Bit‬‬ ‫اخلانة األكثر أمهية‬
‫‪Counter‬‬ ‫التصاعدي‪/‬التنازيل‬

‫‪317‬‬ ‫‪Down Sequence‬‬ ‫تسلسل العد التنازيل‬ ‫‪308‬‬


‫‪Modulus of‬‬
‫مقياس الع رداد‬
‫‪Counter‬‬

‫‪333‬‬
‫أساسيات النظم الرقمية‬

‫تسلسل العد‬
‫‪322‬‬ ‫‪The Present State‬‬ ‫احلالة احلالية‬ ‫‪317‬‬ ‫‪Up Sequence‬‬
‫التصاعدي‬
‫‪Terminal‬‬
‫‪322‬‬ ‫‪Karnaugh Maps‬‬ ‫خمططات كارنوف‬ ‫‪318‬‬ ‫عد طريف‬
‫)‪Count(TC‬‬
‫‪Up/Down‬‬ ‫عداد عشري متزامن‬
‫‪324‬‬ ‫‪Logic Expressions‬‬ ‫التعابري املنطقية‬ ‫‪318‬‬ ‫‪Synchronous‬‬
‫‪Decade Counter‬‬ ‫تصاعدي‪ /‬تنازيل‬
‫طرف خرج التزامن‬
‫‪325‬‬ ‫‪Don’t Cares‬‬ ‫حاالت غري هامة‬ ‫‪318‬‬
‫‪The Ripple Clock‬‬
‫)‪Output(RCO‬‬ ‫التموجي‬
‫‪Irregular Binary‬‬ ‫ع رداد ثنائي غري منتظم‬ ‫‪The Count Enable‬‬
‫‪326‬‬ ‫‪Count Sequence‬‬ ‫‪318‬‬ ‫طرف مدخل متكني العد‬
‫‪Counter‬‬ ‫العد‬ ‫‪)CTEN) Input‬‬

‫‪327‬‬ ‫‪Cascaded Counters‬‬ ‫الع ردادت املتتالية‬ ‫‪320‬‬ ‫‪State Diagram‬‬ ‫خمطط احلالة‬
‫عداد مبدئي ابلشفرة‬
‫‪A Basic 3-Bit Gray‬‬
‫‪Cascaded Decade‬‬
‫‪327‬‬ ‫ع ردادات عشرية متتالية‬ ‫‪320‬‬ ‫‪Code Counter‬‬ ‫الرمادية "غراي" بثالث‬
‫‪Counters‬‬
‫خاانت‬
‫ع ردادات من نوع مقياس‬
‫‪331‬‬
‫‪Modulus-5‬‬
‫‪321‬‬
‫‪The Next-State‬‬
‫جدول احلالة التالية‬
‫‪Mounters‬‬ ‫عد ‪5‬‬ ‫‪Table‬‬

‫‪A 3-Bit Up/Down‬‬ ‫عداد ثنائي تصاعدي‬ ‫‪Flip-Flop‬‬


‫‪318‬‬ ‫‪322‬‬ ‫جدول االنتقال للقالب‬
‫‪Binary Counter‬‬ ‫‪/‬تنازيل بثالث خاانت‬ ‫‪Transition Table‬‬

‫‪334‬‬
‫أساسيات النظم الرقمية‬

‫‪References‬‬ ‫المراجع‬

‫‪1. Misty E. Vemaat, Discovering Computers 2018: Digital Technology,‬‬

‫‪Data, and Devices, Cengage Learning, 2018.‬‬

‫‪2. M. Morris Mano, Digital Design, Prentice-Hall, 5 th, 2013.‬‬

‫‪3. Roger Tokheim, Digital Electronic, McGraw-Hill Education, 2014.‬‬

‫‪4. Thomas L. Floyd, Digital Fundamentals, Eleventh Edition, Prentice-Hall,‬‬

‫‪Inc., 2015.‬‬

‫‪5. Timothy J. O’Leary, Computing Essentials, McGraw-Hill Education,‬‬

‫‪2017.‬‬
‫‪ .6‬بكرو‪ ،‬خالد‪ ،‬مثاني القرآن الكريم إشارة إلى ِ‬
‫شفرة عمل الحاسب المثاني األصفار والواحدات‪ ،‬مجلة بحوث العلوم‬
‫االسالمية‪ ،‬جامعة أدايامان‪ ،‬تركيا‪ ،‬عدد ‪ ،2‬مجلد ‪.2017 ،1‬‬
‫‪ .7‬بكرو‪ ،‬خالد‪ ،‬الشفرة المثاني للقرآن الكريم ‪ ،‬المؤتمر الدولي الخامس للتطبيقات اإلسالمية في علوم الحاسوب وتقنياته‪- 26 ،‬‬
‫‪ 28‬ديسمبر ‪ /‬كانون األول ‪ 2017‬اندونيسيا‪.‬‬
‫شفرة المثاني آليات القرآن الكريم ‪ ،‬المؤتمر الدولي الخامس للتطبيقات اإلسالمية في‬‫‪ .8‬بكرو‪ ،‬خالد‪ ،‬خوارزم ّية إيجاد ال ِ ّ‬
‫علوم الحاسوب وتقنياته‪ 28-26 ،‬ديسمبر ‪ /‬كانون األول ‪ 2017‬اندونيسيا‪.‬‬
‫‪ .9‬بكرو‪ ،‬خالد‪ ،‬رياضيات القرآن الكريم‪ ،‬المصفوفات‪ ،‬المجلة الدولية للتطبيقات اإلسالمية في علوم الحاسوب‬
‫وتقنياته – إجازات ‪ ،IJASAT‬العدد ‪ ،3‬المجلد ‪ ،5‬سبتمبر ‪.2017‬‬
‫‪ .10‬مراياتي‪ ،‬محمد‪ .‬وآخرون‪ .‬التّعمية واستخراج المعمى عند العرب‪ ،‬دمشق‪ ،‬سوريا‪ :‬مجمع اللغة العربية‪ ،‬دار‬
‫طالس للدراسات والترجمة والنشر‪.1997 ،‬‬

‫‪335‬‬
‫أساسيات النظم الرقمية‬

‫المالحق ‪Appendix‬‬

‫امللحق ‪ :1‬شفرة أسكي (الشفرة األمريكية القياسية لتبادل املعلومات‬


‫)‪American Standard Code for Information Interchange (ASCII‬‬

‫‪336‬‬
‫أساسيات النظم الرقمية‬

‫امللحق ‪ :2‬نظام الرتميز أزمو للحروف العربية ‪ Asmo‬وكيفية ترميز احلروف العربية‬
‫)‪Arab organization for standardization and metrology (Asmo‬‬

‫‪337‬‬
‫أساسيات النظم الرقمية‬

‫ادلكتور املهندس‬
‫خادل بكرو‬
‫سوري‪ ،‬من مواليد الكويت‬
‫حاصل على الدكتوراه يف هندسة احلواسيب من جامعة حلب ‪ -‬سوراي‬
‫االلكرتوين‪.‬‬ ‫ابحث يف‪ :‬املعاجلة اآللية للغة العربية‪ ،‬معاجلة الصورة الرقمية‪ ،‬التعليم‬
‫ابحث يف االعجاز العلمي يف القرآن الكرمي‬
‫مدرس يف عدد من اجلامعات يف سوراي وتركيا‬
‫عميد كلية العلوم وتكنولوجيا املعلومات‪ ،‬اكادميية توليب للعلوم والتكنولوجيا‪ ،‬اسطنبول ‪ -‬تركيا‬
‫‪Dr.Khaled.Bakro@gmail.com‬‬

‫‪338‬‬
‫أساسيات النظم الرقمية‬

‫مميزات الكتاب‬

‫يعتبر األحدث من نوعه في المكتبة العربية‪.‬‬


‫يقدم المعلومة األحدث واألدق بشكل سهل وبسيط‪.‬‬
‫يغطي معظم المواضيع األساسية التي يحتاجها الطالب أو القارئ في علم اإللكترونيات الرقمية‬
‫بأسلوب سهل وبسيط‪.‬‬
‫يجمع ما بين العرض النظري والمخطط الصندوقي والرمز المنطقي للدائرة‪.‬‬
‫يقدم شرحا ً عن المبادئ النظرية والقواعد المتبعة واألمور التي يتوجب مراعاتها أثناء التصميم‬
‫اإللكتروني الرقمي‪.‬‬
‫يفيد المتخصص والمبتدىء‪.‬‬
‫ال يحتاج إلى خلفية كبيرة في العلوم الرياضية أو االلكترونية‪.‬‬
‫يمكن أن يكون منهج أكاديمي‪ ،‬أو مرجع عام‪.‬‬
‫يمكن دراسة كل فصل بشكل مستقل‪.‬‬
‫يركز على المفهوم ويدعمه بعدد من األمثلة والصور والمخططات التوضيحية الالزمة‪.‬‬
‫يساعد في تركيز األفكار من خالل مجموعة من االختبارات واألسئلة في نهاية كل فصل‪.‬‬
‫يتميز بتقديمه شرح عن شرائح الدوائر االلكترونية المستخدمة‪.‬‬

‫‪339‬‬
‫أساسيات النظم الرقمية‬

‫‪340‬‬

You might also like