Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

Optimization and analysis of NF3 in situ chamber cleaning plasmas

Bing Ji, James H. Yang, Peter R. Badowski, and Eugene J. Karwacki

Citation: J. Appl. Phys. 95, 4452 (2004); doi: 10.1063/1.1688996


View online: http://dx.doi.org/10.1063/1.1688996
View Table of Contents: http://jap.aip.org/resource/1/JAPIAU/v95/i8
Published by the AIP Publishing LLC.

Additional information on J. Appl. Phys.


Journal Homepage: http://jap.aip.org/
Journal Information: http://jap.aip.org/about/about_the_journal
Top downloads: http://jap.aip.org/features/most_downloaded
Information for Authors: http://jap.aip.org/authors

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 8 15 APRIL 2004

Optimization and analysis of NF3 in situ chamber cleaning plasmas


Bing Ji,a) James H. Yang, Peter R. Badowski, and Eugene J. Karwacki
Air Products and Chemicals, Incorporated, 7201 Hamilton Boulevard, Allentown, Pennsylvania 18195
共Received 30 July 2003; accepted 3 February 2004兲
We report on the optimization and analysis of a dilute NF3 in situ plasma-enhanced chemical vapor
deposition chamber cleaning plasma for an Applied Materials P-5000 DxL chamber. Using design
of experiments methodology, we identified and optimized operating conditions within the following
process space: 10–15 mol % NF3 diluted with helium, 200– 400 sccm NF3 flow rate, 2.5–3.5 Torr
chamber pressure, and 950 W rf power. Optical emission spectroscopy and Fourier transform
infrared spectroscopy were used to endpoint the cleaning processes and to quantify plasma effluent
emissions, respectively. The results demonstrate that dilute NF3 -based in situ chamber cleaning can
be a viable alternative to perfluorocarbon-based in situ cleans with added benefits. The relationship
between chamber clean time and fluorine atom density in the plasma is also investigated. © 2004
American Institute of Physics. 关DOI: 10.1063/1.1688996兴

I. INTRODUCTION collapsed.15,29,30 Therefore, it is desirable to systematically


study the effects of various process parameters on NF3 dis-
In the manufacture of semiconductor integrated circuits, charges under production reactor chamber cleaning condi-
multiple thin-film depositions are performed on a wafer by tions, and compare these effects to fundamental discharge
plasma-enhanced chemical vapor deposition 共PECVD兲 pro- studies conducted in smaller research reactors.
cess. The deposition process not only facilitates the growth Inert diluent gases, such as argon and helium, are often
of films onto a wafer, but also leaves solid residues on the used to stabilize NF3 plasmas and enhance etch rates. The
internal surfaces of the deposition reactors. These unwanted complex relation between plasma stability and rf power, NF3
residues can lead to deposition process drift, particle defects, partial pressure, and flow rate was studied and is presented in
and yield loss. Therefore, periodic cleaning of the internal a companion publication.31 In this study, we focus on the
surfaces is necessary to maintain production yield. Chamber effect of diluent on chamber clean time, which is closely
cleaning is usually carried out by employing fluorine-based related to etch rate. The exact relationship between etch rate
chemistries to convert solid residues into volatile gaseous and NF3 concentration is convoluted with many factors, such
byproducts that can be pumped out of the reactor by vacuum as reactor design and substrate type. Wide variations are re-
pumps. Historically, perfluorocarbons 共PFCs兲, such as CF4 ported in literature. Some studies showed a monotonic in-
and C2 F6 , are used as the source of reactive fluorine in creasing of substrate etch rate as NF3 concentration
PECVD chamber cleaning. The potential impact of these increases.32,33 Others showed peak etch rates at some inter-
molecules on global warming has become an increasing con- mediate concentrations.34,35
cern in recent years.1–5 Within the last decade, several agree- While these investigations unveiled insights into the NF3
ments were signed between major semiconductor manufac- discharge processes, most of the previous studies were con-
turers and U.S., Europe, and Asia governmental institutions ducted in small parallel-plate-type capacitively coupled reac-
calling for voluntary reduction or elimination of PFC tors, and used a test wafer/coupon located on one of the
emissions.6,7 As a result, several strategies to reduce PFC electrodes to determine etch rates. Only a few recent efforts
emissions during PECVD chamber cleaning have been pur- were made to optimize NF3 -based chamber cleaning in ac-
sued by the industry with varying degrees of success. These tual production PECVD reactors. Hines16 reported NF3 /He
approaches include: Optimizing C2 F6 -based in situ chamber cleaning on an Applied Materials 共Santa Clara, CA兲
cleaning,8 –12 replacing C2 F6 with an alternative cleaning P-5000 PECVD reactor, although no quantitative process or
gas,13–22 adopting NF3 -based remote plasma downstream emissions data were provided. Pruette et al.15 experimented
cleaning technology,23–26 and utilizing PFC recycling or with NF3 /He in situ chamber cleaning on a Novellus 共San
abatement processes in the reactor effluent line.1,27,28 Among Jose, CA兲 Concept One 200 PECVD reactor. The authors
the alternative cleaning gases, NF3 is an attractive candidate reported some promising results as well as challenges in sta-
because of its short atmospheric lifetime, high destruction bilizing NF3 plasmas.
efficiency, absence of fluorocarbon polymeric residues, and The objectives of this study were: 共1兲 To optimize
faster clean time. However, developing a fully optimized NF3 -based in situ chamber cleaning on a production PECVD
NF3 in situ plasma chamber clean for industrial production reactor using design of experiments 共DOE兲 methodology, 共2兲
PECVD reactors has proven to be challenging. NF3 plasmas to quantify chamber clean time and effluent emissions by
can become highly electronegative and become unstable or optical emissions spectroscopy 共OES兲 and Fourier transform
infrared 共FTIR兲 spectroscopy, 共3兲 to systematically investi-
a兲
Electronic mail: jib@airproducts.com gate the dependence of clean time and effluent emissions on

0021-8979/2004/95(8)/4452/11/$22.00 4452 © 2004 American Institute of Physics

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al. 4453

process. A Midac spectrometer with 1.0 cm path length cell


and KBr windows was utilized. Part of the plasma effluent
stream was extracted by a sample pump and pulled through
the FTIR sample cell for analysis. The 1/8 in. stainless-steel
sampling line leading from the pump exhaust pipeline to the
spectrometer cell was heat traced at 100 °C to prevent con-
densation of TEOS and other residues. AutoQuant 3.0® soft-
ware was used for data collection and off-line processing.
Effluents were continuously monitored during depositions
and cleans. The FTIR spectral window was from 650 to 4500
cm⫺1, resolution was 4 cm⫺1, gain was 1, triangle apodiza-
tion was utilized with Mertz phase correction, and linear
FIG. 1. Experimental setup. baseline correction was applied. An optical fiber was
mounted on a viewport on one side of the chamber. Plasma-
induced optical emission signals were collected into the fiber
various process parameters, and 共4兲 to compare discharge and transmitted to a charge coupled device array UV/visible
behaviors in a production reactor with those in smaller re- spectrometer 共Ocean Optics S2000 Donedin, Florida兲. The
search reactors. Knowledge obtained from this study not optical emission spectra were recorded approximately every
only offers a set of optimized stable NF3 chamber cleaning 0.5 s during chamber cleaning. Data were then analyzed off
plasma conditions, but also provides a general methodology line for endpoint determination.
and guidance for optimizing NF3 -based in situ chamber
cleaning on other production scale PECVD reactors.
III. RESULTS AND DISCUSSIONS
II. EXPERIMENTS A. Endpoint determination and effluent
All experiments were carried out in an Applied Materials emissions quantification
lamp heated DxL PECVD chamber on the P-5000 platform. Because of the relatively low bonding energy 共2.47
The DxL chamber was fitted with a 200 mm PECVD process eV兲,36 NF3 readily dissociates when colliding with high-
kit. Figure 1 shows the experimental setup. Before each energy electrons in the plasma
chamber cleaning experiment, a 1.0 ␮m thick SiO2 film was
first deposited onto a 200 mm silicon wafer, using the stan- NF3 ⫹e ⫺ →NF2 ⫹F⫹e ⫺ . 共1兲
dard Applied Materials plasma-enhanced tetraethyl NF3 can also release reactive fluorine atoms via dissociative
orthosilicate/O2 (TEOS/O2 ) deposition recipe 共also called ionization, such as
the best known method or the TEOS BKM兲. The
SiO2 -coated wafer was removed from the chemical vapor NF3 ⫹e ⫺ →NF⫹ ⫺
2 ⫹F⫹2e , 共2兲
deposition 共CVD兲 chamber after the deposition. Cleaning with a threshold energy 共i.e., appearance potential兲 of about
gases NF3 and helium were then introduced into the CVD 15 eV.37,38 The large amount of atomic fluorine generated
chamber via mass flow controllers 共Unit, Model 1661 and from reactions 共1兲 and 共2兲 is one of the most active species
1801兲. Once the process gas flows and chamber pressure for chamber cleaning. For example, F atoms can react with
were stabilized at the desired set points, rf power 共ENI deposition residues such as SiO2 via
Model OEM-12B-02兲 was turned on and matched to the re-
actor via a matching network to ignite and sustain the plasma SiO2 共 s 兲 ⫹4F→SiF4 共 g 兲 ⫹O2 共 g 兲 . 共3兲
for chamber cleaning. An Applied Materials standard A competing process is the recombination of atomic fluorine
C2 F6 /O2 clean 共also called the C2 F6 BKM兲 always followed radicals to form molecular fluorine,
the experimental NF3 clean to ensure that all SiO2 residues
2F⫹T→F2 共 g 兲 ⫹T* , 共4兲
had been removed from the interior surfaces of the chamber.
As part of the Applied Materials C2 F6 BKM, the interior where T is the third-body molecule to take away the excess
surfaces of the chamber were precoated 共or seasoned兲 with a energy as T*. In most cases, the production rate of atomic
thin layer of SiO2 film after the C2 F6 /O2 plasma clean. The fluorine through plasma dissociation of NF3 is relatively con-
seasoning step completed the sequence for one NF3 clean stant. As SiO2 is removed from the chamber, the rate of
DOE run. The sequence was then repeated for the next NF3 fluorine consumption through reaction 共3兲 decreases. This
clean DOE run. The PECVD reactor electrode gap spacing results in an increase of atomic fluorine density in the
was fixed at 25.4 mm in all cleaning runs. As a reference for plasma. The increase of fluorine atom density in the plasma
performance comparison, we also measured the Applied Ma- leads to the increase of atomic fluorine optical emission in-
terials standard C2 F6 cleaning process following 1 ␮m SiO2 tensity at 703.75 nm. The time when the residual SiO2 is
deposition. completely removed from the internal surfaces of the CVD
A nitrogen purge of about 50 standard liters per minute chamber is called the ‘‘endpoint.’’ The endpoint is marked by
was added to the pump exhaust 共Edwards pump, model a plateau in the atomic fluorine 共F兲 number density. As a
QDP80/QMB250兲. FTIR absorption spectroscopy was used result, the atomic fluorine optical emission intensity at
to analyze the gaseous emissions from the chamber clean 703.75 nm also reaches a plateau at the endpoint. This pro-

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
4454 J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al.

sion flattens out to a lower value at the end. In fact, the SiF4
emission does not fall to zero even after an extended period
of time 共e.g., over 30 min兲. As shown later in this section, at
the end of the overetch, SiO2 has been completely removed
from the internal surfaces of the chamber, thus the continued
SiF4 emission after overetch must come from other sources.
NF3 plasmas can generate significant amounts of reactive
species, such as F2 , NF2 , etc. Because these reactive species
have relatively long life times and can survive outside of the
plasma, these species can react with silicon-containing resi-
dues left over in reactor components downstream to the
deposition chamber. For example, it is known that residues
accumulate on the surfaces of the pressure controlling
throttle valve and along the internal surfaces of the pump-out
manifold, or even in the effluent exhaust pipeline. Long-
lived reactive species, such as F2 and/or NF2 , can pass
FIG. 2. Methods to determine chamber cleaning endpoint from atomic fluo- through the chamber and volatize downstream silicon-
rine optical emission spectra. The endpoint can be determined either from containing residues to emit SiF4 . Therefore, NF3 plasmas
changes in the time derivative dI F(704 nm) /dt 共the dotted line兲, or by the
intersection between the slopes of the OES intensities. not only remove residues from the internal surfaces of the
CVD chamber, but also remove residues from component
surfaces downstream to the CVD chamber. This can be par-
ticularly advantageous for certain CVD processes that may
vides a convenient means to determine the cleaning end- leave heavy residues downstream to the chamber. For ex-
point. Since there was no automatic optical endpoint detector ample, CVD processes for low dielectric constant organosili-
on our DxL chamber, we collected OES and effluent emis- cate glasses 共OSG兲 can leave large amount of residues on the
sions data over a fixed period of time. The endpoint was throttle valve and pump-out manifold that cannot be effec-
determined from off-line analysis of the OES data. tively cleaned by a C2 F6 -based process. After many cycles of
Figure 2 shows the two methods which can be used to OSG deposition and C2 F6 -based chamber cleaning pro-
determine the endpoint from the OES data. First, one can cesses, the accumulation of solid residues downstream to the
compute the numerical derivative dI F(704 nm) /dt of the OES deposition chamber was confirmed by visual inspection of
intensity at 703.75 nm. The following criteria are used to the internal components of the throttle valve. Switching to an
determine the endpoint: NF3 -based chamber cleaning process eliminated this prob-
共i兲 dI F(704 nm) /dt has passed over a peak, then lem.
共ii兲 dI F(704 nm) /dt has decreased to baseline noise level; Figure 3 shows continuous emissions monitoring by
and FTIR during a sequence of chamber cleaning experiments.
共iii兲 early occurrence of 共i.兲 and 共ii.兲 is ignored. The first and the last set of experiments in Fig. 3 are C2 F6
BKM clean. The species emitted from the C2 F6 BKM clean
Alternatively, one can draw a line to extend the slope where process are: C2 F6 , CF4 , CO2 , COF2 , and SiF4 . CO2 is also
the fluorine OES intensity is increasing, and a line to extend emitted during TEOS/O2 PECVD deposition process. The
the asymptotic slope where the fluorine OES intensity is ap- middle three sets of experiments are NF3 -based cleaning fol-
proaching the plateau. The intersection point between these lowed by a C2 F6 BKM. The species monitored during
two lines is the endpoint. As seen in Fig. 2, both methods NF3 -based cleaning are NF3 and SiF4 . While F2 is also emit-
generally give consistent determination of the endpoint. ted from NF3 -based cleaning processes, it cannot be moni-
Clean time is defined as the time interval between tored by FTIR. For C2 F6 BKM clean, the mass balance of
plasma ignition and the endpoint. The SiF4 effluent emis- both carbon and fluorine elements can be achieved within
sions profile from FTIR measurement is overlaid in Fig. 2. ⫾5% from volumetric integration of emissions measured by
We can see that at the OES endpoint, the SiF4 effluent con- the FTIR. This confirms that the FTIR analysis has captured
centration is still falling. To ensure complete removal of the all carbon and fluorine-containing species in the effluent.
deposition residue from the chamber, it is a common practice However, the fluorine balance for NF3 -based DOE cleaning
to extend the cleaning process by 20% of the clean time as processes ranges from 50% to 82% based on volumetric in-
an overetch. It can be seen from Fig. 2 that the SiF4 emission tegration of NF3 and SiF4 emissions in the FTIR measure-
in the effluent approaches a steady value at the end of the ments. The ‘‘missing’’ fluorine balance is due to the emission
20% overetch. of F2 and possibly other fluorine-containing byproducts that
The SiF4 emission profile in NF3 -based cleans is differ- cannot be detected by FTIR.
ent than that in C2 F6 -based cleans. In C2 F6 -based cleans, the The destruction efficiency 共DE%兲 of NF3 is determined
SiF4 emission generally falls to zero at the end of the 20% by
overetch period. On the other hand, the SiF4 emission does
not decrease to zero at the end of the overetch period for 关 NF3 兴 rf off⫺ 关 NF3 兴 rf on
DE%⫽ ⫻100%, 共5兲
NF3 -based cleans. Rather, as shown in Fig. 2, the SiF4 emis- 关 NF3 兴 rf off

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al. 4455

4V SiF4 共 emitted兲
FគUE%⫽ ⫻100%, 共8兲
6V C2 F6 共 fed兲

where V C2 F6 (fed) is the total volumetric input of C2 F6 that


was fed into the reactor over the same time period as for SiF4
emissions integration. Not all fluorine released from feed gas
destruction react with the deposition residues and emit as
SiF4 . Some of the fluorine input is converted to other
fluorine-containing byproducts such as F2 , CF4 , and COF2 ,
in addition to the unreacted PFC emissions. Therefore,
FគUE% is a better metric than the feed PFC gas DE% to
quantify the efficiency of clean gas utilization. For NF3 plas-
mas, our data show a linear correlation between FគUE% and
NF3 DE% given by

FគUE%⫽⫺88.72⫹1.70DE%, 共9兲

with the correlation coefficient R⫽0.92.


In addition to effluent quantification, we have the
following observations from the FTIR emissions profiles in
Fig. 3:
FIG. 3. Continuous emissions monitoring by FTIR during a sequence of
chamber cleaning experiments. The first and the last set of experiments are 共1兲 The maximum concentrations of SiF4 emitted from
C2 F6 BKM clean. The middle three sets of experiments are NF3 -based
cleaning followed by a C2 F6 BKM.
NF3 -based cleans are higher than that of the C2 F6 BKM.
This indicates that NF3 -based cleans remove deposition
residues faster than C2 F6 BKM.
共2兲 No SiF4 is emitted from the C2 F6 BKM that immediately
where 关 NF3 兴 rf off is the average NF3 concentration before the followed an NF3 -based clean. This confirms that the
rf power is turned on, and 关 NF3 兴 rf on is the average NF3 NF3 -based cleans have completely removed deposition
concentration after rf power is turned on. The same definition residues from the chamber.
can also be applied to C2 F6 -based cleans, where the appro-
priate C2 F6 concentrations replace the corresponding NF3
concentrations in Eq. 共5兲. Volumetric emissions of each ef-
B. Process optimization by design of experiments
fluent gas are computed by integrating the FTIR emission
methodology
profile over the clean time, as determined by the OES end-
point, and plus the 20% overetch period. Total global warm- Common process parameters in NF3 /He in situ chamber
ing emissions per clean were reported in terms of kilograms cleaning include rf power, chamber pressure, NF3 flow rate,
of carbon equivalents 共kgCE兲. The following equation is NF3 molar concentration, etc. For this study, the optimization
used to calculate kgCE: criteria were: Short clean time, low global warming emis-
sions 共kgCE兲, low consumption and high utilization of the
12 cleaning gas NF3 , and low reactor hardware damage due to
kgCE⫽ 兺i Q i 44 GWPi,100 , 共6兲 overheating and/or ion bombardment-induced hardware deg-
radation. As reported in earlier attempts, some of the objec-
where Q i is the amount of effluent in kilograms and tives often compete with one another. For example, condi-
GWPi,100 is the 100-year global warming potential of the gas. tions that offer the fastest clean time may 共and often do兲 lead
GWP100 for NF3 , CF4 , and C2 F6 are: 8000, 6500, and 9200, to higher global warming emissions, higher NF3 consump-
respectively. tion, and lower NF3 destruction efficiency. On the other
In addition to the destruction efficiency DE% of NF3 , hand, conditions that yield nearly zero-global warming emis-
we also define fluorine utilization efficiency 共FគUE%兲 as sions often have longer clean times.15,16 There is also a com-
peting optimization requirement for efficient simultaneous
4V SiF4 共 emitted兲 cleaning of the inner part of a CVD chamber 共namely, the
FគUE%⫽ ⫻100%, 共7兲 showerhead and the susceptor兲 and the outer part of the CVD
3V NF3 共 fed兲 chamber 共namely, the chamber walls and other remote areas
that are downstream to the chamber兲. Operating conditions
where V SiF4 (emitted) is the volumetric emission of SiF4 inte- 共such as higher pressure兲 that speed up the inner-chamber
grated over the entire cleaning process 共including the 20% cleaning may lead to slow or even incomplete cleaning of the
overetch period兲, and V NF3 (fed) is the total volumetric input of peripheral areas of the chamber. In addition to competing
NF3 that was fed into the reactor over the same time period optimization requirements, NF3 -based plasmas can become
as for SiF4 emissions integration. Similarly, we can also de- unstable or even collapse in the presence of large amounts of
fine FគUE% for a C2 F6 -based clean as highly electronegative species such as NF3 , F2 , F atoms, etc.

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
4456 J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al.

FIG. 4. Response surface of clean time vs NF3 flow rate and NF3 mol %.
The chamber pressure is fixed at 3 Torr.
FIG. 5. Response surface of global warming emissions kgCE vs NF3 flow
rate and NF3 mol %. The chamber pressure is fixed at 3 Torr.

Unstable and/or collapsed plasmas adversely affect all as-


共1兲 A higher NF3 mol % does not necessarily lead to shorter
pects of chamber cleaning performance, and may worsen re-
clean time.
actor hardware damage.
共2兲 A higher NF3 flow rate can reduce the clean time at low
To solve such a complex optimization problem, we
NF3 concentration. However, the global warming emis-
adopted a systematic and statistically validated DOE
sions kgCE increases dramatically with higher NF3 flow
approach.39 As reported in the companion paper,31 it took us
rate.
two rounds of exploratory DOEs before we identify a pro-
共3兲 Both clean time and global warming emissions kgCE
cess parameter space that ensures stable uniform discharges
exhibit a parabolic dependence on chamber pressure,
in all of the runs. In this study, we kept the same rf power
with minimum values around 3 Torr.
共950 W, or approximately 2.9 W/cm2 power density兲 as that
in the Applied Materials C2 F6 BKM. The other three impor- We can now examine the parametric functional depen-
tant process parameters are varied within the following dence in Figs. 4 through 7 to facilitate the chamber clean
ranges: 216 –384 standard cubic centimeter per minute optimization. From the illustrations above, it is apparent that
共sccm兲 NF3 flow, 10.49–15.52 mol % NF3 concentration, 13 mol % NF3 concentration and 3.0 Torr chamber pressure
and 1.32– 4.68 Torr chamber pressure. Identifying the viable at 950 W rf power offer the most preferred conditions to
parameter space allowed us to employ a fully rotatable 共␣ achieve both fast clean 共short clean time兲 and low global
⫽1.68兲 central composite response surface design. A fully warming emissions 共low kgCE兲. To optimize a NF3 flow
rotatable central composite design provides high statistical
validity and optimization predictability. The DESIGN-
EXPERT® software was utilized to facilitate the design and
analysis of the DOE.40
The DOE methodology allowed us to visualize func-
tional dependence of chamber cleaning performance indica-
tors on process parameters via response surface analysis, as
shown in Figs. 4 –7. The statistical validity of the response
surface models is supported by the excellent linearity in the
normal plots of residues. For the clean time model, the linear
least-squares fit between the normal % of probability and the
studentized residues has a correlation coefficient R⫽0.987
and a standard deviation ␴⫽0.16. The corresponding R and
␴ values for the kgCE model are 0.996 and 0.087, respec-
tively. To further verify the models, extra runs were per-
formed. The clean time and kgCE data from the extra runs
are compared with the response surface model predictions.
As shown in Table I, all seven extra runs are in excellent
agreement with the model predictions—well within the 95%
probability intervals. FIG. 6. Response surface of clean time vs NF3 flow rate and chamber
Here are some of the notable features in Figs. 4 –7: pressure. The NF3 concentration is fixed at 13 mol %.

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al. 4457

than that of the C2 F6 BKM. DOE run No. 5 was a notable


exception: It had significantly less SiF4 emission than the
C2 F6 BKM, possibly suggesting an incomplete clean. In fact,
DOE run No. 5 was an outlier during the initial DOE data
modeling and statistical analysis, and was subsequently ig-
nored in the final DOE data modeling.
Figure 9 shows the relative comparison between the
standard C2 F6 BKM clean and the balanced optimal dilute
NF3 clean. All values in Fig. 9 are normalized to the corre-
sponding values of the C2 F6 BKM. The optimized dilute NF3
clean reduces global warming emissions by 96% and short-
ens the clean time by 15%. Because of the much higher
FគUE%, the optimized dilute NF3 clean consumes 77% less
cleaning gas on a weight basis.
Minimizing hardware damage is another objective of the
optimization. In general, poorly optimized in situ plasmas
can accelerate reactor hardware degradation due to several
FIG. 7. Response surface of global warming emissions kgCE vs NF3 flow mechanisms: 共i兲 Reactor components, particularly the rf
rate and chamber pressure. The NF3 concentration is fixed at 13 mol %. powered showerhead, are subject to energetic ion bombard-
ment, which can cause sputtering and ion-enhanced damage;
共ii兲 most of the internal components of the PECVD reactor
rate, tradeoffs need to be made between competing depen- are made of aluminum alloys, which are not highly resistive
dences of clean time and kgCE. We find that an overall op- to damage or corrosion induced by the aggressive nature of
timized flow rate of 300 sccm NF3 flow offers the best bal- fluorine-based plasmas; and 共iii兲 most of the internal compo-
ance between clean time and kgCE emissions. However, nents of the PECVD reactor are kept at high temperatures
depending on optimization priorities, we can choose either a during both the deposition and the cleaning processes. For
slightly higher NF3 flow rate for a faster clean time, or a example, for this study, the susceptor within the Applied Ma-
slightly lower NF3 flow rate for a lower kgCE. Table II lists terials P-5000 D⫻L PECVD reactor was lamp heated to
some of these variations of the optimized recipes and results. maintain it at 400 °C. Elevated temperatures also hasten alloy
For comparative reference, an Applied Materials standard surface reactions that lead to hardware degradation.
共BKM兲 C2 F6 clean was also performed on the same CVD NF3 -based plasmas generate large amounts of highly reactive
chamber. Table III lists the C2 F6 BKM recipe and cleaning radicals and ions, such as F, NF2 , NF⫹ 2 , etc. Furthermore,
performance. The clean time and the kgCE values in Table these ions are typically more energetic within NF3 -based
III are averages of eight repeated measurements randomly plasmas. Consequently, hardware degradation in NF3 -based
interleaved between the NF3 optimization runs. in situ chamber cleaning is of a bigger concern than that in
SiF4 volumetric emission from each cleaning experiment C2 F6 -based cleans.
is also an important performance indicator. If a DOE experi- Li et al.41 studied aluminum alloy 6061 surface damage
mental run cleans the chamber completely, the SiF4 volumet- when samples were subjected to in situ NF3 /Helium plas-
ric emission should be equal to or higher than that of the mas. The authors monitored plasma-induced surface damage
C2 F6 BKM. Table II also lists the SiF4 volumetric emissions by real-time in situ spectroscopic ellipsometry, and by pos-
relative to the C2 F6 BKM. All optimized NF3 runs removed texposure x-ray photoelectron spectroscopy analysis. Under
equal or slightly higher amounts of SiF4 , confirming that reactive ion etch 共RIE兲 conditions, the authors find that
these runs cleaned the chamber completely. Relative SiF4 plasma-induced surface damage can be minimized when the
volumetric emissions from all the DOE and extra runs are NF3 concentration is below a threshold of 40 mol %.41 While
shown in Fig. 8. Most of the NF3 DOE and all of the extra the specific damage threshold concentration may depend on
NF3 runs emitted equal or slightly higher amounts of SiF4 individual reactor design and materials of construction, Li

TABLE I. DOE model validation extra runs.

Predicted Measured
NF3 Flow He Flow NF3 Pressure clean time ⫾1 ␴ clean time Predicted Measured
Recipe 共sccm兲 共sccm兲 mol % 共Torr兲 共s兲 共s兲 kgCE ⫾1 ␴ kgCE

Ex1 300 1769 14.5 3.00 79⫾10 85 0.60⫾0.12 0.54


Ex2 300 1843 14.0 3.00 76⫾10 80 0.58⫾0.12 0.59
Ex3 300 1843 14.0 2.75 74⫾10 74 0.56⫾0.12 0.47
Ex4 300 1769 14.5 2.75 77⫾10 73 0.57⫾0.12 0.47
Ex5 300 2008 13.0 2.50 70⫾10 76 0.53⫾0.11 0.53
Ex6 300 1843 14.0 2.00 74⫾11 80 0.51⫾0.13 0.55
Ex7 250 1673 13.0 3.00 75⫾11 71 0.31⫾0.12 0.29

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
4458 J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al.

TABLE II. Optimized dilute NF3 clean recipes and results.

Clean time Clean gas usage SiF4 emission


NF3 He ⫾1 ␴ 共s兲 kgCE ⫾1␴ 共g兲 relative to
Flow Flow 关Relative change 关Relative change 关Relative change NF3 DE% FគUE% C2 F6 BKM
Recipe 共sccm兲 共sccm兲 to C2 F6 BKM兴 to C2 F6 BKM兴 to C2 F6 BKM兴 ⫾1 ␴ ⫾1 ␴ ⫾1 ␴

Balanced 300 2008 71⫾2 0.54⫾0.03 1.13 85.91 59.83 1.06


关⫺15%兴 关⫺96%兴 关⫺77%兴 ⫾1.15 ⫾2.51 ⫾0.05
Faster 384 2570 70 0.91 1.41 78.64 44.12 1.00
关⫺15%兴 关⫺93%兴 关⫺72%兴
Lower 250 1673 71 0.29 0.95 93.94 67.63 1.01
Emission 关⫺14%兴 关⫺98%兴 关⫺81%兴
Lowest 216 1445 74 0.12 0.82 99.24 76.29 1.01
Emission 关⫺11%兴 关⫺99%兴 关⫺84%兴

et al.41 observed that surface damage decreases significantly causing productivity and yield losses. Moreover, the over-
as NF3 concentration is lowered. heating of the interior surfaces of the reactor accelerates
Hsueh et al.38 characterized the relative abundance and component degradation. Therefore, the effective cooling
ion energy distribution functions 共IEDFs兲 of major positive from high helium flow not only improves productivity and
ions at the grounded electrode in 25 mol % NF3 /Ar, 25 yield, but also alleviates hardware degradation.
mol % NF3 /He, 25 mol % NF3 /O2 , and 50 mol % C2 F6 /O2
plasmas. For 25 mol % NF3 /He plasmas, the authors observe
that as the pressure increases, the IEDFs change from bimo- C. Chamber clean time and fluorine atom density
dal to unimodal distribution, and both maximum ion energy
and the average ion energy decrease. The authors attribute Spectral features in optical emissions spectra of NF3 /He
changes in IEDFs to the dynamics of ion transportation plasmas have been assigned in a previous publication.38 In
across the sheath. They also observed that a ‘‘soft’’ IEDF for addition to endpoint detection, OES data also provide a way
the most abundant ion NF⫹ 2 in 25 mol % NF3 /He plasmas is
to estimate atomic fluorine density by actinometry.42 We use
obtained when chamber pressure is ⭓0.75 Torr. Again, the the following equation to estimate the relative atomic fluo-
specific threshold values may change with different reactors. rine density in helium diluted plasmas
But the general trend offers a practical guideline for produc- ñ F⬀ñ HeI F共 704兲 /I He共 688兲 , 共10兲
tion reactor process optimization: Lower NF3 concentration
and higher chamber pressure can reduce plasma-induced where I F(704) is the OES intensity of atomic fluorine transi-

hardware degradation. Since 13 mol % NF3 concentration is tion 2s 2 2 p 4 ( 3 P)3p 2 P3/2 →2s 2 2 p 4 ( 3 P)3s 2 P3/2 at 703.75 nm,
less than the 40% threshold in Li et al.’s study,41 and a cham- and I He(668) is the OES intensity of atomic helium transition
ber pressure of 3 Torr is significantly higher than 0.75 Torr in 1s3d 1 D2 →1s2p 1 Pⴰ1 at 667.82 nm. ñ He in Eq. 共10兲 is the
Hsueh et al.’s38 study, one can infer that the optimized reci- relative atomic helium density estimated by
pes should be within the ‘‘moderate’’ ranges to alleviate f HeP
plasma-induced hardware damage. Of course, a direct exami- ñ He⬀ ,
f He⫹ f NF3 共 1⫺DE%/100兲 ⫹ f NF3 共 DE%/100兲 * 3.5
nation or quantification of hardware damage should come
共11兲
from a marathon test of thousands of wafers. Such a mara-
thon test was beyond the scope of this work. where P is the reactor pressure 共Torr兲, f He and f NF3 are feed
Helium is one of the most efficient coolant gases for gas flow rates of helium and NF3 , respectively, and DE% is
carrying away excessive heat from hot surfaces. The high NF3 destruction efficiency. Equation 共11兲 assumes complete
flow rate of helium diluent and the relatively higher pres- NF3 dissociation as
sures 共2.0–3.5 Torr兲 within the optimized recipes offer an-
NF3 →0.5N2 ⫹3F, 共12兲
other distinct advantage: They prevents the susceptor and
showerhead surfaces from overheating. Fluorocarbon and O2 which yields a ‘‘flow expansion coefficient’’ of 3.5. Although
are not effective coolant gases. As a result, the susceptor and Eq. 共11兲 is a gross simplification of actual complex reactions,
showerhead can become overheated, particularly at higher rf we have found changing the flow expansion coefficient from
powers 共such as 1000 W兲. On a production platform, suscep- 3.5 to some other values does not change the key conclu-
tor overtemperature triggers automatic process shutdown, sions.

TABLE III. Standard C2 F6 BKM recipe and results.

C2 F6 Flow O2 Flow Pressure Power Clean Time Clean gas C2 F6 DE% FគUE%
共sccm兲 共sccm兲 共Torr兲 共W兲 ⫾1 ␴ 共s兲 KgCE ⫾1␴ usage 共g兲 ⫾1 ␴ ⫾1␴

600 600 4 950 83⫾3 12.72⫾0.45 5.0 35.84⫾1.35 8.61⫾0.40

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al. 4459

FIG. 8. SiF4 volumetric emission relative to the C2 F6 BKM for the DOE FIG. 10. Correlation between relative fluorine atom density ñ F and
and extra runs. A value of 1.0 or higher, i.e., a marker above the dashed line, * DE), where p NF is the NF3 partial pressure before plasma ignition,
(p NF3 3
indicates that the experimental run removed equal or larger amount of SiF4 and DE is the NF3 destruction efficiency 共DE%/100兲.
than the C2 F6 BKM.

where the reactor volume V r ⫽4500 cm3 , and gas tempera-


It is interesting to examine the dependence of relative
ture T r ⬇473 K; P r is the reactor pressure; and P s and T s are
atomic fluorine density on various process parameters. Fig-
standard pressure and temperature, respectively. The total
ure 10 shows the correlation between relative fluorine atom
gas flow f t is estimated by
* DE), where p NF is the NF3 partial pres-
density ñ F and (p NF
3 3
sure before plasma ignition, and DE⫽DE%/100, where DE% f t ⫽ f He⫹ f NF3 共 1⫺DE%/100兲 ⫹3.5f NF3 共 DE%/100兲 ,
is NF3 destruction efficiency as defined by Eq. 共5兲. A linear 共15兲
least-squares fit of the data gives
where DE% is the NF3 destruction efficiency 共%兲 and f NF3 is
ñ F⫽ 共 6.8⫾0.1兲共 p NF
* DE兲 , 共13兲 the NF3 feed gas flow rate. Again, the effect of NF3 disso-
3
ciation in Eq. 共15兲 is simplified by reaction 共12兲. A linear
* DE is the
with a correlation coefficient R of 0.92. Since p NF
3 least-squares fit gives
portion of feed gas NF3 that undergo dissociation reactions,
it is the source of fluorine atoms in the plasma. The linear ñ F⫽ 共 10.69⫾0.17兲 t r , 共16兲
correlation of Eq. 共13兲 is consistent with the intuition, and
with a correlation coefficient R of 0.95. As discussed in Sec.
validates the actinometry Eq. 共10兲.
III A, steady-state fluorine atom density within a plasma in-
Figure 11 shows the correlation between relative fluorine
side a completely cleaned chamber is determined by two
atom density ñ F and gas residence time inside the reactor.
competing processes: F atom production via various disso-
The gas residence time inside the reactor t r 共seconds兲 is es-
ciative collisions such as reactions 共1兲 and 共2兲, and F atom
timated by
loss due to recombination 关e.g., forming F2 via third-body
60V r P r T s collision in reaction 共4兲兴. According to Fig. 11 and Eq. 共16兲,
t r⫽ , 共14兲 increasing the gas residence time t r appears to enhance the
P s f tT r
fluorine atom production mechanisms more than the loss

FIG. 9. Relative performance comparison between the optimized NF3 recipe FIG. 11. Correlation between relative fluorine atom density ñ F and gas
and the C2 F6 BKM. residence time.

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
4460 J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al.

TABLE IV. Estimated SiO2 chemical etch rate ERchem by fluorine atoms.

Surface temperature T s (°C) ERchem 共nm/min)

65 50
100 89
200 293
400 1146

parts of the chamber internal surfaces are held at vastly dif-


ferent temperatures. For example, the chamber wall surface
is maintained at 65 °C by a recirculating temperature control-
ler; the susceptor is maintained at 400 °C by lamps; and the
showerhead temperature is in between these two extremes.
FIG. 12. Correlation between clean time and relative fluorine atom density We can estimate the upper limit of the fluorine atom density
ñ F . Open circles are data from the third round of DOE, except the three n F by the following simplifications and assumptions:
high pressure runs that are denoted by triangles. Solid line is linear least-
squares fit of the selected 共open circle兲 data points. 共1兲 Neutral gas temperature T g is 200 °C.
共2兲 Chamber pressure is 3 Torr.
共3兲 NF3 concentration in the feed gas is 13 mol %.
pathways. This suggests that F atom production is the rate 共4兲 All of the fluorine atoms in the plasma react with SiO2 to
limiting process that determines the fluorine atom density in form SiF4 , and this is the only pathway for SiF4 forma-
the plasmas. tion.
Under most RIE conditions, etch rates of SiO2 and Si3 N4
in CF4 /O2 , NF3 /Ar, and NF3 /He plasmas often increase Although assumption 共4兲 is a gross simplification of the
linearly with increasing fluorine atom density in the complex plasma–surface reactions, at least it allows basic
plasma.11–13,35,38 Intuitively, a higher SiO2 etch rate would mass balance and is supported by the fact that spontaneous
lead to a shorter chamber clean time. Hence, higher fluorine thermal etching of SiO2 by NF3 and F2 molecules is much
atom density ñ F should be correlated with a shorter clean slower than etching by atomic fluorine. Taking a typical
time. Figure 12 examines the relation between relative fluo- FគUE% of 50%, the fluorine atom density n F is estimated to
rine atom density ñ F and clean time. For most of the runs 共as be 1⫻1016 cm⫺3 . Table IV gives the estimated SiO2 ERchem
denoted by the open circles in Fig. 12兲, the overall trend is a from Eq. 共18兲 at various surface temperatures. We can infer
shorter clean time at higher ñ F , consistent with the intuition. from Table IV that spontaneous thermal etching only makes
However, the correlation between clean time and ñ F is sta- a minor contribution to the total ER on chamber walls at
tistically rather weak. There are three notable exceptions, as 65 °C. On the other hand, ERchem can be a significant com-
denoted by the solid triangle points in Fig. 12. We will dis- ponent of the total etch rate at the susceptor at 400 °C. This is
cuss these exceptions later. These exception points, and the consistent with the observation of other investigators that
weak overall correlation between ñ F and clean time reveal spontaneous thermal etching of SiO2 by fluorine atoms is not
the dynamical differences between RIE in small parallel- as important as ion-enhanced etching at temperatures below
plate reactors and chamber cleaning in production PECVD 100 °C.13,32,45,46
reactors. Using an apparatus with beams of fluorine atoms 共F兲 and
A nonlinear correlation between fluorine atom density n F argon ions (Ar⫹ ), Gary et al.46 determined the ion-enhanced
and SiO2 etch rate was observed by Donnelly et al.32 in SiO2 ER as
CF4 /O2 and NF3 /Ar plasma etching of SiO2 . The authors ERion⫽ ␤ I ␪ F , 共19兲
attributed the nonlinearity to ion-enhanced etch mechanism.
where I is the ion flux; ␪ F is the local fraction of fluorinated
In general, the SiO2 total etch rate 共ER兲 can be modeled by a
surface sites, and can be approximately taken as 1 because
combination of spontaneous chemical etch rate ERchem and
the neutral fluorine to ion flux ratio (F/Ar⫹ ) is always over
ion-enhanced etch rate ERion13
three orders of magnitude higher than ion flux in typical
ER⫽ERchem⫹ERion. 共17兲 capacitively coupled plasmas; and ␤ is the ion-enhanced
The chemical etch rate ERchem in the absence of ion bom- etching yield given by
bardment or competing reactions was determined by Flamm ␤ ⫽b 共 E 1/2
i ⫺E th 兲 ,
1/2
共20兲
et al.43,44 and Donnelly et al.32 as
where b is an energy dependent yield parameter, E i is the ion
ERchem 共nm/min)⫽6.14⫻10⫺14n FT s1/2 energy, and E th is the surface process energy threshold and is
determined to be 4 eV.46 Ion flux and average ion energy may
⫻exp共 ⫺E a /k B T s 兲 , 共18兲
be estimated if the plasma density, electron temperature, and
where n F is the fluorine atom density, T s is the substrate plasma sheath potential are known. Unfortunately, the com-
surface temperature, and the activation energy E a was deter- pact rf matching and delivery circuits on the commercial
mined to be 0.163 eV. During chamber cleaning, various Applied Materials P-5000 DxL reactor precluded convenient

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al. 4461

access for rf electrical measurement. In a small laboratory tained with a higher NF3 flow rate, medium pressure 共3 Torr
scale parallel-plate reactor, Hsueh et al.38 measured both ion or less兲, and dilute NF3 mol % 共around 13 mol %兲. On the
flux and IEDFs by energy resolved electrostatic quadruple other hand, lower global warming emissions 共kgCE兲 can be
mass spectrometer. The authors observe that both increasing achieved with a lower NF3 flow rate, medium pressure, and
the pressure and increasing the plasma electronegativity dilute NF3 mol %. For our reactor system, optimal process
leads to lower incident ion energies, which in turn leads to a parameters include 13 mol % NF3 concentration and 3 Torr
lower ERion. Gray et al.46 observe that at a flux ratio F/Ar⫹ pressure. However, the NF3 flow rate often has opposite ef-
of 300 or higher, the SiO2 etch rate nearly reaches a plateau fects on clean time and global warming emissions. Similar to
as F/Ar⫹ further increases. This implies that a further in- PFC-based chamber cleaning, optimization of dilute NF3 in
creasing of the atomic fluorine flux can no longer increase situ PECVD chamber cleaning is a balancing act with regard
the ER. The observations of Donnelly et al.32 and Gray to the NF3 flow rate. Several optimized dilute NF3 in situ
et al.46 provide some insight for us to understand the weak clean processes are reported here. The results demonstrate
linear correlation between relative atomic fluorine density ñ F that dilute NF3 -based in situ chamber cleaning can be a vi-
and clean time in our data. able alternative to PFC-based in situ cleans with significant
The lack of a strong linear correlation between ñ F and benefits.
clean time in Fig. 12 may suggest that the atomic fluorine An analysis of atomic fluorine density by actinometry
density is not the limiting factor for clean time. In addition to shows there are sufficient fluorine atoms in stable and intense
fluorine atom density, clean time is also affected by other NF3 plasmas so that fluorine density is not the limiting factor
important factors, such as the diffusion of reactive species for clean time. In addition to fluorine atom density, chamber
from the center of the plasma to chamber walls, recombina- clean time is also affected by other important plasma prop-
tion of reactive species, reactor gas residence time, etc. In erties, such as the flux and energy distributions of reactive
addition, the flux and energy distribution of reactive ions, ions, and diffusion and recombination of reactive species be-
such as NF⫹ ⫹ ⫹
2 , NF , F , etc., also play an important role. fore they reach the chamber walls to react with deposition
With a lower mass, sheath accelerated He⫹ is not as effective residues. While specific process recipes may depend on in-
as Ar⫹ to activate SiO2 surfaces to enhance etching reac- dividual reactor design and deposition processes, we believe
tions. Thus, high fluxes of atomic and ionic fluorine- the methodology and results reported here can provide some
containing species are essential for maintaining high ERs in practical guidance for further optimization and marathon
helium diluted NF3 plasmas. The three exception data points tests on dilute NF3 -based in situ PECVD chamber cleaning
in Fig. 12 are from runs with higher chamber pressures 共4 or in a production environment.
4.68 Torr兲. At high chamber pressures, plasmas become more
confined within the two electrodes. Although the atomic ACKNOWLEDGMENTS
fluorine emissions at 703.75 nm may be intense inside the
discharge, both neutral fluorine atoms and ions suffer more The authors acknowledge the technical assistance from
recombination collisions as they diffuse out to the chamber Mark Bitner and helpful discussions with Dr. Delwin Elder,
walls, resulting in lower fluxes incident upon the wall sur- Dr. Andrew Johnson, Dr. Ray Virtis, Dr. Robert Ridgeway,
faces of the chamber. In addition, ion energies become lower Dr. Peter Maroulis, Dr. Xiaoye He, Dr. Pingping Ma, Dr.
at higher pressures. This further reduces the SiO2 ER. As a Gabriel Font, and Dr. Lowel Morgan. They also thank Air
result, longer clean times are observed in these runs. Products and Chemicals, Inc. for permission to publish this
The complex dependency of clean time on fluorine den- work.
sity, residence time, and reactive ion production rates, are
manifested by the complex response surfaces of clean time 1
J. Van Gompel, Semicond. Int. 23, 321 共2000兲.
2
versus various process parameters, as shown in Figs. 4 –7 P. Maroulis, J. Lagan, A. Johnson, R. Ridgeway, and H. Withers, Semi-
earlier. For quantitative modeling of chamber clean time in a cond. Int. 17, 107 共1994兲.
3
J. Langan, P. Maroulis, and R. Ridgeway, Solid State Technol. 39, 115
production PECVD chamber, we need to dissect the chamber 共1996兲.
into several characteristic zones to account for vast differ- 4
A. R. Ravishankarak, S. Solomon, A. A. Turnipseed, and R. F. Warren,
ences in SiO2 residue film thickness, surface temperature, Science 259, 194 共1993兲.
5
J. Gribbin, New Sci. 28, 34 共1988兲.
neutral and ion fluxes, and ion energies at different parts of 6
S. Rand, Proceedings of the SEMICON Southwest 2000 Conference, Aus-
the chamber. Validation of the model requires detailed ex- tin, Texas, October 共2000兲.
perimental data in each of the characteristic zones. Obvi- 7
International Technology Roadm̄ap for Semiconductors, 1999 ed., p. 248.
8
ously, this is a highly challenging task. A number of investi- W. R. Entley, W. J. Hennessy, and J. G. Lagan, Electrochem. Solid-State
Lett. 3, 99 共2000兲.
gators have made some efforts toward achieving such an 9
A. D. Johnson, W. R. Entley, R. N. Vrtis, J. G. Langan, and P. J. Maroulis,
aggressive goal.47,48 Proceedings of the Semicon West 2000 Conference, San Francisco, CA
共2000兲.
10
A. D. Johnson, W. R. Entley, and P. J. Maroulis, Solid State Technol. 43,
IV. CONCLUSIONS 103 共2000兲.
11
B. Ji, L. Zhu, R. J. Ciotti, and E. J. Karwacki, Proceedings of the SEMI-
The performance of NF3 -based in situ PECVD chamber CON Southwest 2000 Conference, Austin, Texas, October 2000.
12
B. Ji, L. Zhu, and E. J. Karwacki, Proceedings of the SEMI Technical
cleaning has complex dependencies on several process pa- Symposium, Semicon China 2001 Conference, Beijing, China, April
rameters, as revealed by the response surfaces from the DOE 共2001兲.
13
reported here. In general, a shorter clean time can be ob- H. Chae, H. H. Sawin, and M. T. Mocella, Proceedings of the 45th Inter-

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions
4462 J. Appl. Phys., Vol. 95, No. 8, 15 April 2004 Ji et al.

30
national American Vacuum Society Symposium, Baltimore, MD, Novem- W. R. Entley, J. G. Langan, B. S. Felker, and M. A. Sobolewski, J. Appl.
ber 1998, Paper PS2-TuM7. Phys. 86, 4825 共1999兲.
14
K. Aitchison, Proceedings of the Semicon West 1999 Conference 共1999兲. 31
B. Ji, D. L. Elder, J. H. Yang, P. R. Badowski, and E. J. Karwacki, J. Appl.
15
L. Pruette, S. Karecki, R. Reif, W. Entley, J. Langan, V. Hazari, and C. Phys. 95, 4446 共2004兲, preceding paper.
Hines, Electrochem. Solid-State Lett. 2, 592 共1999兲. 32
V. M. Donnelly, D. L. Flamm, W. C. Dautremont-Smith, and D. J. Werder,
16
C. M. Hines, IBM MicroNews 6, 36 共2000兲. J. Appl. Phys. 55, 242 共1984兲.
17
L. Zazzera, W. Reagen, and A. Cheng, J. Electrochem. Soc. 144, 3597 33
S. M. Tan, H. C. Goh, H. A. Naseem, and W. D. Brown, Proceedings of
共1997兲. the Second International Conference on Electronic Materials 共Materials
18
L. Pruette, S. Karecki, R. Reif, L. Tousignant, W. Reagan, S. Kesari, and Research Society, Pittsburgh, 1990兲, pp. 439– 444.
L. Zazzera, J. Electrochem. Soc. 147, 1149 共2000兲. 34
J. G. Langan, S. W. Rynders, B. S. Felker, and S. E. Beck, J. Vac. Sci.
19
C. C. Allgood, S. Hsu, B. Birmingham, and J. Soucy, Proceedings of the Technol. A 16, 2108 共1998兲.
Semicon Southwest 2000 Conference, Austin, Texas, October 共2000兲. 35
G. Bruno, P. Capezzuto, G. Cicala, and P. Manodoro, J. Vac. Sci. Technol.
20
Y.-M. Chang, C.-H. Ge, W.-S. Chang, and W.-H. Liao, Proceedings of the A 12, 690 共1994兲.
SEMI Technical Symposium, Semicon West 2002 Conference, San Fran- 36
A. A. Radzig and B. M. Smirnov, Reference Data on Atoms, Molecules,
cisco, CA, July 共2002兲.
21 and Ions 共Springer, Berlin, 1985兲.
A. Evans, L. Nevala, and L. Tousignant, Proceedings of the SEMI Tech- 37
V. Tarnovsky, A. Levin, K. Becker, R. Basner, and M. Schmidt, Int. J.
nical Symposium, Semicon West 2002 Conference, San Francisco, CA,
Mass Spectrom. Ion Processes 133, 175 共1994兲.
July 共2002兲. 38
22 H.-P. Hsueh, R. T. McGrath, B. Ji, B. S. Felker, J. G. Langan, and E. J.
D. Harman, J. Flood, E. Frendberg, and A. Qiu, Proceedings of the SEMI
Karwacki, J. Vac. Sci. Technol. B 19, 1346 共2001兲.
Technical Symposium, Semicon West 2002 Conference, San Francisco,
CA, July 共2002兲.
39
D. C. Montgomery, Design and Analysis of Experiments, 4th ed. 共Wiley,
23
B. E. E. Kastenmeier, P. J. Matsuo, G. S. Oehrlein, and J. G. Langan, J. New York, 1997兲.
40
Vac. Sci. Technol. A 16, 2047 共1998兲. Design-Expert 6, Stat-Ease Inc., Suite 191, 2021 East Hennepin Ave.,
24
S. Raous, T. Tanaka, M. Bhan, H. Ponnekanti, M. Seamons, T. Deacon, Minneapolis, MN 55413.
41
L.-Q. Xia, F. Pham, D. Silvetti, D. Cheung, and K. Fairbairn, J. Vac. Sci. X. Li, X. Hua, L. Ning, G. S. Oehrlein, E. Karwacki, and B. Ji, J. Vac. Sci.
Technol. B 17, 477 共1999兲. Technol. A 22, 158 共2004兲.
25
L. Mendicino, P. T. Brown, S. Filipiak, D. Loop, R. Basnett, W. Holber, R.
42
J. W. Coburn and M. Chen, J. Appl. Phys. 51, 3134 共1980兲.
43
Pearce, and A. Johnson, Electrochem. Soc. Proc. 99, 40 共1999兲. D. L. Flamm, V. M. Donnelly, and J. A. Mucha, J. Appl. Phys. 52, 3633
26
L. Mendicino, P. T. Brown, S. Filipiak, L. Beu, A. D. Johnson, R. V. 共1981兲.
44
Pearce, P. J. Maroulis, R. Basnett, and W. Holber, International Sematech D. L. Flamm, V. M. Donnelly, and D. E. Ibbotson, J. Vac. Sci. Technol. B
Technology Transfer, No. 99033697A-TR. 1, 23 共1983兲.
27
W. Carson, K. Christian, E. Crossland, T. Hsiung, R. Ridgeway, and J.
45
J. W. Coburn and H. F. Winters, J. Appl. Phys. 50, 3189 共1979兲.
46
Yang, Proceedings of the Semicon Southwest 1997 Conference, Austin, D. C. Gray, I. Tempermeister, and H. H. Sawin, J. Vac. Sci. Technol. B 11,
Texas, October 共1997兲. 1243 共1993兲.
28
J. Van Gompel and T. Walling, Semicond. Int. 20, 95 共1997兲. 47
W. L. Morgan and G. Font 共private communication兲; For more informa-
29
M. A. Sobolewski, J. G. Langan, and B. S. Felker, J. Vac. Sci. Technol. B tion, see www.kinema.com
16, 173 共1998兲. 48
X. He and P. Ma 共unpublished兲.

Downloaded 03 Aug 2013 to 131.91.169.193. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

You might also like