Download as pdf or txt
Download as pdf or txt
You are on page 1of 11

Microelectronics Journal 73 (2018) 75–85

Contents lists available at ScienceDirect

Microelectronics Journal
journal homepage: w w w . e l s e v i e r . c o m / l o c a t e / m e j o

Design and analysis of 2T2M hybrid CMOS-Memristor based RRAM


Noha Shaarawy a , Ahmed Emara b , Ahmed M. El-Naggar a , Mohammed E. Elbtity a ,
Maged Ghoneima c , Ahmed G. Radwan d,a,*
a Nanoelectronics Integrated Systems Center (NISC), Nile University, Egypt
b
Mentor Graphics, Egypt
c
Mechatronics Engineering Department, Ain-Shams University, Egypt
d Engineering Mathematics and Physics Department, Cairo University, Egypt

A R T I C L E I N F O A B S T R A C T

Keywords: In this paper, a Static Noise Margin (SNM) analysis for 2T2M RRAM cell is investigated. The proposed analysis
1T2M is done using mathematical formulation and verified by SPICE simulations. The analysis is tested for both, write
2T2M
and read modes. Moreover, the analysis is applied to diverse types of RRAM cells, and a comparison between
Memristor
the performance of such cells is discussed. Additionally, the effect of the exponential memristor model on the
RAM
RRAM
memristor behaviour in terms of switching speed and the range of the memristor resistance are discussed in
SNM detail. The circuits design and simulations were carried out using TSMC 130 nm CMOS technology and Cadence
Virtuoso tool. Finally, comparison between different RAM technologies is briefly presented.

1. Introduction charge at nanoscale, these memories are facing challenges to be scaled


down to beyond 10 nm. Therefore, currently new memory architec-
Random Access Memory plays an essential role in today’s comput- tures based on other technologies that could go beyond CMOS limits
ing systems. The performance and the functionality of any computer are under research and development. Memristor-based memory tech-
system are greatly affected by the memory subsystem [1]. According to nology, referred to as Resistive Random Access Memory (RRAM), is
the International Technology Roadmap for Semiconductors (ITRS) [2], one of the new memory technologies that is expected to replace these
CMOS-based memories occupy 90% of the SoC area. conventional silicon-based memories [13,14]. The nonvolatile charac-
Power consumption, capacity, noise margin, long data retention teristics of the RRAM cells make it an attractive replacement for systems
time and read/write speed are the main characteristics of the memory. that require low power and high performance such as, instant-on com-
It is always required to have a low power consumption, high capacity, puters. Taking the advantage of memristor in keeping its state, the RAM
high read/write speed and long write/read cycling endurance memory. will boot-up instantly without the need to wait for loading the data from
Unfortunately, it is almost impossible to obtain all of these ideal char- the hard drive [15]. Existing research on memristor technology mainly
acteristics in the same memory technology and this is the cause of the focuses improving its reliability, fabrication processes and integration
memory wall (bottleneck) issues [3,4]. Thus, there are a lot of technolo- with CMOS based design [16].
gies that have been proposed to get one or more of these ideal charac- In 1971, Leon Chua introduced the memristor as the fourth circuit
teristics such as Static Random Access (SRAM) [5], Dynamic Random element besides the other three fundamental elements which are; the
Access Memory (DRAM) [6], FLASH (NOR or NAND) [7], Spin-Transfer resistor (R), inductor (L) and capacitor (C) [17,18]. HP labs success-
Torque Magentoresistive Random Access Memory (STT-MRAM) [8], fully manufactured the first physical device in 2008 [19] based on bipo-
Phase Change Random Access Memory (PCRAM) [9], Ferroelectric Ran- lar TiO2 thin film technology. Accordingly, the usage of the memristor
dom Access Memory (FeRAM) [10], Magnetic Random Access Memory technology started to be explored for different applications. In Ref. [20],
(MRAM) [11], and Resistive Random Access Memory (RRAM) [12]. a memristive device that is controlled through a threshold voltage is
SRAM, DRAM and Flash (also called Silicon-based) memories are introduced and implemented on SPICE. This device is following the tun-
working based on charge storing mechanism. Due to ease of losing the neling distance modulation during switching. In addition, the concept

* Corresponding author. Engineering Mathematics and Physics Department, Cairo University, Egypt.
E-mail addresses: noha.a.shaarawy@gmail.com (N. Shaarawy), ahmed.emara.01@gmail.com (A. Emara), a.elnaggar@nu.edu.eg (A.M. El-Naggar), elbtity@azhar.edu.eg
(M.E. Elbtity), m_ghoneima@ieee.org (M. Ghoneima), agradwan@nu.edu.eg (A.G. Radwan).

https://doi.org/10.1016/j.mejo.2018.01.001
Received 17 January 2017; Received in revised form 29 December 2017; Accepted 4 January 2018
Available online 3 February 2018
0026-2692/© 2018 Elsevier Ltd. All rights reserved.
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

of the memcapacitor-based relaxation oscillators is established in Ref. The resistive switching characteristics can be divided into two types:
[21] through using two series memcapacitors which is viable for both unipolar switching and bipolar switching. If the device can be inter-
capacitor and memcapacitor. Moreover, a family of Memristor-based changed between high-resistance and low-resistance states with one
Reactance-Less Oscillators (MRLOs) is proposed in Ref. [22]. These polarity of the applied voltage, then the device is called unipolar switch-
MRLOs do not need any reactive components (i.e capacitors or induc- ing device. Whereas, if the device has to be switched from a high-
tors) as the oscillation is generated via the memristor device through resistance state to a low-resistance state and vice verse with differ-
exploiting its resistance storage property. More applications are valid ent polarities of the applied voltage, then the device is called bipo-
such as neuromorphic systems [23], oscillatory systems [24–26], binary lar switching device. Regardless of the switching type (i.e, unipolar,
adders [27–29] and memories [30,31]. A fabricated RRAM based on bipolar), the switching operation from high-resistance state to low-
CMOS process was presented in Ref. [32]. resistance state is named as SET process and the opposite process is
Since Chua introduced the memristor, many models were intro- named as RESET process.
duced to emulate this new device. The main advantage of the memristor In bipolar switching, the switching direction depends on the polar-
is that it ables to keep its most recent state for a long time even when ity of the applied voltage. Accordingly, the device switches from high-
the power supply is disconnected [18]. As a result, the RRAM cells are Resistance state to low-resistance state by applying a voltage with a
expected to consume lower power than the conventional 6T SRAM cell polarity of VSET . After switching, the device resistance becomes low
during sleep mode. On the other hand, stability is considered one of the and the ON-state can retain its state even if the applied voltage is dis-
primary restrictions in nano-scale memory design [33]. So, it has been connected. On the other hand, the device can be switched off by apply-
a major focus of recent research. In order to analyze a non-standard bit ing VRESET with opposite polarity of VSET (i.e, The polarity of VRESET is
cell, such as the RRAM cells, many of the conventional metrics cannot opposite to that of VSET ).
be directly applied and need to be redefined. In contrast, the SET process in unipolar switching devices only
In this paper, a novel SNM of RRAM cells is presented. Although, the depends on the magnitude of the applied voltage and does not depend
dynamic properties of memristor on the storage cell has a great impact on its polarity. As a result, the thermal effects are commonly involved
on the cell stability, the study of the RRAM SNM was not discussed during the unipolar switching process [34]. A current compliance has
previously in published works based on memristive RRAM. This paper to be applied in unipolar devices during the SET process in order to
presents a definition and analytical method for calculating RRAM SNM. limit the current of the ON-state and the thermal generation. However,
The introduced analytical method is applied to the 2T2M memristor this current compliance is removed during the RESET process and the
based storage cell architecture. In order to verify the proposed analy- devices are switched to high-resistance state by having a large current.
sis, it is compared with the simulation results. Moreover, the proposed In most researched unipolar cases, the set voltage Vth2 (VSET ) is larger
Stability analysis is applied to different RRAM cells to compare their than the reset voltage Vth1 (VRESET ), whereas the set current is smaller
stability performance. than the reset current. Generally, unipolar devices suffer from limited
This paper is organized as follows; Section 2 illustrates the main con- endurance cycles because of the high current of the ON-state and the
cept of the resistive switching mechanisms. In Section 3, the exponen- heating process. In addition, the operation window between set and
tial model is described and its parameters are studied to find the effects reset voltages can be limited and accidental reset can occur.
of these parameters on the memristor response. Section 4 presents the The drawback of the unipolar resistive switching is that VRESET could
proposed RRAM cell. Also, other RRAM cells are described. In section overlap with VSET as a result of having same polarities. Obviously, this
5, the static noise margin has been analyzed analytically based on the kind of trouble cannot exist in bipolar resistive switching since the VSET
proposed RRAM cell. In section 6, the proposed static noise margin sim- and VRESET voltages have opposite polarities.
ulation analysis is applied on different types of RRAM cells presented Both of the unipolar and bipolar switching devices can follow the
in section 4. Finally, the conclusion is presented in section 7. abrupt mechanism or the analog mechanism [36]. In the abrupt mech-
anism, the switching between OFF-state and ON-state is sharp and fast,
2. Resistive Random Access Memory (RRAM) as shown in Fig. 1 (a,b), respectively, which makes the devices suitable
for digital memory applications. By using this abrupt mechanism in the
Resistive Random Access Memory (RRAM) is a two-terminal device digital memories, the read disturb that occurs in the storage cells during
with a switching element sandwiched between two electrodes [35]. the read operation is significantly reduced down to a manageable level.
Depending on the programming voltage applied on the memristor ter- However, these kinds of switching devices can be also analog, which
minals, the switching medium is turned from a high-resistance state to means that many states can exist between the ON-state and OFF-state
a low-resistance state and vice verse. (continuous switching), as shown in Fig. 1 (c). This type of switch-

Fig. 1. Different types of switching characteristics (a) unipolar Switching with abrupt resistance change, (b) bipolar Switching with abrupt resistance change and (c) bipolar switching
with analog resistance change [34].

76
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 2. Voltage vs. Current in the Exponential Memristor Model, 2 MHz sinusoidal 1.4v input source, 𝛽 = 0.001, 𝛼 = 2, n = 4, 𝛾 = 4, and a = 25 (a) I–V Curve and (b) Memristor
Internal state x.

ing mechanism is suitable for analog applications and specific types of 3.2. Exponential memristor model analysis
memories.
The exponential model, used in this paper, is a bipolar switching In the exponential model, the internal state x exhibits a nonlinear
device with analog mechanism. The bipolar switching is used to avoid response with the applied voltage across the memristor.
the problems of the unipolar switching illustrated in this section. In In this paper, the effect of 𝛽, 𝜒 and a on the characteristics of the
addition, the analog mechanism has been used instead of the abrupt exponential model are investigated by simulations. The 𝛽 is inversely
mechanism to be able to use our 2T2M cell [37] as a multi-bit storage proportional with RON . As 𝛽 increases, the current passing through the
element, in multi-bit cells, the regions between the On-state and OFF- memristor increases, therefore, the value of RON decreases as shown
state are needed to store different bits-combinations, as a future work. in Fig. 3(a). Similarly, ROFF is determined by the 𝜒 parameter. As 𝜒
increases, the current passing through the memristor to switch it off
3. Exponential memristor model increases, thus, the value of ROFF decreases, as shown in Fig. 3(b).
Finally, the parameter a controls the switching speed of the memristor
A memristive system can be described by model in which the a parameter is directly proportional to this speed,
as shown in Fig. 4.
v = R(x, t)i, (1a)

dx 4. Memristor based memory cells


= f (x, i, t), (1b)
dt
where Equation (1a) describes the I–V characteristic of the memris- There are two main RRAM memory architectures which are: the
tor, whereas Equation (1b) specifies the memristor internal state x. In grid RRAM architecture and the crossbar RRAM architecture. Unlike
this section, the exponential model, which is used in the 2T2M cell, is grid RRAM, the crossbar structure does not have access transistors in its
described and analyzed. cell architecture and that makes it attractive due to its intensive small
area. Although crossbar RRAM structure has advantage in terms of the
3.1. Exponential model size, it faces many issues in terms of switching time, access time, and
reliability [39]. These problems are caused by the wire resistance and
The existing memristor models discussed till this moment still ignore sneak currents which leads to IR drop issue [40]. Moreover, this issue
the effects of the non-linearity of the electric fields. The exponential totes some constraints on writing time, memory array size, and oper-
memristor model given by Lehtonen et al. [38] works on capturing a ating voltages. Furthermore, several techniques have been proposed
large effect of the electric field. This model multiplies the drift equation to solve the IR drop issue such as those presented in Refs. [41] and
by a function that depends on the applied voltage on the memristor. [42].
The exponential model is defined by the following two equations: On the other hand, the grid RRAM architecture (which we study
I = xn 𝛽 sinh(𝛼V) + 𝜒(exp(𝛾V) − 1), (2a) here) features more energy efficiency as well as superiority in access
time. However this architecture presents higher size and hence higher
dx cost. The previous aforementioned techniques do not apply for this
= a sinh(bv)f (x, i), (2b)
dt type of RRAM architecture. Different memristor based grid RRAM
where Equation (2a) fits the measured IV characteristic of exponen- architectures are shown in Fig. 5. This section illustrates different
tial memristor model where 𝛽, 𝛼, 𝛾 and 𝜒 are fitting constants, and n memristor-based concepts for building RRAM cells.
is a free parameter. The IV hysteresis loop acts like a p-n junction Generally, the RRAM cells can overcome some disadvantages of the
(the exponential part) during the OFF state, whereas in the “ON”state conventional 6T SRAM cell such as low density and high power con-
it follows a tunneling process (sinh part). Also, the internal state Equa- sumption. Although the basic idea of the 2T2M RRAM cell was intro-
tion (2b) has a nonlinear dependency on the voltage and the window duced in Ref. [37], but more circuit simulations are introduced in this
function f (x, i), as well. In Fig. 2, one can easily notice the memristor paper to give a better illustration for the cell. Moreover, the effects
hysteresis loop, and the unsymmetrical response in charging and dis- of the exponential model’s parameters, discussed in section 3, on the
charging the device. The exponential model was adopted in this work behaviour of the 2T2M cell are explored. In addition, both of the 1T1M
in Verilog-A to speed up simulations, and ease the configuration of the [30] and 1T2M [43] RRAM cells, shown in Fig. 5 (a,b), will be explained
memristor parameters. briefly in this section and also in section 6.

77
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 3. The effect of both 𝛽 and 𝜒 parameters on the behaviour of the exponential model (a) 𝛽 is proportional inversely with the Ron , and (b) The 𝜒 is proportional inversely with the
ROFF .

The 2T2M RRAM cell is expected to shrink the overall area of the
memory module by almost 50%, compared to the conventional 6T
SRAM cell. This shrink is due to using only 2 transistors in 2T2M cell
while 6T-SRAM uses 6 transistors, the small size of the memristor in
the range of 10 nm or less, and also the possibility of implementing the
memristor on the top layer above metal layer during fabrication as pre-
sented in Ref. [44]. In addition, the 2T2M RRAM cell requires a fewer
number of periodical data refreshing cycles compared to [30].
As illustrated in Ref. [37], the read operation is done by discharging
both of the precharged BL & BLB. Therefore, the RC constant of the
memristor is significantly smaller in case of ON-state. As a result, the
difference between the two states could be read in a very short time. By
this way, the read stability could be enhanced and the rate of needed
data refresh cycles could be reduced.
The required interface signals for accessing the array are also com-
Fig. 4. The effect of the a parameter on the behaviour of the exponential model: a param- patible with the conventional CMOS-based memory, where address,
eter is directly proportional to the speed of the internal state x. read/write enable, data in, and data out are the main signals. The com-
plete 2T2M RRAM module is shown in Fig. 6(a). The array contains a
Control Unit block, which includes all control signals to trigger mem-
4.1. 2T2M cell ory, as well as the Peripheral circuits are shown in details in Fig. 6(b)
to input the data, and sense the output data of the array. The main
In Ref. [37], the 2T2M cell was implemented using the exponential purpose of the controller circuit of NEG_M1 & NEG_M2 is to decrease
model, as this model is an accurate description for the memristor device the difference voltage applied on the memristor devices during the read
due to its nonlinear dependence on the electric field. The 2T2M cell operation, hence the state drift of the memristor will be decreased (per-
consists of two access transistors and two memristors that are connected formance increases).
opposite to each other, as shown in Fig. 5(c). Both of the write and read In this paper, more circuit simulations which clarify the effects of
operations are explained in details in Ref. [37]. the exponential model’s parameters on the behaviour of the 2T2M cell

Fig. 5. Different topologies of memristor based RRAM cells: (a) 1T1M Cell, (b) 1T2M Cell and (c) 2T2M Cell.

78
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 6. (a) Proposed Memory Array architecture (node (x) refers to NEG_M2 and node (y) refers to NEG_M1) and (b) Detailed schematic of the Peripheral CIRCUIT showing the logic
used in read and write access.

are introduced. As discussed in section 3, the 𝛽 parameter is inversely 5. Mathematical analysis of noise margin
proportional with RON . Thus, 𝛽 parameter has effect only on the mem-
ristor devices that are “ON”. By assuming that a logic ‘1’ is written to In this paper, the static noise margin for RRAM cells is defined and
the cell, the memristor device (M2) that is connected to the BLB node, analyzed. Both of the analytical analysis and simulation results are pre-
“ON” device, is the one which is effected by the 𝛽 parameter. As a sented. The analytical analysis is dependent on the cell architecture
result, as 𝛽 increases, the RC constant decreases, causing the BLB node i.e. each cell architecture must be dealt with certain analytical model.
is discharged at a faster rate during read operation. Therefore, the volt- The analytical analysis based on the 2T2M cell architecture [37] is pre-
age difference between the BL and BLB nodes increases, as shown in sented.
Fig. 7(a and b). On the other hand, the 𝜒 parameter is inversely propor-
tional with ROFF . Thus, 𝜒 parameter has effect only on the memristor
5.1. The proposed static noise margin definition
devices that are OFF. By assuming that a logic ‘1’ is written to the cell,
the memristor device (M1) connected to the BL node, OFF device, is the
SNM is the maximum noise that the memory cell can afford before
one which is effected by the 𝜒 parameter. As a result, as 𝜒 increases,
changing its stored data. While writing to the memristor is a straightfor-
the RC constant decreases, hence the BL node is discharged at a faster
ward operation, reading is more challenging as there are a lot of issues
rate during read operation. Therefore, the voltage difference between
during the read operation of the memristor devices such as; sneak path
the BL and BLB node decreases, as shown in Fig. 7(c and d).
and state drift [46]. As a result, the read stability is a critical limitation
for RRAM cells based on memristor. In this paper, the noise margin
4.2. 1T2M cell for memristor cells in write, read and hold modes are defined and ana-
lyzed. This technique of definition is different from that of 6T SRAM
A 1T2M RRAM cell was introduced in Ref. [43]. Such cell consists since RRAM cells do not involve positive feedback circuitry to store
of two memristors connected back-to-back, representing the storage ele- data. Thus, the traditional measurement of SNM in 6T-SRAM cells is
ments, and one access transistor as illustrated in Fig. 5(b). The advan- not applicable in RRAM cells.
tage of a 1T2M memory cell is the compact area, which allows for A Write Noise Margin (WNM), is the maximum noise voltage that
denser memories on the same area. In addition, as a 1T2M stores data can be applied on the terminals of an RRAM cell during a write opera-
in a differential form, resulting in high read noise margin, such cell was tion, causing the internal state x of the memristor to change by a certain
reported to store multi-bit information on the same cell eliminating the amount, while preserving the stored data decoded in a consequent read
need for special voltage supplies required for multi-bit decoding [45]. operation. Furthermore, Read Noise Margin (RNM) is the maximum
noise voltage that the memristor can tolerate during a read operation,
without damaging the stored data. On the other hand, Hold Noise Mar-
4.3. 1T1M cell
gin (HNM) is the maximum current that can pass through the memristor
during sleep mode without damaging its stored data.
A 1T1M cell [30] comprises one memristor and one access tran-
sistor, as shown in Fig. 5(a). The small unit cell size allows for more
memory cells to be integrated on the same chip area. In write mode, 5.2. Write Noise Margin
the access transistor is enabled, and the word on data line is stored in
the memristor. In the read mode, the bit line is compared to a constant For the cell shown in Fig. 5(c), the 2T2M cell has two branches,
voltage reference to decode the output word. Although the 1T1M cell each of which has one memristor. The first branch operates in the “ON”
has a relatively small area, it uses a constant reference supply in read state while the other one operates in the “OFF” state. However, only
operation. Hence, read reliability across process corners is altered. In the “ON” state memristor is affected by the noise signals as the “OFF”
this paper, the proposed static noise margin analysis is applied on the state memristor has a very big resistance, ROFF , and hence the added
1T1M cell. The results of both WNM and RNM have been compared noise voltage cannot produce enough current that changes the inter-
versus the other cells as will be discussed later in section 6. nal state x of the “OFF” memristor device. Consequently, to calculate,

79
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 7. The effect of the exponential model’s parameter on the 2T2M cell’s behaviour using cadence tools at Vdd = 1.4 V, 𝛼 = 6, 𝛾 = 11.5 and n = 4 (a) Writing logic ‘1’ through
different values of 𝛽 parameter, (b) Reading logic ‘1’ through different values of 𝛽 parameters (BLB node is discharged in faster rate), (c) Writing logic ‘1’ through different values of 𝜒
parameter, (d) Reading logic ‘1’ through different values of 𝜒 parameter (BL node is discharged in faster rate).

analytically, the static noise margin of the 2T2M cell, the cell circuit vgs = vdd − va , (3f)
diagram can be approximated to the one shown in Fig. 8, where there
is only one memristor in “ON” state. Fig. 8(a) shows a simplified cir- In Equation (3a), the discrete form of the internal state x of the expo-
cuit of the 2T2M cell during the write operation of a logic ‘1’. In this nential model depends non-linearly on the voltage level, where a is a
analysis, the exponential memristor model, described in quation (2a), is constant, f (x) is a window function, and g(va ) is a polynomial function.
used. T1 operates in the linear region as (vds < vgs − vth ). The WNM can For simplicity, the window function f (x) is assumed to be equal to 1.
be found analytically by solving Kirchhoffs equation, and applying the Equation (3b) is the discrete form of the measured I–V characteristic
limiting conditions on the noise tolerance. In the 2T2M cell, in order to of memristor represented by Equation (2a) in Ref. [47]. Equation (3c)
accomplish a correct write operation, the value of the internal state x represents an approximation for the internal resistance of the transis-
of the “ON” memristor must be equal to or greater than certain thresh- tor (T1) in the linear region. Equation (3d) is Kirchhoffs equation for
old value. This threshold is defined as the minimum value of state that the simplified circuit in Fig. 8(a). Equations (3e) and (3f) represent the
causes the “ON” memristor resistance to permit the flow of electrons value of the vi and the vgs of the circuit in Fig. 8(a), respectively. In order
(current), which in turn results in a voltage drop of ∼0.1 V (between to calculate the maximum noise vn at a certain value of vdd , the value of
the BL and BLB), which is the trigger for the sensing amplifier. Based x(i) [x(0) = 0.05] is gotten and substituted in Equation (3b). After that,
on the simulated model and its design parameters, the threshold value Equations (3b) and (3d) are solved to get the value of va (i). Such iter-
for the memristor state x was found to be 0.2. ation is repeated through the whole period of the write operation with
appropriate time step [dt = 1e-10]. If the value of the calculated x(i) is

k
x(i) = [f (x)a sinh(b ∗ va (i))], (3a) lower than 0.2 at any point of the write operation, then the obtained
i=0 value of vn is bigger than the maximum noise tolerance for the cell. The
Im (i) = xn (i)𝛽 sinh(va (i)) + 𝜒(𝛼 exp(𝛾va (i)) − 1), (3b) results of the analytical WNM (Write Noise Margin) is compared to the
WNM simulation results in section 6.
1
Ra = , (3c)
k(vgs − vth)
5.3. Read Noise Margin
Im Ra + va (i) = vi − vn , (3d)
Similar to the analysis done for the WNM, the 2T2M cell circuit dia-
vi = vdd − vth , (3e) gram can also be reduced to one memristor during the read operation.
Fig. 8(b) shows a simplified circuit during the read operation of logic

80
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 8. 2T2M Approximated circuit (a) During


Write operation and (b) During Read operation.

dvi v (t + 1) − vi (t)
‘0’. The memristor in Fig. 8(b) is the one which has the same polarity of Ic = −c = −c i , (4c)
the input voltage. T1 operates in saturation region as (vds ≥ vgs − vth ). dt dt
The RNM can be found analytically by solving the Kirchhoffs equation
vgs = 1.4 − va , (4d)
and putting the limit condition of the noise tolerance. In the 2T2M cell,
to prevent the loss of the stored data, the value of the internal state x of
the “ON” memristor shouldn’t be decreased lower than 0.2 as explained vds = vi − vn − va , (4e)
in section 5.2.

I = IC = Im = Ids , (4a) vi (0) = 1.4 (4f)

Equation (4a) represents the Kirchhoffs equation of the simplified


Ids = k2 (vgs − vth )2 (1 + vds ), (4b) circuit shown in Fig. 8(b). Equation (4b) represents the current Ids∣sat

Fig. 9. (a) 1T1M WNM analysis circuit, (b) 1T2M WNM analysis circuit and (c) 2T2M WNM analysis circuit.

Fig. 10. (a) 1T1M RNM analysis circuit, (b) 1T2M RNM analysis circuit and (c) 2T2M RNM analysis circuit.

81
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 11. (a) 1T1M HNM analysis circuit, (b) 1T2M HNM analysis circuit and (c) 2T2M HNM analysis circuit.

Fig. 13. Write Noise Margin Analysis is applied on both the 1T1M, 1T2M, 2T2M cell
through different values of power supplies.

whole process is repeated through the whole period of the read oper-
ation with an appropriate time step [dt = 1e-10]. If the value of the
calculated x(t) reduces lower than 0.2 at any point of the read opera-
tion, then, the current value of vn is greater than that of the maximum
noise tolerance for the cell. The results of the analytical RNM analysis
is compared with the RNM simulation results in section 6.

6. Simulation analysis of noise margin

6.1. Architecture of simulation analysis

The proposed noise margin definition will be applied on the three


RRAM cells explained in section 4. The noise source polarity will be set
so as to oppose the applied voltages on the BL & BLB in the different
modes. Hence, in write mode, the noise source will be added to the BL
& BLB nodes in order to decrease the effective voltage difference on the
memristor terminals. Accordingly, the change in the memristor inter-
nal state will be altered. Similarly, in read mode, the noise source will
be added to increase the effective voltage difference on the memristor
terminals. As a result, the memristor internal state will change faster
Fig. 12. SNM Analytical analysis Vs. Simulation analysis of the 2T2M cell through differ- than normal, leading to a destructive read operation. Fig. 9 shows the
ent values of Vdd (a) Write Noise Margin Analysis and (b) Read Noise Margin Analysis.
effective circuit of the three cells in write mode.
In 1T1M [30], 1T2M [43] and 2T2M [37] cells, writing a logic ‘1’
is much slower than writing a logic ‘0’, as the effective voltage passed
of T1 in the saturation region. Equation (4c) shows the behaviour of to the memristor devices is a weak ‘1’ due the NMOS device threshold
the alternating current as a function of time during the discharging of voltage. Hence, noise added to a write ‘1’ operation will dominate the
a capacitor C. Finally, Equations (4d) and (4e) represent the value of WNM results. As a result, noise analysis will be carried out in a write
the voltages vgs and vds of the circuit in Fig. 8(b), respectively. In order ‘1’ mode. For a 2T2M and 1T2M cells, noise sources are introduced on
to calculate the maximum vn at a certain value of vdd , the initial value both the BL & BLB. On the other hand, in 1T1M, the noise source is
of x(t) [x(0) = 0.05] is assumed and its value is substituted in Equa- only introduced on the BLB terminal as the other terminal, BL, is the
tion (3b). After that, Equations (4b) and (3b) are equalized based on same bus of the data (DL). Therefore, this bus will be constant during
the Kirchhoffs law to find the current value of va (t) [vi (0) = 1.4]. After the write mode. Accordingly, the effective voltage difference on the
finding the value of va (t), Equations (3b) and (4c) are solved to get the memristor devices is lowered. Thus, the internal memristor state will
value of vi (t + 1). To ensure stability, convergence, and accuracy, the not be fully changed.

82
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

Fig. 14. (a) Read Noise Margin Analysis is applied on both 1T1M, 1T2M, and 2T2M cell through different values of power supplies., (b) The effect of the noise on the internal state x on
the memristor.

In read mode, the noise source will be added in order to create a of the WNM is within 5%. On the other hand, the results of the RNM of
destructive read operation stimulus. Fig. 10 shows the effective circuit both of the analytical and simulation analysis are compared versus each
of three cells in read mode. Similar to the WNM configuration, in a other as shown in Fig. 12(b). The average error percent between the
1T1M, 2T2M, and 1T2M cells, the noise sources are introduced on the analytical analysis and the simulation analysis as cleared in Fig. 12(b)
BL & BLB lines. is within 7%.
The HNM is measured by adding positive current to the RON mem- WNM analysis is applied during a write of logic ‘1’ operation. Fig. 13
ristor, or negative current to the ROFF memristor (The worst case), as shows the simulation results for the three cells in such mode. Based on
shown in Fig. 11. So, measuring the HNM of the cell is the same in case Equation (2a) of the memristor exponential model shown in section
of storing a logic ‘0’ or logic a ‘1’. 3.2, the power supply value is the most effective factor on a memristor
performance. Accordingly, the proposed WNM analysis is simulated in
different Vdd levels to study the effect of the power supply on the WNM
6.2. Results of static noise margin analysis for each cell. As shown in Fig. 13, in write mode, as the power supply
level increases, the immunity of the three RRAM cells for noise on BL
The defined noise margin was applied on the three RRAM cell, & BLB increases. Hence, increasing power supply level enhances the
based on the exponential model. The analysis was simulated by Cadence stability of the RRAM cells in write mode. In addition, the 1T2M cell
Virtuoso tools, 130-nm TSMC CMOS process technology, 27◦ C tem- has the highest WNM relative to both of the 2T2M, and 1T1M because
perature, and exponential memristor model where Vdd = 1.4V, 𝛼 = 6, the current noise, which is caused by the noise source, is divided on the
𝛽 = 15, 𝛾 = 11.5, 𝜒 = 0.001 and n = 4. two memristor devices as shown in Fig. 5(b). Therefore, the effective
In this paper, the proposed analytical analysis of the static noise noise on each memristor is lower than the effective noise in case of
margin is applied on the 2T2M cell [37] and the results of the analytical 2T2M, and 1T1M cell.
analysis are compared with the results of the simulation analysis. The In Fig. 14(a), the RNM results are shown. In a 1T2M and 2T2M cells,
comparison between the analytical results and the simulation results of writing a logic ıís similar to a read operation. Thus, the worst case in
the WNM is shown in Fig. 12(a). Based on the Fig. 12(a), the average read mode is to read a logic ‘0’, as it tends to invert the stored data in
error percent between the analytical results and the simulation results

Table 1
A comparison between different memory technologies denotes that information is not available in cited papers.
Cell Write Read WNM RNM Internal Retention Endurance Needed Non-volatility
Size Operation Operation (V) (V) State Refresh
(F 2 ) Time Time Distortion Cycles
SRAM >100 [48] ∼1 ns ∼1 ns X 0.5 Y N/A N/A >1E16 N/A No
DRAM 20–50 [48] ∼10 ns ∼10 ns N/A N/A N/A 32–64 ms [49] >1E16 Every 32–64 ms [49] No
(1T1C)
Flash <4 [2,50] 100 μs–1 ms ∼10 μs N/A N/A N/A >10y >1E4 ** Yes
(NAND)
Flash ∼10 [2,51] 10 us–1 ms ∼50 ns N/A N/A N/A >10y >1E5 ** Yes
(NOR)
STT-MRAM 6–50 [48] <5 ns <10 ns ** ** N/A >10y >1E15 ** Yes
PCRAM 4 ∼ 20 ∼50 ns <10 ns ** ** N/A >10y >1E9 ** Yes
[52,53]
RRAM ∼16–17 5 ns 0.8 ns X Y Z >10y 1E6 -1E12 Every 10,000 Read Yes
(1T1M) (Predicted) CyclesZ
RRAM ∼16–17 5 ns 2 ns 2X 2Y 5Z >10y 1E6 Every 24,000 Read Yes
(1T2M) (Predicted) Cycles
RRAM ∼35–50 5 ns 0.5 ns 1.5X Y Z >10y 1E6 Every 32,000 Read Yes
(2T2M) (Predicted) Cycles
FeRAM 15 ∼50 ns 20–80 ns ** ** N/A >10y 1E12 ** Yes

83
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

the memristor device. Hence, the RNM analysis is applied during a read [19] D.B. Strukov, G.S. Snider, D.R. Stewart, R.S. Williams, The missing memristor
of logic ‘0’. In contrast to 1T2M and 2T2M cells, in a 1T1M cell, the found, Nature 453 (2008) 80–83, https://doi.org/10.1038/nature06932.
[20] I. Vourkas, A. Batsos, G.C. Sirakoulis, Spice modeling of nonlinear memristive
RNM analysis is applied during a read of logic ‘1’, as it’s considered to behavior, Int. J. Circ. Theor. Appl. 43 (2015) 553565.
be the worst case in a read operation. Similar to the WNM, the proposed [21] M.E. Fouda, A.G. Radwan, Resistive-less memcapacitor-based relaxation oscillator,
RNM analysis is simulated during different power supply levels Vdd . As Int. J. Circ. Theor. Appl. 43 (2015) 959965.
[22] M.A. Zidan, H. Omran, C. Smith, A. Syed, A.G. Radwan, K.N. Salama, A family of
shown in Fig. 14(b), the distortion in the memristor internal state x memristor-based reactance-less oscillators, Int. J. Circ. Theor. Appl. vol. 42 (2013)
increases with the increase in the power supply level. 1103–1122, https://doi.org/10.1002/cta.1908.
During read mode, the 1T1M and 2T2M cells can tolerate high value [23] Y.V. Pershin, M. Di Ventra, Experimental demonstration of associative memory
with memristive, neural networks, Neural Network. 2010 453 (2010) 881–886.
of the power supply without damaging the stored data into the memris- [24] A. Talukdar, A.G. Radwan, K.N. Salama, Nonlinear dynamics of memristor based
tor device, unlike the 1T2M cell whose internal state x is totally dam- 3rd order oscillatory system, Microtecnic 43 (2012) 169–175.
aged when Vdd is raised above 1.6 V. As a result, the 1T2M cell has [25] A. Talukdar, A.G. Radwan, K.N. Salama, Generalized model for memristor-based
wien family oscillators, Microtecnic 42 (2011) 1032–1038.
a restriction on the value of the power supply. As shown in Fig. 14,
[26] M.A. Zidan, H.A.H. Fahmy, M.M. Hussain, K.N. Salama, Memristor-based memory:
the value of the noise that the cell can afford during the read mode the sneak paths problem and solutions, Microtecnic 44 (2013) 176–183.
increases, as long as the internal state of the memristor doesn’t get [27] A.A. El-Slehdar, A.H. Fouad, A.G. Radwan, Memristor-based balanced ternary
exposed to a great damage. Table 1 shows the performance compar- adder, in: 25th International Conference on Microelectronics (ICM), 2013.
[28] A.A. El-Slehdar, A.H. Fouad, A.G. Radwan, Memristor-based redundant binary
ison between different emerging technologies in terms of cell size, adder, in: International Conference on Engineering and Technology (ICET), 2014.
read/write time, volatility, endurance and retention. [29] A.A. El-Slehdar, A.H. Fouad, A.G. Radwan, Memristor based n-bits redundant
binary adder, Microelectron. J. 46 (2015) 207–213.
[30] B. Mohammad, D. Homouz, H. Elgabra, Robust hybrid memristor-cmos memory:
7. Conclusion modeling and design, IEEE Trans. Very Large Scale Integr. Syst. 21 (11) (2013)
2069–2079, https://doi.org/10.1109/TVLSI.2012.2227519.
[31] S.S. Sarwar, S.A. Saqueb, F. Quaiyum, A.M.H.U. Rashid, Memristor-based
In this paper, a novel stability analysis for RRAM cells was pro- Nonvolatile Random Access Memory: Hybrid Architecture for Low Power Compact
posed. The analysis was used to test the 2T2M RRAM cells, along with Memory Design, Access, IEEE 1, 2013, pp. 29–34, https://doi.org/10.1109/
other RRAM cells. Due to the low mobility of the memristor, 2T2M are ACCESS.2013.2259891.
[32] C.-F. Liao, M.-Y. Hsu, Y.-D. Chih, J. Chang, Y.-C. King, C.J. Lin, Zero static-power
much slower than conventional 6T SRAM cells. However, this latency
4t SRAM with self-inhibit resistive switching load by pure CMOS logic process, in:
is inversely proportional to the applied voltage. In addition, analytic 2016 IEEE International Electron Devices Meeting (IEDM), IEEE, 2016.
expression for the SNM of 2T2M RRAM cell has been driven through [33] S. Wen, Z. Zeng, T. Huang, Exponential stability analysis of memristor-based
both of the write and read modes. Moreover, a new easy and quick; recurrent neural networks with time-varying delays, Neurocomputing 97 (2012)
233–240.
simulation method of SNM has been developed. Finally, the proposed [34] U. Russo, D. Ielmini, C. Cagli, A.L. Lacaita, Self-accelerated thermal dissolution
analytical approach, showed a great match with simulation results. model for reset programming in unipolar resistive-switching memory (rram)
device, IEEE Trans. Electron. Dev. 56 (2009) 193–200.
[35] W. Hiatt, T. Hickmott, Bistable switching in niobium oxide diodes, Appl. Phys.
References Lett. 6 (1965) 106–108.
[36] J.J. Yang, I.H. Inoue, T. Mikolajick, C.S. Hwang, Metal oxide memories based on
[1] H.-S.P. Wong, S. Salahuddin, Memory leads the way to better computing, Nat. thermochemical and valence change mechanisms, MRS Bull. 37 (2012) 131–137.
Nanotechnol. 10 (3) (2015) 191–194. [37] N. Shaarawy, M. Ghoniema, A.G. Radwan, 2t2m memristor based memory cell for
[2] International Technology Roadmap for Semiconductors, [Online] http://www.itrs. higher stability rram module, in: (ISCAS), 2015 IEEE International Symposium on
net/, 2015. Circuits and Systems, 2015, pp. 1418–1421, https://doi.org/10.978-1-4799-
[3] S.A. McKee, Reflections on the memory wall, in: Conf. Computing Frontiers, 2004. 8391-9/ISCAS.2015.
[4] B. Jacob, The memory system: you can’t avoid it, you can’t ignore it, you can’t [38] E. Lehtonen, Modeling the HP Memristor with SPICE, 2010. [Online] http://www.
fake it, in: The Memory System: You Can’t Avoid it, You Can’t Ignore it, You Can’t neurdon.com/2010/07/23/modeling-the-hp-memristor-with-spice/.
Fake it, 2009. [39] C. Xu, D. Niu, N. Muralimanohar, R. Balasubramonian, T. Zhang, S. Yu, Y. Xie,
[5] E. Ebrahimi, M. Guthaus, J. Renau, Timing Speculative Sram, 2017. Overcoming the challenges of crossbar resistive memory architectures, in: 2015
[6] D. Lee, Y. Kim, G. Pekhimenko, S.M. Khan, V. Seshadri, K.K.-W. Chang, O. Mutlu, IEEE 21st International Symposium on High Performance Computer Architecture
Adaptive-latency dram: optimizing dram timing for the common-case, in: 2015 (HPCA), 2015, pp. 476–488.
IEEE 21st International Symposium on High Performance Computer Architecture [40] J. Reuben, R. Ben-Hur, N. Wald, N. Talati, A.H. Ali, P.-E. Gaillardon, S. Kvatinsky,
(HPCA), 2015, pp. 489–501. Memristive Logic: a Framework for Evaluation and Comparison, 2017.
[7] L.M. Grupp, J.D. Davis, S. Swanson, The bleak future of nand flash memory, in: [41] Y. Zhang, D. Feng, J. Liu, W. Tong, B. Wu, C. Fang, A novel reram-based main
Proceedings of the 10th USENIX Conference on File and Storage Technologies memory structure for optimizing access latency and reliability, in: 2017 54th
(FAST’12), Berkeley, CA, USA, 2012, p. 2. ACM/EDAC/IEEE Design Automation Conference (DAC), 2017, pp. 1–6.
[8] M. Alioto, STT-MRAM memories for IoT applications: Challenges and [42] N. Talati, S. Gupta, P. Mane, Logic Design within Memristive Memories Using
opportunities at circuit level and above, in: International Symposium on VLSI Memristor Aided Logic (Magic), 2016.
Technology, Systems and Application (VLSI-TSA), Hsinchu, 2017, p. 1, https:// [43] A. Emara, M. Ghoneima, M. El-Dessouky, Differential 1t2m memristor memory cell
doi.org/10.1109/VLSI-TSA.2017.7942448. for single/multi-bit rram modules, in: Computer Science and Electronic
[9] Y.H. Lee, et al., High performance low A/R poly PN diode for 20nm node PCRAM Engineering Conference (CEEC), 2014 6th, 2014, pp. 69–72, https://doi.org/10.
cell switch, in: 45th European Solid-State Device Research Conference (ESSDERC), 1109/CEEC.2014.6958557.
Graz, 2015, pp. 122–125, https://doi.org/10.1109/ESSDERC.2015.7324728. [44] P.F. Chiu, M.F. Chang, C.W. Wu, C.H. Chuang, S.S. Sheu, Y.S. Chen, M.J. Tsai, Low
[10] S. Ducharme, T.J. Reece, C.M. Othon, R.K. Rannow, Ferroelectric Polymer store energy, low vddmin, 8t2r nonvolatile latch and sram with vertical-stacked
Langmuirblodgett Films for Nonvolatile Memory Applications, 2017. resistive memory (memristor) devices for low power mobile applications, IEEE J.
[11] W. Kang, L. Chang, Y. Zhang, W. Zhao, Voltage-controlled mram for working Solid State Circ. 47 (6) (2012) 1483–1496, https://doi.org/10.1109/JSSC.2012.
memory: perspectives and challenges, Design, in: Automation & Test in Europe 2192661.
Conference & Exhibition (DATE), 2017, 2017, pp. 542–547. [45] A. Emara, M. Ghoneima, A reference-less multilevel memristor based rram module,
[12] A.A. Bagheri-Soulla, M.B. Ghaznavi-Ghoushchi, An rram-based mlc design in: 2015 IEEE 58th International Midwest Symposium on Circuits and Systems
approach, Microelectron. J. 64 (2017) 9–18. (MWSCAS), 2015, pp. 1–4, https://doi.org/10.1109/MWSCAS.2015.7282147.
[13] J. Chang, L. Chang, A. Dupret, C. Kim, F. Hamzaoglu, T. Yoshikawa, F2: memory [46] M.A. Zidan, H.A.H. Fahmy, M.M. Hussain, K.N. Salama, Memristor-based memory:
trends: from big data to wearable devices, in: IEEE International Solid-state the sneak paths problem and solutions, Microelectron. J. 44 (2) (2013) 176–183.
Circuits Conference - (ISSCC) Digest of Technical Papers, 2015, pp. 1–2. [47] J.J. Yang, M.D. Pickett, X.M. Li, D.A.A. Ohlberg, D.R. Stewart, R.S. Williams,
[14] E. DeIonno, A.L. White, Reliability considerations and radiation testing of Memristive switching mechanism for metal/oxide/metal nanodevices, Nat.
memristor devices, in: Aerospace Conference, IEEE, 2015. Nanotechnol. 3 (2008) 429–433.
[15] M. Hopkin, Found: the missing circuit element, Nature (2008) https://doi.org/10. [48] M.T. Chang, P. Rosenfeld, S.L. Lu, B. Jacob, Technology comparison for large
1038/news.2008.789. last-level caches (L3 Cs): Low-leakage SRAM, low write-energy STT-RAM, and
[16] P. Pouyan, E. Amat, A. Rubio, Reliability challenges in design of memristive refresh-optimized eDRAM, in: IEEE 19th International Symposium on High
memories, in: CMOS Variability (VARI), 2014 5th European Workshop on, IEEE, Performance Computer Architecture (HPCA), Shenzhen, 2013, pp. 143–154,
2014, pp. 1–6. https://doi.org/10.1109/HPCA.2013.6522314.
[17] A.G. Radwan, M.E. Fouda, On the Mathematical Modeling of Memristor, [49] S. Liu, K. Pattabiraman, T. Moscibroda, B.G. Zorn, Flikker: saving dram
Memcapacitor, and Meminductor, Springer, 2015. refresh-power through critical data partitioning, ACM SIGPLAN Not. 47 (4) (2012)
[18] L.O. Chua, S.M. Kang, Memristive devices and systems, Proc. IEEE 64 (2) (1976) 213–224.
209–223.

84
N. Shaarawy et al. Microelectronics Journal 73 (2018) 75–85

[50] C.W. Oh, N.Y. Kim, S.H. Kim, Y.L. Choi, S.I. Hong, H.J. Bae, J.B. Kim, K.S. Lee, [52] J. Oh, J.H. Park, Y. Lim, H. Lim, Y. Oh, J.S. Kim, J. Shin, Y.J. Song, K. Ryoo, D.
Y.S. Lee, N.M. Cho, et al., 4-bit double sonos memories (dsms) using single-level Lim, et al., Full integration of highly manufacturable 512mb pram based on 90nm
and multi-level cell schemes, in: Electron Devices Meeting, 2006. IEDM’06, technology, in: Electron Devices Meeting, 2006. IEDM’06, International, IEEE,
International, IEEE, 2006, pp. 1–4. 2006, pp. 1–4.
[51] R. Muralidhar, R. Steimle, M. Sadd, R. Rao, C. Swift, E. Prinz, J. Yater, L. Grieve, K. [53] F. Pellizzer, A. Benvenuti, B. Gleixner, Y. Kim, B. Johnson, M. Magistretti, T.
Harber, B. Hradsky, et al., A 6 v embedded 90 nm silicon nanocrystal nonvolatile Marangon, A. Pirovano, R. Bez, G. Atwood, A 90nm phase change memory
memory, in: IEEE International Electron Devices Meeting 2003, Washington, DC, technology for stand-alone non-volatile memory applications, in: VLSI Technology,
USA, 2003, pp. 26.2.1–26.2.4, https://doi.org/10.1109/IEDM.2003.1269353. 2006. Digest of Technical Papers. 2006 Symposium on, IEEE, 2006, pp. 122–123.

85

You might also like