Download as pdf or txt
Download as pdf or txt
You are on page 1of 87

Design, Control and Evaluation of a Prototype Three

Phase Inverter in a BLDC Drive System for an


Ultra-Light Electric Vehicle
Master’s Thesis in Electric Power Engineering

PHILIP LARSSON
NICLAS RASMUSSEN
Department of Energy and Environment
Division of Electric Power Engineering
CHALMERS UNIVERSITY OF TECHNOLOGY
Gothenburg, Sweden 2013
Master’s Thesis 2013
MASTER’S THESIS IN ELECTRIC POWER ENGINEERING

Design, Control and Evaluation of a Prototype Three Phase


Inverter in a BLDC Drive System for an Ultra-Light
Electric Vehicle

Master’s Thesis in Electric Power Engineering


PHILIP LARSSON
NICLAS RASMUSSEN

Department of Energy and Environment


Division of Electric Power Engineering
CHALMERS UNIVERSITY OF TECHNOLOGY
Gothenburg, Sweden 2013
Design, Control and Evaluation of a Prototype Three Phase Inverter in a BLDC
Drive System for an Ultra-Light Electric Vehicle

PHILIP LARSSON
NICLAS RASMUSSEN

PHILIP
c LARSSON, NICLAS RASMUSSEN, 2013

Master’s Thesis 2013


ISSN 1652-8557
Department of Energy and Environment
Division of Electric Power Engineering
Chalmers University of Technology
SE-412 96 Gothenburg
Sweden
Telephone: + 46 (0)31-772 1000

Chalmers Reproservice
Gothenburg, Sweden 2013
Design, Control and Evaluation of a Prototype Three Phase Inverter in a BLDC
Drive System for an Ultra-Light Electric Vehicle

Master’s Thesis in Electric Power Engineering


PHILIP LARSSON
NICLAS RASMUSSEN
Department of Energy and Environment
Division of Electric Power Engineering
Chalmers University of Technology

Abstract
With an evolving vehicle industry there has been an increase in the
demand for light electric vehicles. This thesis was conducted in order to
gain further knowledge within the field of sensorless BLDC motor control
for light electric vehicles.
A three phase inverter was modeled and simulated in Simulink with sen-
sorless BLDC motor control. A requirement specification for a three phase
inverter in a drive system for a light electric vehicle was made. From the
requirement specification a three phase inverter with two different sensor-
less control approaches was designed in Altium Designer. The PCB was
manufactured and software control algorithms as well as drivers were imple-
mented.
The three phase inverter and its control algorithms were tested and eval-
uated. The three phase inverter operates successfully with sensorless control
at a motor speed above 300RP M and fits into a light electric vehicle.

Keywords: BLDC, Design, Drive System, Electric Vehicle,Light Electric Vehicle,


Motor Control, PCB, Sensorless

I
II
Acknowledgements
We would like to express our appreciation to our supervisor Peter Dahlin at
QRTECH AB and our examiner Prof. Torbjörn Thiringer at Chalmers for their
guidance and support throughout this thesis project.
Our coworkers at QRTECH AB also deserve our gratitude for all of their con-
tribution and interest in the project. A special thanks to Dr. Andreas Magnusson
for his advice and expertise.
We would also like to sincerely thank QRTECH AB for believing in our project
and also for the necessary funds and equipment that were provided during the
project.
Lastly we would like to thank our girlfriends and family for their support
throughout our five years at Chalmers University of Technology.

Gothenburg 2013
Philip Larsson & Niclas Rasmussen

III
IV
Abbreviations
ADC Analog-to-Digital Converter

Back-EMF Back Electromotive Force

BLDC Brushless DC

CCS Code Composer Studio

DSP Digital Signal Processor

EMI Electromagnetic Interference

GPIO General Purpose Input/Output

IC Integrated circuit

JTAG Joint Test Action Group

LED Light-Emitting Diode

MOSFET Metal Oxide Semiconductor Field Effect Transistor

PCB Printed Circuit Board

PI Proportional-Integral

PMSM Permanent Magnet Synchronous Motor

PWM Pulse-Width Modulation

QEP Quadrature Encoder Pulse

V
VI
Contents
Abstract I

Acknowledgements III

Abbreviations V

Contents VII

1 Introduction 1
1.1 Problem Background . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Purpose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.3 Delimitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.4 Outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

2 Technical Background 3
2.1 BLDC Motor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
2.2 Motor Control with a Three Phase Inverter . . . . . . . . . . . . . . 6
2.2.1 Sensored Motor Control . . . . . . . . . . . . . . . . . . . . 7
2.2.2 Sensorless Motor Control . . . . . . . . . . . . . . . . . . . . 7
2.3 Gate Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

3 Modeling and Simulation 11


3.1 BLDC Motor Model . . . . . . . . . . . . . . . . . . . . . . . . . . 11
3.2 Three Phase Inverter Model . . . . . . . . . . . . . . . . . . . . . . 13
3.3 Requirement Specification . . . . . . . . . . . . . . . . . . . . . . . 16
3.3.1 Longitudinal Vehicle Dynamic Model . . . . . . . . . . . . . 16
3.3.2 Drive System Requirement Specification . . . . . . . . . . . 17

4 Inverter Design 18
4.1 Control PCB Schematic . . . . . . . . . . . . . . . . . . . . . . . . 18
4.1.1 Voltage Regulators . . . . . . . . . . . . . . . . . . . . . . . 19
4.1.2 Radio Receiver . . . . . . . . . . . . . . . . . . . . . . . . . 19
4.1.3 Cell Protection . . . . . . . . . . . . . . . . . . . . . . . . . 19
4.1.4 LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
4.1.5 Encoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
4.1.6 DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
4.1.7 Back-EMF . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
4.1.8 Level Shifter . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
4.2 Power PCB Schematic . . . . . . . . . . . . . . . . . . . . . . . . . 22
4.2.1 Gate Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
4.2.2 Half Bridge . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
4.2.3 DC-Link Capacitors . . . . . . . . . . . . . . . . . . . . . . 24
4.2.4 Current Measurement . . . . . . . . . . . . . . . . . . . . . 24
4.2.5 Temperature Measurement . . . . . . . . . . . . . . . . . . . 24
4.3 PCB Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
4.3.1 Control PCB Layout . . . . . . . . . . . . . . . . . . . . . . 25

VII
4.3.2 Power PCB Layout . . . . . . . . . . . . . . . . . . . . . . . 26

5 Software Implementation 27

6 Verification and Evaluation of Results 33


6.1 Board Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
6.2 Sensored and Sensorless Evaluation . . . . . . . . . . . . . . . . . . 37
6.3 Further evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

7 Closure 46
7.1 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
7.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

References 47

Appendix A Calculation of Inverter Output Voltage 49


A.1 Case 60 − 120◦ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
A.2 Case 120 − 180◦ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
A.3 Case 180 − 240◦ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
A.4 Case 240 − 300◦ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
A.5 Case 300 − 360◦ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

Appendix B Control PCB Schematic 59

Appendix C Power PCB Schematic 71

VIII
1 Introduction
The vehicle industry is rapidly evolving. With many different aspects coinciding
such as depletion of fossil fuels, global warming, air pollution, carbon emission
reduction legislation and new up and coming battery technologies there is a gradual
increase in demand for electric vehicles.
With new technology and a growing market, there are many new kinds of
electric vehicle topologies developing. There are also many old vehicle topologies
such as bicycles and scooters that are being electrified. The high efficiency of
electric drive systems which on average is 85 % compared to the regular drive
systems with an internal combustion engine which has an average efficiency of 12-
20 % , make it possible to design and build much lighter vehicles with the same
power ratings as the heavier vehicles with an internal combustion engine [1], [2].
There are many different electric motor types with different features available.
For the application of driving light electric vehicles there are two very similar
motor types that stand out from the others because of their high power versus
size and weight characteristics, those are the Brushless DC (BLDC) Motor and
the Permanent Magnet Synchronous Motor (PMSM).
The battery is only capable of supplying a DC voltage, while the BLDC- and
the PMSM- motors require a three phase voltage. In order to solve this problem
an interweaving stage that converts the DC voltage to a three phase voltage is
required. The conversion is made by a three phase inverter which also adds the
ability to control the motor by having the ability to adjust the voltage input to
the motor.

1.1 Problem Background


QRTECH is a consultancy firm within the field of hardware and software research
and development. With many customers within the automotive sector they have
developed a lot of embedded electronic solutions for vehicles. One such solution is
a high end controller for a PMSM motor in a light electric vehicle. With already
having a solution for the PMSM motor they now want an equivalent solution for
a BLDC motor. In order to improve reliability and reduce cost they also want
the BLDC controller to be able to operate without a positioning sensor. Having
solutions for both of the motor technologies will make it possible to evaluate and
provide the best solution depending on the customer needs.

1.2 Purpose
The thesis work was conducted with the purpose of developing a three phase
inverter in a BLDC-motor drive system for an ultra-light vehicle. This was done
in order to have a prototype platform which can be evaluated and lay as a basis
for future decision making and quotation when offering customers new solutions.
Further the thesis was carried out in order to increase theoretical knowledge
about BLDC-motor drive systems and in order for the authors to gain knowledge
and skill of how to realize the theoretical acquired knowledge into a construction
of a real working product.

1
The main focus of the conducted work was on the realization, construction
and software implementation of a three phase inverter which is able to control a
BLDC-motor with both sensored- and different sensorless- control strategies.

1.3 Delimitations
In order to be able to complete the project within a timeframe of 20 weeks a
few delimitations have been made. Only one sensored and two different sensorless
control approaches based on back-EMF measurement will be implemented. Only
a rather modest model for the motor simulation will be implemented, with the
main purpose of evaluating the sensored and senorless control algorithms. The
three phase inverter will only be built and tested in a lab environment during
the period of the thesis. Support for a third party solution for wireless control
will be implemented instead of adding an additional RF circuit to the three phase
inverter.

1.4 Outline
The project started with a theoretical study on previous work within the field. The
study kept on throughout the project. A Simulink model of a BLDC drive system
was implemented which provided a platform that allowed for experimenting with
different sensorless control techniques. Power requirement simulations were also
made in order to determine the requirement specifications of the inverter. Once
this was done and finalized the work on the circuit layout started. When all com-
ponents had been selected the design of the Printed Circuit Board (PCB) started
simultaneously with the software development for the Digital Signal Processor
(DSP). When the PCB had been manufactured and all of the components had
been mounted, short circuit tests and circuit functionality tests were conducted.
Lastly motor control tests with the different control algorithms were carried out.
The results were evaluated and compared to the simulated results.

2
2 Technical Background
This chapter introduces the BLDC motor and the three phase inverter. It also
presents the inverter control techniques such as sensored and sensorless control.
The chapter also give some technical background to the gate driver.

2.1 BLDC Motor


The BLDC motor seen in Figure 2.1 is a permanent magnet AC synchronous motor.
It is characterized by ideally having a trapezoidal back Electromotive Force (back-
EMF) which is presented in Figure 2.2 and that it is driven by square shaped
currents. These are the major differences from the PMSM which has a sinusoidal
shaped back-EMF and is driven with sinusoidal phase currents. The BLDC motor
is usually constructed in single-phase, two-phase and three phase configurations,
where the three phase configuration is the most common. Motors with more than
three phases can be manufactured but are however uncommon since the number
of power electronic devices increases with the number of phases which increases
manufacturing cost [3].

S Va

a
c N N
N S
S
b
b S ea
S N e
eb c
N
N c
a
Vn V
Vb c
S

Figure 2.1: A three phase BLDC motor with its circuit diagram

3
ea

0 60 120 180 240 300 360

eb

0 60 120 180 240 300 360

ec

0 60 120 180 240 300 360


Degrees [°]

Figure 2.2: Ideal back-EMF voltages , ea , eb and ec of the BLDC motor

The stator is made out of stacked steel laminations and is constructed in a very
similar way to that of the induction motor. The rotor is made out of permanent
magnet pairs with the north pole and south pole in consecutive order. Since it is a
synchronous motor, the stator and rotor rotate at the same frequency. This means
that there is no slip between the stator and rotor in the BLDC motor which is the
case for the induction motor. The three phase BLDC motor is driven by applying a
positive current to one of the motor phases and a negative current to another while
having no current going through the third phase leaving it at floating potential.
Since torque is produced by the interaction between the field generated in the
stator and by the permanent magnets on the rotor, the motor starts to rotate. To
keep the permanent magnets of the rotor from aligning with the stator in a static
condition, the current through the stator which gives rise to the magnetic field
needs to be commutated in a specific way. The current commutation is controlled
so that the rotor field keeps trying to align with the stator field and thereby the
motor continues to rotate. The current is switched in six different commutation
steps for each electrical rotation. The BLDC-motor in comparison to a brushed
DC motor has a lot of advantages which are presented in Table 2.1. The major
disadvantage of the BLDC motor is that it needs a more advanced controller in
order for it to operate [4].

4
Table 2.1: The BLDC Motor Compared to the Brushed DC Motor [4], [5]

Property BLDC Motor Brushed DC Motor


Maintenance Low rate of maintenance re-The brushes need consistent
quired. maintenance.
Speed Range A very wide speed range Medium. This is mainly due
since there are no mechan- to that there is a mechanical
ical brushes that limits the
limitation which is caused
speed at higher RPM. by the increased wearing of
brushes at higher speeds.
Power Versus Size Very high because of the Moderate to Low. The ar-
very good thermal charac- mature current will increase
teristics. This is because the temperature in the air
the windings are located in gap which limits the output
the stator, which is con- power.
nected to the case, which in
turn gives a better heat dis-
sipation.
Rotor Inertia Low. The permanent mag- Higher since the copper
nets are lighter than regular weigh more than permanent
rotor windings made out of magnets.
copper.
Efficiency Very high, since there are Lower because of the volt-
no copper losses in any ro- age drop in the brushes.
tor windings.
Lifespan Very long. Shorter since the brushes
will wear out.
Torque Versus Speed Flat. Flat until reaching higher
Characteristics speeds where friction will
increase due to the brushes.
Electromagnetic Low. The brushes will generate
Interference noise.
Commutation Electrical commutation in- Mechanical commutation in
side an inverter. the brushes.
Control Requires digital controlled Simple control, can run
inverter in order to run. at fixed speed without the
need of a controller, inex-
pensive.
Manufacturing Cost Easy to manufacture, how- More complex to manufac-
ever the material cost is ture because of the mechan-
high due to the high mate- ical brushes. Has a cheaper
rial cost of the permanent material cost, since no ex-
magnets. pensive permanent magnets
are required.

5
2.2 Motor Control with a Three Phase Inverter
A three-phase inverter technically is three sections of half bridge inverters. Each
inverter stage is able to produce an output 120◦ displaced with respect to the
other. The half-bridge sections are usually referred to as legs with a three phase
inverter consisting of three legs, one for each phase, see Figure 2.3.

BLDC Motor

Vd

Figure 2.3: Three phase inverter with a connected BLDC motor

Since the BLDC motor is characterized by only having two phases energized at
the same time, only three out of the six switches of the inverter will be active for
each switching sequence. One of the three phase inverter legs will be Pulse Width
Modulated (PWM) with the lower transistor inversely switched with respect to
the upper transistor and in another leg the lower transistor will conduct. This is
performed in a periodical six-step commutation sequence, which can be seen in
Table 2.2 [6], [7]. If reverse rotation is desired the sequences needs to be reversed
as well.

Table 2.2: Switching sequences


Switching Phase current
Sequence Rotor position, θe
PWM ON A B C

1 0 − 60 Q1,Q2 Q4 DC+ DC- OFF

2 60 − 120 Q1,Q2 Q6 DC+ OFF DC-
3 120 − 180◦ Q3,Q4 Q6 OFF DC+ DC-

4 180 − 240 Q3,Q4 Q2 DC- DC+ OFF

5 240 − 300 Q5,Q6 Q2 DC- OFF DC+

6 300 − 360 Q5,Q6 Q4 OFF DC- DC+

Unlike brushed DC motors where a commutator and brushes are used to change
current polarity the BLDC motor usually use semiconductors with feedback from
the rotor position to change current polarity. Hence to be able to know when to

6
commutate each phase of the BLDC motor the position needs to be known. This
could be done in many different ways, either by sensored or sensorless techniques.
During the last decade many new sensorless methods have been developed. There
are mainly two reasons for this, the high cost of positioning sensors and that of
increased reliability since sensors can fail during operation. In certain applications
such as flooded compressors or pumps it is not even possible to use sensors. There
are however also drawbacks to the different sensorless techniques, for example that
it is generally hard to control the motor at low speeds [4].

2.2.1 Sensored Motor Control


Hall effect sensors are normally used in order to determine the motor rotor position.
They can either be mounted on the surface of the stator or be embedded into the
stator. Typically three hall sensors are mounted with a 120◦ phase shift between
them. These make it possible to determine in which of the six sequences the rotor
currently is in and when to commutate [8].
Other sensors such as encoders and resolvers could however also be used. The
encoder transmits two digital pulses and measures the distance and time between
them which makes it possible to calculate the speed and the angle of the rotor.
Resolvers produce a sinusoidal and a cosinusoidal signal which both are used in
order to indicate the position within a 360◦ revolution [9].

2.2.2 Sensorless Motor Control


There are many different sensorless control techniques that can be implemented
for a BLDC motor. One method in order to estimate the rotor position is by
measuring the back-EMF of the motor. This can effortlessly be done by measuring
the terminal voltages of the phases. The back-EMF voltage is generated in the non-
driven winding by the permanent magnets when the motor rotate. The magnitude
of the voltage is proportional to the rotor speed and for this reason it is difficult to
control the motor at low speed. The back-EMF method can be implemented since
one of the phases is not energized during each of the commutation sequences [6].
For the case when phase C is the phase that is not fed by the inverter the phase
voltages will be

dia
Va = Ria + L + ea + Vn (2.1)
dt

dib
Vb = Rib + L + eb + Vn (2.2)
dt

Vc = ec + Vn (2.3)
where Vn is the motor neutral voltage with reference to ground. Since current only
flows through the motor from phase A to phase B the current in those phases will
be equal but opposite. This gives

ia = −ib (2.4)

7
By adding (2.1)-(2.4) the following expression is obtained

Va + Vb + Vc = ea + eb + ec + 3Vn (2.5)
and from the back-EMF waveforms shown in Figure 2.4 it can realized that the
sum of the back-EMFs at a zero crossing point is equal to zero. This reduces (2.5)
to
Va + Vb + Vc
= Vn (2.6)
3
and is used in order to determine when the back-EMF crosses the virtual ground
of the motor in each phase.
The next step in the commutation sequence occurs 30◦ after the zero crossing
point which also can be seen in Figure 2.4. So by measuring the phase potentials
and implementing an algorithm it is possible to estimate the rotor position and
the needed switching state in the sequence.
1 2 3 4 5 6 1 2 3 4

ea

0 60 120 180 240 300 360 / 0 60 120 180 240

eb

0 60 120 180 240 300 360 / 0 60 120 180 240

ec

0 60 120 180 240 300 360 / 0 60 120 180 240


Degrees [°]

Figure 2.4: Ideal back-EMF voltages

8
2.3 Gate Driver
The N-channel Metal Oxide Semiconductor Field Effect Transistor (MOSFET)
needs a gate-source voltage in the magnitude of 10 − 12 V in order to fully behave
like a switch. This imposes a problem for the upper switch in each of the half
bridge sections of the three phase inverter since the source potential will be equal
to the input voltage. One way to solve this problem is by using a gate driver with
a bootstrap capacitor. This method is quite simple and effective but it has certain
limitations [10]. One such limitation is that the duty cycle and the on-time of
the MOSFET cannot be too large due to the fact that the bootstrap capacitor
recharges during the off-time of the MOSFET. If a very high duty cycle is required
a charge pump circuit which makes it possible to recharge the capacitor during
the on-time of the transistor can be implemented [11].
The gate driver is used as a power amplifier between the Integrated Circuit
(IC) which is acting as a controller, such as a DSP, and the power MOSFET. It
makes sure that there is sufficient current in order to charge and recharge the gate
capacitance of the MOSFET. A typical connection of a gate driver IC can be seen
in Figure 2.5, where CB is the bootstrap capacitor. A bootstrap circuit consists
of a capacitor and a diode. The capacitor is charged through the diode when
the lower MOSFET is on, which means that Vs is connected to ground. When
the upper MOSFET should be turned on the lower MOSFET is turned off and
the negative side of the capacitor is then connected to Vs and the positive side is
connected to the gate, V OA, through the gate driver circuit. This will provide the
needed gate voltage to turn on the upper MOSFET [11].

Vdriver,A VBAT

Vdriver,B

Figure 2.5: A typical connection of a high-side/low-side gate driver IC with a


bootstrap capacitance, CB

9
The value of the bootstrap capacitor is proposed to be
∆QBS,min
CBS,min = (2.7)
∆VBS,max

where ∆QBS,min is the minimum charge the capacitor must supply to turn the
MOSFET on and ∆VBS,max is the maximum allowed voltage dip in the capacitor.
The minimum charge is calculated by
IQBS,max ICBS,leak
∆QBS,min = QG + QLS + + (2.8)
fs fs
where QG is the required gate charge of the MOSFET, QLS is the level shift charge
required by the driver, IQBS,max is the maximum current that is required by the
floating section of the driver, ICBS,leak is the leakage current of the bootstrap
capacitor and fs is the switching frequency. The maximum allowed voltage dip is
calculated by

∆VBS,max = VDD − Vf − VLS − VGS,min (2.9)
where VDD is the positive supply voltage, Vf is the voltage drop across the boot-
strap diode, VLS is the voltage drop across the lower MOSFET and VGS,min is the
minimum required gate-source voltage to turn the MOSFET on. VDD − Vf − VLS
is the voltage which the capacitor will be charged to.
In order to have a safety margin from this worst case voltage drop the rule of
thumb is that the value obtained in (2.7) is multiplied by 15.

10
3 Modeling and Simulation
In this chapter the motor model and three phase inverter model are introduced.
The models are used to simulate the three phase inverter with a BLDC motor in
Simulink. In order to define the design framework a requirement specification was
formulated.

3.1 BLDC Motor Model


The mathematical model which was used in order to simulate the BLDC motor
consisted of an electrical- and a mechanical-part.
If the mutual inductance is assumed to be constant when the motor rotates
the voltage in the stator windings can be expressed as

dia
Va = Ria + L + ea (3.1)
dt
dib
Vb = Rib + L + eb (3.2)
dt
dic
Vc = Ric + L + ec (3.3)
dt
where Va , Vb , Vc is the terminal voltage, R is the stator resistance, ia , ib , ic is the
stator phase current, L is the stator inductance and ea , eb , ec is the induced back-
EMF in each phase.
The trapezoidal back-EMF in a 3-phase BLDC motor is related to a function
of rotor position where each phase is 120◦ phase shifted and given by

ea = Ke ωm F (θe ) (3.4)

eb = Ke ωm F (θe + ) (3.5)
3

ec = Ke ωm F (θe + ) (3.6)
3
where Ke is the motor back-EMF constant, ωm is the rotor speed, θe is the electrical
rotor angle and F is the trapezoidal shape reference function with respect to rotor
position, with boundaries between +1 and -1.

0 ≤ θe < 2π


 1 3
1 − 6 (θ − 2π )
 2π
≤ θe < π
π e 3 3
F (θe ) = (3.7)


 −1 π ≤ θe < 5π3
−1 + π6 (θe − 5π 5π


3
) 3
θ e < 2π
Since there is no wire connected to the motor’s neutral phase the phase-to-
phase voltage equations are used in order to control the motor. The phase-to-phase
voltage equations are derived from (3.1)-(3.3) and turn into

d
Vab = R(ia − ib ) + L (ia − ib ) + eab (3.8)
dt
11
d
Vbc = R(ib − ic ) + L (ib − ic ) + ebc . (3.9)
dt
Only (3.8) and (3.9) will be needed since the third phase-to-phase voltage will
be a combination of the other two. In order to obtain the state space model (3.8)
and (3.9) are combined together with the fact that the sum of all phase currents
simultaneously will be zero, ia + ib + ic = 0, which gives
dia R 2 1
= − ia + (Vab − eab ) + (Vbc − ebc ) (3.10)
dt L 3L 3L
dib R 1 1
= − ib − (Vab − eab ) + (Vbc − ebc ) (3.11)
dt L 3L 3L
The last of the currents is given by the following equation

ic = −ia − ib (3.12)
The total electric torque produced by the BLCD motor is the summation of
the electric torque produced in each phase.
ea ia + eb ib + ec ic
Te = Ta + Tb + Tc = (3.13)
ωm
The mechanical part is represented by the following equation
dωm
Te = βωm + J + TL (3.14)
dt
where B is a constant of friction, J is the rotor and coupled shaft inertia and TL
is the load torque.
The Simulink model of the ideal BLDC motor is then obtained by (3.10)-(3.12)
together withs (3.13) and (3.14).

12
3.2 Three Phase Inverter Model
Simulating an inverter of a BLDC motor in Simulink has been proven to be a bit
difficult due to the complications in simulating the freewheeling diodes. Baldursson
[12] proposed a method in order to solve this problem. It simulates the freewheeling
diodes as voltage sources in order to make sure that the freewheeling currents only
can flow in one direction. The diode model is implemented into a Matlab function.
This function is then implemented in Simulink which uses the phase currents, back-
EMF, rotor position and dc-source voltage as inputs. For each of the different
position intervals showed in Table 2.2 the function will output different voltages
to the motor.

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure 3.1: Current path through the three phase inverter for the interval 0 − 60◦
where the green path represents the current through the active phases and the red
path represents the commutating current from the previous switching sequence

Figure 3.1 represent the interval between 0−60◦ where the different output voltages
can be derived for the case when there is a current through the freewheeling diode,
in this case D6. When the current through the freewheeling diode is zero, blocking,
the diode will be represented by a voltage source, in this case Vbc , to make sure
that the current only flows in one direction. A simplified case can be seen in Figure
3.2 for the interval between 0 − 60◦ .
When ic 6= 0 the three phase-to-phase voltages can easily be derived from Figure
3.1

Vab = Vd (3.15)

Vbc = 0 (3.16)

Vca = −Vd (3.17)

13
ea ec
R L Vn L R

eb

( ) D6

Vd
ia ic
L

Vbc
R

Figure 3.2: Circuit topology for the case 0 − 60◦ , where Vbc represents the voltage
over the reversed biased diode, D6

When ic = 0 Kirchhoff’s voltage law are applied around each mesh in Figure
3.2 to obtain the three phase-to-phase voltages

dia d(ia + ic )
Vd − Ria − L − ea + eb − L − R(ia + ic ) = 0 (3.18)
dt dt

dic d(ia + ic )
− Vbc − Ric − L − ec + eb − L − R(ia + ic ) = 0 (3.19)
dt dt
when ic = 0
di
Vd − 2Ri − ea − 2L − ea + eb = 0 (3.20)
dt
di
− Vbc − ec + eb − L − Ri = 0 (3.21)
dt
(3.20) and (3.21) gives
1
Vbc = (−Vd + ea + eb − 2ec ) (3.22)
2
So the three phase-to-phase voltages will be

Vab = Vd (3.23)

1
Vbc = (−Vd + ea + eb − 2ec ) (3.24)
2
1
Vca = (−Vd − ea − eb + 2ec ) (3.25)
2
The same derivation is applied for the rest of the switching sequences and result in
Table 3.1. The calculations for the five remaining cases are presented in Appendix
A.

14
Table 3.1: Inverter output voltages

θe Diode current Vab Vbc Vca


ic 6= 0 Vd 0 −Vd
0 − 60◦ 1 1
ic = 0 Vd 2
(−V d + e a + eb − 2ec ) 2
(−V d − e a − eb + 2ec )
ib 6= 0 0 Vd −Vd
60 − 120◦ 1 1
ib = 0 2
(V d + e c + ea − 2eb ) 2
(V d − e c − ea + 2eb ) −Vd
ia 6= 0 −Vd Vd 0
120 − 180◦ 1 1
ia = 0 2
(−Vd − eb − ec + 2ea ) Vd 2
(−Vd + eb + ec − 2ea )
ic 6= 0 −Vd 0 Vd
180 − 240◦ 1 1
ic = 0 −Vd 2
(Vd + ea + eb − 2ec ) 2
(Vd − ea − eb + 2ec )
ib 6= 0 0 −Vd Vd
240 − 300◦ 1 1
ib = 0 2
(−V d + e c + ea − 2eb ) 2
(−V d − e c − ea + 2eb ) Vd
ia 6= 0 Vd −Vd 0
300 − 360◦ 1 1
ia = 0 2
(Vd − eb − ec + 2ea ) −Vd 2
(Vd + eb + ec − 2ea )

15
3.3 Requirement Specification
In order to be able to define a framework for the design criterias of the drive system,
such as selection of motor, battery technology and the controller specifications,
several models and simulations are required. This needs to be done in order to be
able to determine the maximum power, speed, current and voltage as well as the
total energy needed for the system. Vehicle dynamics can be modeled in intricate
ways, however a longitudinal vehicle road serves well for the purpose of determine
the maximum limits of a drive system [13].

3.3.1 Longitudinal Vehicle Dynamic Model


In the longitudinal vehicle dynamic model the vehicle and the forces acting upon
it can be described with a free body diagram represented in Figure 3.3. In this
model the vehicle’s center of mass where all of its weight is concentrated is used
as a reference point. The forces that will be taken into account for are the force of
gravity, the force of friction due to the rolling resistance exerted by the road and
wheel interaction, the aerodynamic drag force and the propulsive force exerted by
the electric motor at the wheel. The sum of the forces in the system will determine
acceleration or deceleration of the vehicle [13].

V veh
Fd

α)
sin(
Fg
Fp
Ff

α Fg

Figure 3.3: Free body diagram

The system can be modeled as


dvveh
Fp = mveh + Fd + Ff + Fg sin(α) (3.26)
dt
where Fp is the force of propulsion exerted by the motor, Fd is the aerodynamic
drag force, Ff is the frictional force between the road and the wheels, Fg is the
gravitational force α is the slope angle and mveh is the total vehicle mass. The
tractive power needed to be exerted by the motor is given by (3.27)
dvveh
Pp = Fp vveh = mveh vveh + Fd vveh + Ff vveh + Fg sin(α)vveh (3.27)
dt
dvveh
Pp = mveh vveh + Pd + Pf + Pg (3.28)
dt
where Pd is the power exerted on the vehicle due to the aerodynamic friction also
known as the drag power, which is described by (3.29), Pf is the frictional power

16
between the wheels and the road, which is shown in (3.30), and Pg is the power
exerted by gravitation which is described in (3.31).
1 2
Pd = Fd vveh = Cd Af ρa vef f vveh (3.29)
2
where Cd is the drag coefficient, Af is the effective area of the vehicle perpendicular
to the direction of motion, ρa is the density of air, vef f is the velocity of the vehicle
relative to air and vveh + vair .

Pf = Ff vveh = Crr FN vveh (3.30)

where Crr is the coefficient of rolling resistance and FN is the normal force.

Pg = Fg vveh = mveh gsin(α)vveh (3.31)

where g is the acceleration due to gravity.

3.3.2 Drive System Requirement Specification


Several drive cycle models were made in order to calculate the current and the
power output needed in the different drive cycle scenarios. In order to determine
the maximum peak power and current needed, a worst case drive cycle was im-
plemented. This was realized into a slope with a 15◦ incline where the vehicle
accelerated from stationary to full speed in five seconds. To determine the nec-
essary current during regular driving condition, a drive cycle on flat surface was
implemented. A worst case scenario was implemented in order to determine the
maximum amount of power needed. It was calculated to approximately 2 kW
when accelerating from stationary to full speed, 20 km/h, at an incline of 15◦ in 5
seconds.
In addition the battery technology and battery voltage needs to be selected.
The battery voltage is set in discrete steps by the number of battery cells chosen
and by their nominal voltage. For the purpose of driving a light electric vehicle
weight and size has to be minimized. To reduce the weight and the size, a high
power density is needed. In order to be economically viable the high power density
must come at a low cost. From these design criteria’s a six cell lithium polymer
battery (LiPO) with a nominal cell voltage of 3.7 V and especially thin design
and was chosen. With the nominal battery voltage set to 22.2 V it is possible to
determine the maximum current to 90 A and to select a BLDC motor which is
able to handle the voltage and currents up to at least 90 A for a short period.

17
4 Inverter Design
The inverter layout was divided into two different PCBs, a Control PCB and a
Power PCB. This was done in order to separate the high power components from
the low power components. A four layer FR-4 PCB was used for the control circuits
and a one-layer aluminum PCB was used for the power circuits. The aluminum
was used in order obtain an improved cooling performance for the transistors.
Due to layout optimization and the component shape the current sensor and
the gate drives were placed on the Control PCB although they usually are placed
on the Power PCB.
An electronic design software called Altium Designer from Altium where used
to draw both the schematics and PCBs.

4.1 Control PCB Schematic


The control PCB consists of low voltage logic components which are necessary
for the control of the electronic commutation of the motor. An overview over the
control PCB can be seen in Figure 4.1 where every major circuit is divided into a
block. All of the circuit schematics for the Control PCB can be seen in Appendix
B.

Figure 4.1: Overview of the different blocks in the PCB

18
All of the different blocks will be described in more detail in the following
sections.

4.1.1 Voltage Regulators

The voltage regulator block converts the battery voltage down into four different
voltage levels. The voltage values were 10 V, 5.0 V, 3.3 V and 1.9 V where 3.3 V
and 1.9 V also were used as analog voltages.
To transform the battery voltage down to 10 V a buck converter was used.
The 10 V was used in order to supply the high and the low side driver outputs of
the gate drivers with power. The voltage was also used in a gate amplifying stage
in order to maintain a good gate signal to the MOSFETs on the power PCB.
The 5.0 V voltage level was also acquired by using a buck converter. This
voltage level was used in order to supply, the radio receiver, the encoder, the level
shifter and the gate driver with power.
A buck regulator with dual outputs was used in order to attain the digital 3.3 V
and 1.9 V from the digital 5.0 V . Both of the voltages were used to supply the
DSP and the 3.3 V was also used to supply two Light-Emitting Diodes (LEDs), the
level shifter and the back-EMF circuits. The same analog voltages were obtained
by using linear power regulators with the 5.0 V as input. These voltages where
used to supply the DSP and the current sensor.
A supervision circuit with dual inputs was used in order to detect power fails
of the voltages, which supply the DSP. This was implemented as a safety function
to protect the DSP and the critical components supplied by the same voltage.

4.1.2 Radio Receiver

The radio receiver block consists only of a connector for a radio receiver with
three analog inputs which are connected to the DSP and a 5.0 V output. The
radio receiver and the transmitter were third-party components which normally
are used for radio-controlled cars. The purpose of this circuit was to be able to
control the vehicle wirelessly in the future.

4.1.3 Cell Protection

Since a lithium polymer battery was used as power supply the voltages from each
of the cells needed to be monitored by the DSP. This was done to reduce the risk
of damaging the cells under running operation. An input header for the balance
connector of the battery was mounted along with six differential amplifiers to
obtain the different cell voltages. The voltage protection circuit is limited to only
measuring six cells due to the design with six differential amplifiers. The nominal
cell voltage is 3.7 V and the voltage of each cell should be in the range from 4.2V
to 2.7 V in order to protect the cell from taking any damaged because of an under
or over voltage. This gives a nominal voltage of 22.2 V for the input supply voltage
to the inverter. The gain of the differential amplifiers was set to 0.62 to be able to
sample within the full signal range with the Analog-to-Digital Converter (ADC).

19
4.1.4 LED
The LED block consists of two LEDs, one green and one red, and two MOSFETs
which are used to switch the LEDs on and off. The LEDs act as indicator lights for
the DSP to show if the controller is working and in order to make the debugging
process easier.

4.1.5 Encoder
A quadrature encoder was used for verification and comparison of the two different
sensorless methods. The outputs from the encoder were A, B and index pulse along
with their inverted signals. All of these signals were used to obtain an accurate
position of the rotor and rotor direction. The A and A signals were filtered with
a common mode choke and amplified in a differential amplifier to achieve a more
stable and reliable A-signal. The B signals and the index signals where filtered
and amplified in the same way. These three signals were then sent to the DSP in
order to calculate the position.

4.1.6 DSP
The DSP functions as a brain for the three phase inverter. It is here all of the
input and output signals are processed in order to make it possible to control the
switching sequences. All of the signals used by the three phase inverter can be seen
in Table 5.1 and Table 5.2. Filters were connected to each of the DSP’s analog
inputs to reduce signal noise. This improved the signal quality and made it easier
to use the signals in the software. A Joint Test Action Group (JTAG) connector
was also connected and used for programming and debugging the DSP.

4.1.7 Back-EMF
In the back-EMF block, the phase potentials were measured in order to be used
by the two sensorless methods. The signals were filtered to reduce noise from
the PWM switching and scaled down to use the full range of the ADC conversion.
Back-EMF A, back-EMF B and back-EMF C, were measured with the DSPs analog
inputs which can be seen in Figure 4.2. Three resistors from each phase were
connected in parallel in order to recreate the potential of the motor neutral. The
motors virtual neutral was also measured by the DSP ADC. These four analog
input signals along with a digital sensorless algorithm, were used for one of the
sensorless methods.
The other sensorless method which was implemented used a similar approach,
but instead of analog signals it used three digital signals as input to the DSP. The
signals, Zero Cross A, Zero Cross B and Zero Cross C, was obtained from three
comparators, which also can be seen in Figure 4.2.
When the phase potential is higher than the virtual neutral the output of the
comparator will be high and when the phase potential is low, vice versa. The
shapes of these signals were very similar to the ones from a hall sensor. An
algorithm was also used in this case to estimate the next needed switching state.
Both of the algorithms are based on the equations in Section 2.2.2.

20
Back-EMF A
Phase A

Zero Cross A

Back-EMF B
Phase B

Zero Cross B

Back-EMF C
Phase C

Zero Cross C

Neutral Voltage

Figure 4.2: Schematic overview of the back-EMF block

4.1.8 Level Shifter


The level shifter was used to connect digital circuits which used different voltage
levels. For example the output signals from the encoder were shifted down from
5.0 V to 3.3 V , which the DSP is able to measure. In the other direction, the
PWM outputs from the DSP were shifted up from 3.3 V , to 5.0 V , which was
required for the gate driver.

21
4.2 Power PCB Schematic
An overview over the power PCB with all of the major parts divided into different
blocks can be seen in Figure 4.3. All of the circuit schematics for the power PCB
can be seen in Appendix C.

Figure 4.3: Overview of the different blocks in the PCB

All the blocks will be described more detailed in the following subsections.

4.2.1 Gate Driver


The gate driver that was used had both a high-side and a low-side driver along with
a bootstrap capacitor and a bootstrap diode at the upper MOSFET, which can be
seen in Figure 4.4. In order to achieve independent control of the MOSFETs both
the low side and high side input were used with an individual PWM signal. The
PWM output signals from the DSP with a voltage level of 3.3 V goes through a
level shifter to adjust the voltage level to 5.0 V . The adjusted voltage is compatible
with the gate driver inputs VIA and VIB.
The distances between the output signals of the gate driver and the input
signals to the MOSFETs need to be as short as possible in order to reduce parasitic
inductance in the traces. The inductance decrease the instantaneous current which
in turn decreases the speed of the switching [14]. This phenomenon lead to that
an amplifying stage was placed in front of the MOSFET gate.

22
.

Figure 4.4: The high-side/low-side gate driver IC with a bootstrap capacitance,


CB

The bootstrap capacitor, CB was selected according to (2.7)-(2.9). A fast


recovery diode was chosen as the bootstrap diode in order to reduce the timeframe
in which the bootstrap capacitor can discharge into VDD .

4.2.2 Half Bridge


There are three half bridge blocks, Half Bridge 1, Half Bridge 2 and Half Bridge 3,
which together compose the three phase inverter. Each block consist of two MOS-
FETs, one upper and one lower MOSFET which are connected to one common
gate driver IC.
The MOSFET switching scheme for BLDC motor control is presented in Table
2.2 and from this table it can be seen that two switches always are on except for
the dead time between the PWM pulses. Dead time is used in order to avoid that
both the upper switch and the lower switch are on at the same time. During the
dead time, the current will freewheel through the body diode of the MOSFET. So
the power dissipation of the MOSFETs in the three phase inverter will be

Ptotal = 2Pcond 1 − Tdead + 2Pswitch + 2Pcond,diode Tdead (4.1)
where Pcond and Pcond,diode are the conduction losses of the MOSFET and Pswitch
are the switching losses of the MOSFETs. Which are

2
Pcond = Ion Rds(on) (4.2)

23
Vds Ion fs 
Pswitch = tr + tf (4.3)
2

Pcond,diode = Vf Ion (4.4)


where Rds(on) is the on state resistance of the MOSFET, Ion is the conduction
current, Vds is the drain to source voltage when the MOSFET is off, tr and tf is
the turn on and turn off times, fs is the switching frequency and Vf is the diode
forward voltage drop [15].
The power dissipation during a worst case scenario was calculated to be 32 W ,
which correspond to a loss of 1.6 %. The current used in this worst case was
90A, from Section 3.3.2, and the nominal battery voltage of 22.2 V . The selected
MOSFETs were specified to handle these parameters.

4.2.3 DC-Link Capacitors


A capacitor bank consisting of two electrolytic capacitors and four ceramic capac-
itors were connected in parallel and placed between the battery and the three half
bridges in order to obtain a steady bus voltage. The two electrolytic capacitors
filter the low frequency voltage ripple and the four ceramic capacitors filter the
high frequency voltage ripple. The following expression was used to calculate the
minimum value of the electrolytic capacitor
Imotor,peak
Cmin = (4.5)
∆V fs
where Imotor,peak is the peak motor current, ∆V is the maximum allowed voltage
ripple and fs is the switching frequency [16].

4.2.4 Current Measurement


Current control was used in order to regulate the motor output power. This makes
it necessary to measure the current continuously. Since the BLDC motor only has
one active current at the time, two phases conducting, there is no need to measure
all three phase currents. Instead one current sensor was mounted between the
battery and the three phase inverter.
A hardware shutdown function consisting of two comparators where used as
a safety function in case of a software or external failure. The two comparators
were set to trigger on two different currents, one for maximum allowed positive
current and one for maximum allowed negative current with the analog current
sensor signal used as an input.

4.2.5 Temperature Measurement


Near one of the half bridges a negative temperature coefficient resistor was mounted
to be able to measure temperatures. The temperature was monitored by the DSP
as a software safety function and is able to trig a shutdown when the temperature
becomes too high.

24
4.3 PCB Layout
Circuit noise and disturbances are a common problem when realizing a schematic
circuit layout into a PCB layout. This is was mainly due to the fact that the
components are not ideal and that the signal traces cannot be made infinitely short
and thereby give rise to phenomena’s such as Electromagnetic Interference (EMI)
because of unwanted coupling to other circuits caused by capacitive, inductive or
conductive coupling. A first approach in order to reduce those unwanted effects
when designing a PCB is to follow PCB design guidelines. Those help the designer
to make general layout decisions without having to stop and analyze each and
every step and thereby speed up the design process significantly. This makes the
guidelines a very cost efficient tool in order to reduce EMI instead of having to rely
upon expensive containers such as metallic enclosures [17], [18]. There are however
almost as many guidelines as there are design engineers. It is therefore necessary
to be critical and investigate if the guidelines are up to date and in which specific
case each guideline apply [17].

4.3.1 Control PCB Layout


The Control PCB layout was influenced by a number of aspects of which the major
ones will be discussed in this section. The first aspect was to determine the PCB
dimensions. Since the application is light electric vehicles this is a crucial factor
since it needs to be able to fit and to be mounted in a good way. The two PCBs,
the Control PCB and the Power PCB were placed beside each other, instead of on
top of each other, because of the definite height constraint of the application.
The control PCB was decided to be a multilayer PCB and to have four layers
made out of the regular glass epoxy panel FR-4 with copper foil laminated on each
layer. The four layers made it possible to have a ground plane, a power plane and
two signal layers. The four layer structure was a very cost effective approach in
order to reduce current loops and trace inductances that can cause EMI and signal
noise [17].
Circuits with similar noise characteristics were grouped together such as the
different switching voltage regulators and the encoder signal input interface. The
analog circuits, the DSP’s analog inputs and the linear regulators, were put far
from the switched regulators in order to reduce the risk of noise coupling with the
analog measurements. A separate ground for the analog circuits was also realized
with the same intention.
The components within a block were placed close to each other with the main
focus on minimizing the signal current loop area. Having a ground plane also
reduce the signal current loop area since it provides the signals with a close and
low-impedance path [17].
The different power planes were placed on a single layer which prevents cou-
pling between two different power buses. This also allows for an efficient design
since devices with same voltage rating could be grouped together. To further min-
imize EMI, decoupling capacitors were placed as close to the power supply pins as
possible with direct via holes down to the ground plane.
All of the connectors onto and from the PCB were located at the edges of the

25
board in order to minimize the length of the connector cables. Connector headers
leading to the Power PCB were aligned with the corresponding connector headers
to allow for short wiring between the circuit boards.

4.3.2 Power PCB Layout


The Power PCB is a single layer PCB made out of aluminum which gives it sig-
nificantly better thermal conductivity properties than a regular PCB such as FR4
made out of glass epoxy panel. The aluminum PCB is composed of a three layer
structure of, a circuit layer made out of a copper foil, a dielectric insulation layer
with good thermal conductivity properties and a metal substrate [19]. The ther-
mal conductivity of the aluminum PCB is 2.2 W/mK which is six times higher
than the thermal conductivity for the FR4 material [20].
The component placement became even more critical since only one circuit
layer was available and components needed to act as bridges for the traces in
order to be able to minimize the current loops effectively. The conduction traces
where made into planes in order to support the high currents of up to 90 A.

26
5 Software Implementation
The DSP which was used to control the motor was a Texas Instruments TMS320F28335.
It is a 32-bit floating-point processor with a clock rate of up to 150 M Hz. It has
floating-point capability which makes the processor well suited for motor control
in relation to fixed-point because of its capability of having a wider range of val-
ues and more accurate measurements. The DSP is equipped with both on-board
Random Access Memory (RAM) and internal flash memory for standalone control.
There are 16 ADC inputs with a 12-bit resolution with an input range of 0−3.0 V .
There are also 88 General Purpose Input/Output (GPIO) pins where some of them
have special features such as PWM and Encoder support. It is also possible to
make interrupts with different types of trigger signals. The different pins and
software functions that are being used are further explained in this section.
An overview over the system can be seen in Figure 5.1, where the different
functions are represented in different blocks.

Figure 5.1: DSP block layout overview

For the software implementation Code Composer StudioTM v5 (CCS) with li-
braries from ControlSUITETM for C2000TM was used.
The DSP operation procedure starts with a hardware initialization where the
processor first resets and then initialize functions like stack pointers, registers,
clocks and watchdog [21]. After the hardware initialization is done the software
initialization starts and settings are loaded to the RAM. Examples of settings are
the PWM switching frequency, assigning the PWM outputs and other features
for the GPIO pins and the ADC channels. An overview of the pinouts can be
seen in Table 5.1 and Table 5.2. The software goes into a waiting loop after the
initializations is done and wait for trigger signals for interrupts. The trigger signal

27
for the interrupt was set at the end of every PWM cycle, which has a switching
frequency of 20 kHz. Flow chart for the startup can be seen in Figure 5.2.

Figure 5.2: Main procedure flow chart

The algorithms that need to be run in real time are placed in the interrupt
block. The flow chart of the most necessary functions in the interrupt block can
be seen in Figure 5.3.

28
Figure 5.3: Interrupt procedure flow chart

29
All of the ADC channels were set to sample simultaneously with the PWM
signal as trigger. The input voltages were then attained with the following formula

4095
D= Vin (5.1)
3.0
where D is the digital number converted from the ADC and Vin is the input
voltage to the DSP. 4095 is the resolution of an ADC with 12-bits and 3.0 is the
range of the input voltage. The digital numbers are then scaled with different
factors, depending on the hardware, to determine the true voltages. The signals
which are sampled by the ADC are represented in Table 5.1 together with a short
description.

Table 5.1: Input signals to the ADC module


Input Name Description
ADC A0 I meas DC-link current
ADC A1 Radio ref Torque reference from radio control
ADC A2 Torque ref Torque reference wired
ADC A3 Temp-NTC Temperature from the three phase inverter
ADC A4 Back-EMF A Voltage potential in phase A
ADC A5 Back-EMF B Voltage potential in phase B
ADC A6 Back-EMF C Voltage potential in phase C
ADC A7 Virtual n Virtual neutral point of the motor
ADC B0 Cell voltage 1 Voltage battery cell 1
ADC B1 Cell voltage 2 Voltage battery cell 2
ADC B2 Cell voltage 3 Voltage battery cell 3
ADC B3 Cell voltage 4 Voltage battery cell 4
ADC B4 Cell voltage 5 Voltage battery cell 5
ADC B5 Cell voltage 6 Voltage battery cell 6
ADC B6 Ch 1 ext Extra channel from radio control (Not in use)
ADC B7 Ch 3 ext Extra channel from radio control (Not in use)

There are two different methods implemented to estimate the rotor position,
one using ADC channels which samples the phase voltage potential and another
using the digital inputs with a comparator triggering on the zero crossing of the
back-EMF. For the method using the ADC the signal value is continuously com-
pared to the value of the virtual neutral point, which is obtained from a resistor
network with three resistors connected in parallel with the motor, see Section 4.1.7.
All the signals have digital filters implemented in order to reduce high-frequency
switching noise. When the back-EMF value and the neutral point value are equal,
the DSP indicates that a zero-cross event has occurred. The time difference com-
pared to the previous zero-crossing event is also calculated. This time divided by
two is then used to delay the output of the commutations sequence estimator with
30◦ . This is done because of the zero-cross event occuring 30◦ before the next
commutation sequence should start, which can be seen in Figure 2.2. The motor
direction is obtained by comparing in which order the zero-cross events occurs.

30
Six of the input signals to the ADC were used to measure the cell voltages of
the battery pack. If one of these cells exceeds its upper or lower voltage limits
the program will shut down the controller. The same will happen if the input
voltage to the converter exceeds its upper or lower voltage limits. This is a safety
function for the battery to minimize the risk to damage the cells. Other safety
functions that were implemented were temperature measurement and over current
protection, with both hardware and software.
The digital input and output pins that are being used are represented in Table
5.2 together with a short description.

Table 5.2: Input and output signals of the DSP


Pin Input/Output Name Description
GPIO 0 Output PWM-1a PWM signal for S1
GPIO 1 Output PWM-1b PWM signal for S2
GPIO 2 Output PWM-2a PWM signal for S3
GPIO 3 Output PWM-2b PWM signal for S4
GPIO 4 Output PWM-3a PWM signal for S5
GPIO 5 Output PWM-3b PWM signal for S6
GPIO 10 Input PSC PFO Power fail input
GPIO 11 Output PSC WDI Watchdog timer output
GPIO 12 Input I trip Hardware current trip
GPIO 20 Input QEP-A Encoder A pulse
GPIO 21 Input QEP-B Encoder B pulse
GPIO 23 Input QEP-I Encoder I pulse
GPIO 26 Input ON/OFF On/Off button for the sensor
GPIO 27 Output Disable Disable the gate drivers when high
GPIO 29 Input Zero cross A Signal from back-EMF comparator, phase A
GPIO 30 Input Zero cross B Signal from back-EMF comparator, phase B
GPIO 31 Input Zero cross C Signal from back-EMF comparator, phase C
GPIO 76 Output Led 1 Red LED
GPIO 77 Output Led 2 Green LED

The other method which is implemented to estimate the rotor position works
in a similar way to the one which is sampled by the ADC. The difference is that
the zero-cross event is detected with comparators. So when the back-EMF voltage
is higher than the neutral point, the comparator output will be high and when the
back-EMF voltage is lower than the neutral point, the output will be low. In this
way the direction of the rotation and the commutation sequence can be calculated.
Encoder support was implemented to be able to evaluate the sensorless meth-
ods. The enhanced Quadrature Encoder Pulse (QEP) register and special pins
reserved for this purpose where used to calculate the angle, the direction of the
rotation and the speed.
Another register which was used in the DSP was the register of the enhanced
PWM. This register controls the PWM output signals, which is varied to change
the speed and the torque of the motor. The output depends on which of the
switching sequences the rotor is in and of the duty cycle. These two parameters

31
are the inputs to the PWM function. The switching sequence is received from
either one of the two sensorless algorithms or the encoder and the duty cycle
is received from the Proportional-Integral (PI) regulator, see Figure 5.1. Table
5.3 represents the PWM switching of the transistors depending on the switching
sequence.

Table 5.3: Commutation states for the three phase inverter


Sequence S1 S2 S3 S4 S5 S6
1 PWM PWM OFF ON OFF OFF
2 PWM PWM OFF OFF OFF ON
3 OFF OFF PWM PWM OFF ON
4 OFF ON PWM PWM OFF OFF
5 OFF ON OFF OFF PWM PWM
6 OFF OFF OFF ON PWM PWM

32
6 Verification and Evaluation of Results
In this section the simulation and laboratory measurement results will be presented
and evaluated.

6.1 Board Verification


A major part of the project was put into functionality verification. Already at
an early stage of the inverter design process, jumper resistors were used in order
to simplify the validation and troubleshooting procedure. They also reduce the
damage of circuit errors and the short-circuit failures, since they isolate an error
within a specific circuit function, for example one of the switched power regulators.
This made the troubleshooting process more structured and significantly reduced
the risk of damaging components. It also saved a lot of time since the error was
isolated within a smaller circuit and not the whole circuit board. The LED lights
also proved to be very valuable for the purpose of troubleshooting. By receiving
direct visual feedback the process went much faster.
All the power levels were verified to their specified value. This was an important
and necessary result in order to have any functionality at all from any of the
components. The next major step was the validation of the onboard DSP, this
included; establishing a connection to the DSP, compiling to its flash memory and
verifying the input and output signals. The PWM output signals were measured
in order to verify that the PWM control registers had been setup correctly. The
measured PWM outputs of the switches S1, S2, S5 and S6 which corresponds to
the ones in Table 5.3 is illustrated in Figure 6.1.

33
2 3 4 5 6 1 2 3 4 5 6 1

Figure 6.1: PWM outputs from the DSP with a 50 % duty cycle with motor in
no load operation, blue S1, magenta S2, yellow S5 and green S6 at [5 V /div] and
[1 ms/div]

The measured signals corresponds correctly to the desired state in Table 5.3.
There is however also a dead time on the measured PWM outputs which is not
visible in Figure 6.1. The dead time is necessary in order to protect the three
phase inverter from a shoot through in one of the half bridges.
The gate driver output signal was measured in order to validate that the am-
plification of the PWM signal worked as intended. The signal for the same four
switches after the gate driver amplification stage is presented in Figure 6.2.

34
2 3 4 5 6 1 2 3 4 5 6 1

Figure 6.2: PWM outputs from the gate driver with a 50 % duty cycle with motor
in no load operation, blue S1, magenta S2, yellow S5 and green S6 at [10 V /div]
and [1 ms/div]

The similarities of Figure 6.1 and Figure 6.2 shows that the gate driver properly
amplifies the PWM input signals. In this case 3.3 V is amplified to 5.0 V through
the level shifter and then amplified to 10 V through the gate driver. The safety
shutdown functionality of the gate drivers was also tested and validated.
The output signals of the encoder, the A pulse and the A pulse, is presented
in Figure 6.3 along with output from the differential amplifier.

35
Figure 6.3: Encoder output signals, green differential amplifier, magenta A, blue
A, [100 mV /div] and [20 µs/div]

The encoder signals from the measurement presented in Figure 6.3 has a very
good looking shape without any noise and would be able to function well without
the differential amplifying stage. The measurements were however conducted in
a lab environment and not in a real field test with a lot of exterior noise. In
a case with a lot of external disturbances the differential stage can improve the
reliability. The differential amplifiers chosen for this stage were a bit slow and if
replaced, they could probably give a considerably faster response.
The current measurement signal presented in Figure 6.4 has some noise on
top of the actual signal. This was mainly caused by the necessary placement of
the current sensor, close to battery connectors. This was however also close to
the switched power regulators which gives rise to a lot of noise. This signal is of
major importance in order for current control to be able to function as intended.
The noise level was a lot higher than the current measurement sensitivity. This
problem was solved by using a digital filter.

36
Figure 6.4: Current sensor analog output voltage with circuit noise [100 mV /div]
and [1 ms/div]

6.2 Sensored and Sensorless Evaluation


The Simulink motor model was used in order to be able to confirm that the sen-
sorless control algorithms functioned as intended. To be able to have a reference
model, a sensored control was first implemented. The back-EMF of the simulated
BLDC motor in sensored operation is shown in Figure 6.5 and the corresponding
result from the in lab BLDC motor is shown in Figure 6.7.

37
8

2
Voltage [V]

−2

−4

−6

−8

1 2 3 4 5 6 7 8
Time [ms]

Figure 6.5: Back-EMF of a simulated BLDC motor in sensored operation, yellow


Phase A, magenta Phase B, green Phase C and blue virtual ground

Figure 6.6: Measured phase potential of a BLDC motor in sensored operation


at a 100 % duty cycle, yellow Phase A, magenta Phase B and green Phase C,
[2 V /div] and [500 µs/div]

38
1 2 3 4 5 6 1 2 3 4 5

Figure 6.7: Measured filtered phase potential of a BLDC motor in sensored


operation at a 50 % duty cycle, yellow Phase A, magenta Phase B, green Phase C
and blue virtual ground, [200 mV /div] and [500 µs/div]

The unfiltered phase potential in Figure 6.6 and the voltage divided and filtered
phase potential in Figure 6.7 are both very similar to the simulated back-EMF in
Figure 6.5. This is because the phase potential is equal to the back-EMF in every
third switching sequence for each phase. For example in phase A in Figure 6.7
the back-EMF is visible during switching sequence three and six. This is when
the phase is not being driven. The filtered signal in Figure 6.7 has a trapezoidal
shape, with a PWM noise of 20 kHz.
The simulated model controlled with the sensorless algorithm gave the same
result as with the sensored operation presented in Figure 6.5. This was expected
because of the ideal characteristics of the model. The major difference was that the
simulated motor could not start in sensorless operation since it needs a back-EMF
to estimate the position.
The phase potential of a BLDC motor was measured with the three phase
inverter operating in the two different sensorless modes. The measurements are
presented in Figure 6.8 and in Figure 6.9. The first one uses comparators for
detection of the zero crossing of the back-EMF and the later one uses the analog
inputs of the DSP and digitally detects the zero crossing.

39
1 2 3 4 5 6 1 2 3 4

Figure 6.8: Measured filtered phase potential of a BLDC motor in sensorless


operation using comparators at a 50 % duty cycle, yellow Phase A, magenta Phase
B, green Phase C and blue virtual ground, [200 mV /div] and [500 µs/div]

40
1 2 3 4 5 6 1 2 3 4

Figure 6.9: Measured filtered phase potential of a BLDC motor in sensorless


operation using analog inputs at a 50 % duty cycle, yellow Phase A, magenta
Phase B, green Phase C and blue virtual ground, [ 200mV /div] and [500 µs/div]

The sensorless methods are fully functional however they both require a min-
imum motor RPM in order to be able to operate. The threshold RPM was mea-
sured to approximately 600 RP M for the method with comparators and roughly
300 RP M for the method which measures the phase potential and virtual mo-
tor neutral with analog inputs. The difference between the results is due to that
the hysteresis which is set to reduce the impact of noise is not adjustable for the
comparators. However the method which uses the ADCs is able to adjust the
hysteresis depending on the motor speed which gives the increased performance.
When the signal has been sampled it can be digitally filtered which also gives an
increased performance. The 300 RP M measurement is presented in Figure 6.10.
For light electric vehicles the required initial speed usually is not a problem since
it can be supplied by the driver.

41
Figure 6.10: Speed [200 RP M/div] versus Time [500 ms/div]

The simulated comparator output signal and the measured comparator output
signal presented in Figure 6.11 and Figure 6.12 looks very similar.

2
Voltage [V]

−2

−4

−6

−8

1 2 3 4 5 6 7 8 9
Time [ms]

Figure 6.11: Simulated back-EMF in phase C, green, with the comparator output
signal, yellow, and the virtual ground, blue

42
Figure 6.12: Measured phase potential in phase C, green [0.5 V /div], with
the comparator output signal, yellow [1 V /div], and the virtual ground, blue
[0.5 V /div], at [500 µs/div]

The comparator triggers correctly when the back-EMF crosses the virtual
ground. The same also applies for the two other phases. The three compara-
tor outputs give a similar signal sequence as corresponding hall sensors would.

43
6.3 Further evaluation
The phase A current during simulated motor operation and motor operation were
measured and presented in Figure 6.13 and Figure 6.14. The simulated and the
measured current have similar looking shapes.

1
Current [A]

−1
1 2 3 4 5 6 7 8 9 10
Time [ms]

Figure 6.13: Simulated current in phase A

Figure 6.14: Measured current in phase A, 1 V corresponds to 10 A, [500 mV /div]


, [ ms/div]

44
The phase currents have a small dip at the top and bottom which is because
of the current commutation due to the motor inductance when going from one
switching sequence to the other in the three phase inverter. For phase A the posi-
tive current dip occurs between switching sequence one and two and the negative
current dip occurs between switching sequence four and five from Table 5.3.
The implemented current regulator operates as expected and keeps the current
constant when changing loads. Current control is especially well suited for electric
vehicles since the current is proportional to the motor torque and thereby gives
the driver the same feedback experience as it would from a regular car throttle.
The battery cell protection circuit is verified to be operational with an emulated
battery. However a test with a real lithium ion battery with its balance connector
connected to the cell protection circuit needs to be conducted in order to fully
verify its functionality. A draw back with this circuit is that in its present state it
can only handle up to six cells. The circuit solution is also redundant since each
of the battery cells uses an analog input; these are usually few and expensive on
DSPs. A better solution to this problem would be to use a battery cell monitoring
solution that only communicates with the DSP through digital inputs and outputs.
If a low manufacturing cost is the prominent design factor then it is possible to
measure only a few of the cell voltages which are more likely to suffer from an
over- or under- voltage.

45
7 Closure
This section presents the conclusions as well as introducing some potential future
work.

7.1 Conclusion
The thesis purpose was to design and develop a three phase inverter for an ultra-
light electric vehicle with sensorless BLDC motor control and to evaluate the
result. A three phase inverter has been simulated, designed, built and evaluated.
The purpose has been fulfilled since the three phase inverter has been verified to
function as intended.
The three phase inverter supports two different sensorless algorithms based on
back-EMF measurement as well as support for sensored control. The two sensorless
algorithms give similar results however they require different surrounding compo-
nents as well as DSP inputs. The method using ADCs is preferred in this case
since the DSP had ADCs available and it required less surrounding components
compared to the one using comparators.
The three phase inverter has been made small enough to fit in an ultra-light
vehicle in its present state and is able to independently control a BLDC drive
system.

7.2 Future Work


From the knowledge acquired throughout the project there are a lot of design
improvements that can be made. One example is using fewer power levels which
would make it possible to remove a lot of components and another is only using
one position feedback method which also would allow for a smaller design. A DSP
with only the necessary functionality and inputs and outputs would also allow for
an improved and more efficient design.
Evaluation of the necessary cooling for the MOSFETs remains. Proper mea-
surements could determine if the aluminum PCB is required or if the design could
be fitted on only one PCB. This would make it possible to remove all connectors
between the two PCBs as well as the extra amplifying stages at the MOSFET
gates.
In order to improve the drive system reliability a connector for motor tem-
perature measurement should be implemented. Another cell protection circuit
that is able to protect more cells without using any of the ADC inputs should be
implemented.

46
References
[1] S.S Williamson el al., ”Comprehensive Drive Train Efficiency Analysis of Hy-
brid Electric and Fuel Cell Vehicles Based on Motor-Controller Efficiency Mod-
eling,” in Transactions on Power Electronics, 2006 , pp. 730-740.

[2] P. Waide and C.U. Brunner, ”Energy-Efficiency Policy Opportunities for Elec-
tric Motor-Driven Systems,” International Energy Agency, France, 2011.

[3] D. Hanselman, Brushless Permanent Magnet Motor Design, 2 nd. Ohio:


Magna Physics Publishing, 2006.

[4] AN885 - Brushless DC (BLDC) Motor Fundamentals, Microchip Technology


Inc., Chandler, AZ, 2003.

[5] A. Hughes, Electric Motors and Drives, 3 rd. Oxford, Great Britain: Elsevier,
2006.

[6] AN1083 - Sensorless BLDC Control With Back-EMF Filtering, Microchip


Technology Inc., Chandler, AZ, 2007.

[7] N. Mohan, T.M. Undeland, and W.P. Robbins, Power Electronics - Converters,
Application and Design, 3 rd. Hoboken: John Wiley and Sons Inc., 2003.

[8] K.A. Coke and S.D. Sudhoff, ”A Hybrid Observer for High Preformance Brush-
less DC Motor Drives,” in Transactions on Energy Conversion, 1996, pp. 318-
323.

[9] M. Konghirum, ”Automatic Offset Calibration of Quadrature Encoder Pulse


Sensor for Vector Controlled Drive of Permanent Magnet Synchronous Mo-
tors,” in TENCON, Melbourne, 2005, pp.1-5.

[10] P. Dwane et al., ”A Resonant High Side Gate Driver for Low Voltage Appli-
cations,” in Power Electronics Specialists Conference, Recife, 2005.

[11] Bootstrap Component Selection For Control IC’s, International Rectifier, El


Segundo, CA, 2001.

[12] S. Baldursson, ”BLDC Motor Modelling and Control - A Matlab R


/Simulink
R

Implementation,” M.S. thesis, Dept. Elect. Eng., Chalmers Univ., Gothenburg,


Sweden, 2005.

[13] P. Suntharalingam el al., ”Gear Locking Mechanism to Extend the Consistent


Power Operating Region of the Electric Motor to Enhance Acceleration and
Regenerative Braking Efficiency in Hybrid Electric Vehicles,” in Vehicle Power
and Propulsion Conference, Dearborn, MI, 2009, pp.103-108.

[14] L. Balogh, ”Design And Application Guide For High Speed MOSFET Gate
Drive Circuits,” Texas Instruments Inc., 2012.

47
[15] S.A Hossain and P. Reis, ”Effect of BLDC Motor Commutation Schemes
on Inverter Power Loss,” in International Conference on Electrical Machines,
Vilamoura, 2008, pp. 1-5.

[16] S.A Hossain and R. Pedro, ”Effect of BLDC Motor Commutation Schemes on
Inverter Capacitor Size Selection,” in International Conference on Electrical
Machines, Rome, 2010, pp. 34-36.

[17] T. Hubing, ”PCB EMC Design Guidelines: A Brief Annotated List,” in


International Symposium on Electromagnetic Compatibility, 2003. 2003.

[18] S. Muralikrishna and S. Sathyamurthy, ”An Overview of Digital Circuit De-


sign and PCB Design Guidelines - An EMC Perspective,” in Electromagnetic
Interference and Compatibility, Bangalore, 2008, pp. 567-573.

[19] J.K Park et al., ”Formation of Through Aluminum Via for Noble Metal
PCB and Packaging Substrate,” in Electronic Components and Technology
Conference, Lake Buena Vista, FL, 2011, pp. 1787-1790.

[20] Thermal Clad - HT-04503, The Bergquist Company, Chanhassen, MN, 2009.

[21] TMS320F28335 Digital Signal Controllers - Data Manual, Texas Instruments


Inc., 2012.

48
A Calculation of Inverter Output Voltage
A.1 Case 60 − 120◦

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure A.1: Current path through the three phase inverter for the interval 60 −
120◦ where the green path represents the current through the active phases and the
red path represents the commutating current from the previous switching sequence

ec eb
R L Vn L R

ea
( ) D3

Vd
ic ib
L

Vab
R

Figure A.2: Circuit topology for the case 60 − 120◦ , where Vbc represents the
voltage over a reversed biased diode

ib 6= 0

Vab = 0 (A.1)

Vbc = Vd (A.2)

Vca = −Vd (A.3)


When ib = 0 Kirchhoff’s voltage law are applied around each mesh in Figure A.2
to obtain the three phase-to-phase voltages

dic d(ic + ib )
− Vd − Ric − L − ec + ea − L − R(ic + ib ) = 0 (A.4)
dt dt
dib d(ic + ib )
− Vab − Rib − L − eb + ea − L − R(ic + ib ) = 0 (A.5)
dt dt
49
when ib = 0
di
− Vd − 2Ri − 2L − ec + ea = 0 (A.6)
dt
di
− Vab − eb + ea − L − Ri = 0 (A.7)
dt
(A.6) and (A.18) gives
1
Vab = (Vd + ec + ea − 2eb ) (A.8)
2
so the three phase-to-phase voltages will be
1
Vab = (Vd + ec + ea − 2eb ) (A.9)
2
1
Vbc = (Vd − ec − ea + 2eb ) (A.10)
2

Vca = −Vd (A.11)

50
A.2 Case 120 − 180◦

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure A.3: Current path through the three phase inverter for the interval 120 −
180◦ where the green path represents the current through the active phases and the
red path represents the commutating current from the previous switching sequence

eb ea
R L Vn L R

ec

( ) D2

Vd
ib ia
L

Vca
R

Figure A.4: Circuit topology for the case 120 − 180◦ , where Vbc represents the
voltage over a reversed biased diode

ia 6= 0

Vab = −Vd (A.12)

Vbc = Vd (A.13)

Vca = 0 (A.14)
When ia = 0 Kirchhoff’s voltage law are applied around each mesh in Figure A.4
to obtain the three phase-to-phase voltages

dib d(ib + ia )
Vd − Rib − L − eb + ec − L − R(ib + ia ) = 0 (A.15)
dt dt

dia d(ib + ia )
− Vca − Ria − L − ea + ec − L − R(ib + ia ) = 0 (A.16)
dt dt
51
when ia = 0
di
Vd − 2Ri − 2L − eb + ec = 0 (A.17)
dt
di
− Vca − ea + ec − L − Ri = 0 (A.18)
dt
(A.17) and (A.18) gives
1
Vca = (−Vd + eb + ec − 2ea ) (A.19)
2
so the three phase-to-phase voltages will be
1
Vab = (−Vd − eb − ec + 2ea ) (A.20)
2

Vbc = Vd (A.21)

1
Vca = (−Vd + eb + ec − 2ea ) (A.22)
2

52
A.3 Case 180 − 240◦

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure A.5: 1Current path through the three phase inverter for the interval
180 − 240◦ where the green path represents the current through the active phases
and the red path represents the commutating current from the previous switching
sequence

ea ec
R L Vn L R

eb
( ) D5

Vd
ia ic
L

Vbc
R

Figure A.6: Circuit topology for the case 180 − 240◦ , where Vbc represents the
voltage over a reversed biased diode

ic 6= 0

Vab = −Vd (A.23)

Vbc = 0 (A.24)

Vca = Vd (A.25)
When ic = 0 Kirchhoff’s voltage law are applied around each mesh in Figure A.6
to obtain the three phase-to-phase voltages

dia d(ia + ic )
− Vd − Ria − L − ea + eb − L − R(ia + ic ) = 0 (A.26)
dt dt

dic d(ia + ic )
− Vbc − Ric − L − ec + eb − L − R(ia + ic ) = 0 (A.27)
dt dt
53
when ic = 0
di
− Vd − 2Ri − 2L − ea + eb = 0 (A.28)
dt
di
− Vbc − ec + eb − L − Ri = 0 (A.29)
dt
(A.28) and (A.29) gives
1
Vbc = (Vd + ea + eb − 2ec ) (A.30)
2
so the three phase-to-phase voltages will be

Vab = −Vd (A.31)

1
Vbc = (Vd + ea + eb − 2ec ) (A.32)
2
1
Vca = (Vd − ea − eb + 2ec ) (A.33)
2

54
A.4 Case 240 − 300◦

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure A.7: Current path through the three phase inverter for the interval 240 −
300◦ where the green path represents the current through the active phases and the
red path represents the commutating current from the previous switching sequence

ec eb
R L Vn L R

ea

( ) D4

Vd
ic ib
L

Vab
R

Figure A.8: Circuit topology for the case 240 − 300◦ , where Vbc represents the
voltage over a reversed biased diode

ib 6= 0

Vab = 0 (A.34)

Vbc = −Vd (A.35)

Vca = Vd (A.36)
When ib = 0 Kirchhoff’s voltage law are applied around each mesh in Figure A.8
to obtain the three phase-to-phase voltages

dic d(ic + ib )
Vd − Ric − L − ec + ea − L − R(ic + ib ) = 0 (A.37)
dt dt

dib d(ic + ib )
− Vab − Rib − L − eb + ea − L − R(ic + ib ) = 0 (A.38)
dt dt
55
when ib = 0
di
Vd − 2Ri − 2L − ec + ea = 0 (A.39)
dt
di
− Vab − eb + ea − L − Ri = 0 (A.40)
dt
(A.39) and (A.40) gives
1
Vab = (−Vd + ec + ea − 2eb ) (A.41)
2
so the three phase-to-phase voltages will be
1
Vab = (−Vd + ec + ea − 2eb ) (A.42)
2
1
Vbc = (−Vd − ec − ea + 2eb ) (A.43)
2

Vca = Vd (A.44)

56
A.5 Case 300 − 360◦

ic ≠ 0 +
ic = 0

ea

eb

Vd Vn

ec

Figure A.9: Current path through the three phase inverter for the interval 300 −
360◦ where the green path represents the current through the active phases and the
red path represents the commutating current from the previous switching sequence

eb ea
R L Vn L R

ec
( ) D1

Vd
ib ia
L

Vca
R

Figure A.10: Circuit topology for the case 300 − 360◦ , where Vbc represents the
voltage over a reversed biased diode

ia 6= 0

Vab = Vd (A.45)

Vbc = −Vd (A.46)

Vca = 0 (A.47)
When ia = 0 Kirchhoff’s voltage law are applied around each mesh in Figure A.10
to obtain the three phase-to-phase voltages

dib d(ib + ia )
− Vd − Rib − L − eb + ec − L − R(ib + ia ) = 0 (A.48)
dt dt

dia d(ib + ia )
− Vca − Ria − L − ea + ec − L − R(ib + ia ) = 0 (A.49)
dt dt
57
when ia = 0
di
− Vd − 2Ri − 2L − eb + ec = 0 (A.50)
dt
di
− Vca − ea + ec − L − Ri = 0 (A.51)
dt
(A.50) and (A.51) gives
1
Vca = (Vd + eb + ec − 2ea ) (A.52)
2
so the three phase-to-phase voltages will be
1
Vab = (Vd − eb − ec + 2ea ) (A.53)
2

Vbc = −Vd (A.54)

1
Vca = (Vd + eb + ec − 2ea ) (A.55)
2

58
1 2 3 4 5 6 7 8
B

DSP
V_Batt Power VCC_10V DSP.SchDoc
Power.SchDoc
VCC_5V VCC_10V VCC_5V GD_1
V_Batt
Gate_driver.SchDoc
VCC_10V
VCC_1V9
COJ1 VCC_5V
VCC_3V3 J1 VCC_3V3
VCC_5V VCC_10V
690367280476
1PIJ101 2
PIJ102
NLPWM0H1o
PWM_H1o
A VCC_1V9 VCC_1V9 VIA A
3PIJ103 4
PIJ104
NLPWM0L1o V_phase
PWM_L1o
VCC_3V3 VCC_3V3 VIB
VCCA_5V DISABLE
PIC101 DISABLE
VCCA_3V3 0603
VCCA_5V TEMP_NTC COC1
C1
PIC102 100n DGND
VCCA_1V9 VCCA_1V9 16V
DGND
VCCA_3V3 VCCA_3V3
DGND
DGND
DGND
Power Status Power Status

AGND AGND
GD_2
VCC_10V VCC_5V Gate_driver.SchDoc
DGND
VCC_5V
VCC_10V
AGND DGND
Radio VCC_5V NLPWM0H2o
PWM_H2o
VIA
Radio_Receiver_Connector.SchDoc BEMF
NLPWM0L2o V_phase
VCC_3V3 Back_EMF_Zero.SchDoc PWM_L2o
VCC_5V VIB
VCC_3V3
DISABLE
Ch_1_ext Ch_1_ext DISABLE
Radio_Ref Radio_Ref ZCROSS_A ZCROSS_A V_A
Ch_3_ext Ch_3_ext ZCROSS_B ZCROSS_B DGND
B ZCROSS_C ZCROSS_C V_B B
DGND
COJ3 V_C
VCC_3V3 J3 DGND
BEMF_A BEMF_A
95278-101A04LF
BEMF_B BEMF_B
1
PIJ301 PIJ3022 DGND
Torque_ref BEMF_C BEMF_C
3
PIJ303 PIJ3044 ON_OFF VIRTUAL_n VIRTUAL_n DGND
C_P
Cell_Protect.SchDoc
DGND DGND
COJ5 V_Batt VCC_10V VCC_5V GD_3
J5 L_S
VCC_3V3 VCC_5V Gate_driver.SchDoc
B7B-XH-A(LF)(SN)(P) Batt_1 Cell_Voltage Cell_Voltage Level_Shifter.SchDoc
1 VCC_5V
1 PIJ501 Batt_2 VCC_5V
2 VCC_10V
2 PIJ502 VCC_3V3
3 Batt_3 NLPWM0H3o
3 PIJ503 PWM_H3o
4 VIA
4 PIJ504 Batt_4
5 NLPWM0L3o V_phase
5 PIJ505 PWM_L3o
Control PCB Schematic

6 Batt_5 PWM_H_A PWM_H_A VIB


6 PIJ506
7 PWM_L_A PWM_L_A NLDISABLE
7 PIJ507 Batt_6 DGND DISABLE
DISABLE
Batt_7 PWM_H_B PWM_H_B
PWM_L_B PWM_L_B PWM_H1o DGND
PWM_H1o
PWM_L1o
PWM_L1o
DGND PWM_H_C PWM_H_C
PWM_L_C PWM_L_C PWM_H2o
PWM_H2o DGND
PWM_L2o
VCC_3V3 LED PWM_L2o
DISABLE_OUT DISABLE_IN
LED.SchDoc
PWM_H3o
C PWM_H3o C
VCC_3V3 PWM_L3o
PWM_L3o
LED_1 LED_1
DISABLE
LED_2 LED_2 DISABLE

DGND I_TRIP_DSP I_TRIP_OUT I_TRIP_IN


ENC_A_IN ENC_A_OUT
ENC_B_IN ENC_B_OUT
ENC_I_IN ENC_I_OUT
DGND
I_MEAS_IN
ENC_IN_I
DGND ENC_IN_B
COJ4
J4 VCC_5V Enc ENC_IN_A
95278-101A10LF Encoder.SchDoc VCC_5V
1
PIJ401 2
PIJ402 VCC_5V
VCCA_3V3 CURRENT V_Batt +
3
PIJ403 4
PIJ404 DGND CURRENT.SchDoc COJ6
J6
5 6
PIJ405 PIJ406 ENC_A A VCCA_3V3 PIJ601 1
7
PIJ407
8
PIJ408 ENC_B B VCC_5V COJ12
9
PIJ409
10
PIJ4010
7460408-T J12
ENC_I I I_POS_IN
I_POS_OUT PIJ1201 1
ENC_A
7460408-T
2
3

ENC_B I_MEAS_OUT
ENC_I DGND I_TRIP
PIL802 PIL803
CM5441Z101B-10

DGND_ENC AGND DGND


VCC_5V_ENC
COJ14
J14 COJ13
J13
D DGND PIJ1401
L8
COL8PIL801 PIL804 D
1
4

1 PIJ1301 1
AGND DGND
7460408-T 7460408-T

DGND -
COFD1
FD1 COFD2
FD2 COFD3
FD3 Part Title
QRTECH AB
M3 Hole M3 Hole M3 Hole M3 Hole Control PCB SWEDEN

59
COMP1
MP1 COMP2
MP2 COMP3
MP3 COMP4
MP4 Part Number Sheet Name EM Part Number
Fiducial_Round Fiducial_Round Fiducial_Round EA10000 Overview - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:35 1 of 12
1 2 3 4 5 6 7 8
60
1 2 3 4 5 6 7 8

VCC_24V
POV0Batt
POV0BATT Vmin=10V COTP15
V_Batt TP15
Vmax=36V
COTP16 TPVCC_5V
TP16 COC42
C42 .
COIC14 PITP1501

0603
VCC_10V VCC_24V TP IC14 PIC4201 PIC4202 PIR60 2
. COR60
POVCC010V PITP1601 R60
VCC_10V

0805
3
PIIC1403 16
PIIC14016 22n COL4
L4 0R
VIN BST PIR6001
14
PIIC14014 PIL401 PIL402 50V
VCC_5V VCCA_5V SW
4
PIIC1404
SYNC SRR1260-560M PIR6202 COTP17
TP17
A POVCC05V
VCC_5V POVCCA05V
VCCA_5V 2 COD4
15 1N5819HW-7-F
D4 COR62
R62 A
PIIC1402 56uH
PRE PIIC14015 SD VCC_5V VCCA_5V TPVCCA_3V3

0603
PIIC14013 2
13 PID402 1
PID401 3K09 .
IS PIR6201 PITP1701
VCC_3V3 VCCA_3V3
10
PIIC14010
SS
11
OUT PIIC14011
28V 1A PIC4301
0805
PIR80 2
1
PIIC1401 6 COC43
C43 COIC5
IC5 COR80
R80
VCC FB PIIC1406
0805
POVCC03V3
VCC_3V3 POVCCA03V3
VCCA_3V3 PIC1501 PIC4 01 8
PIIC1408 5 PIC4302 2u2F COFB1 TPS76933DBVR 0R
0603 1206 RAMP COMP PIIC1405 FB1 PIR80 1
COC15
C15 COC44
C44 7 COC45
C45 PIR6702 16V 1 5
PIIC1407 RT PIFB101 PIFB102 PIIC501 IN OUT PIIC505
PIC1502 PIC4 02 PIC4901 1 01 COR67

0603
100n 1u 9 PIR6301 0603 PIR6302
R67
0603
PIC500603 PIC560603 AGND PIIC1409 PIC4501 PIC4502COR63 600R@100MHz

0603
VCC_1V9 VCCA_1V9 100V 100V COC49
C49 COC50
C50 C56
COC56 PIR6802 COR68 12 R63 1k 2
GND PIIC14012 PIR6701 0R150 PIIC502 GND
PIC4902 10n PIC50 2 100nF PIC5602 560p R68 1n

0603
POVCC01V9
VCC_1V9 POVCCA01V9
VCCA_1V9 22k 56K PIC3501 PIC5 01
50V 100V 50V PIR6801 LM5575MH 50V 3
PIIC503 4
PIIC504
0603 0603 EN NC/FB
COC35
C35 COC55
C55
PIC3502 1uF PIC5 02 100nF PIC60 1
0603
PIC6301
0603
25V 25V COC60
C60 COC63
C63
PODGND POAGND COR84
R84 PIC60 2 10nF PIC6302 2u2F
DGND AGND PIR8402 0805 PIR8401 50V 6V3
DGND
0R
DGND AGND
DGND AGND
VCC_5V COIC8
IC8
COR86 LM26420XMH
R86 1
PIR8602 0603 PIR8601 PIIC801 VINC COTP18
TP18
TP VCC_3V3
4R99 3 CVH252009-1R0M .
PIIC803 VIND1 PITP1801
COR87 4
PIIC804
COL5
L5 1uH, 1.6A, 0.055ohm COR50
R87 49K9 VIND1 R50
PIR8701 0603 PIR8702
9
PIIC809 PG1
5
PIIC805 PIL501 PIL502 PIR5002 0805 PIR5001
SW1
2
PIIC802 COR116 0R
B EN1 R116 COTP19 B
8
PIIC808 PIR11602 0603 PIR11601 VCCA_5V VCCA_3V3 TP19
FB1
17
PIIC8017 CVH252009-1R0M PIR1 702 COTP20 TP VCCA_1V9
VIND2 COR117 3k16 PIC6901 TP20 .
18 COL6
L6 1uH, 1.6A, 0.055ohm R117 1206
COR89
R89 49K9 PIIC8018 VIND2 COC69
C69 TP VCC_1V9 PIR15202 PITP1901

0603
12 16 1k . COR152
R152 COR51
R51
PIR8901 0603 PIR8902 PIIC8012 PG2 SW2 PIIC8016 PIL601 PIL602 PIC6902 22u COIC9
IC9
PIR1 701 PITP20 1

0603
19 22k PIR15302 PIR5102 0805 PIR5101
PIIC8019 6V3 COR52 PIC7601
EN2 R52 PIR15201 TPS79301DBVRQ1 0603 COR153
R153 0R
13
PIIC8013 PIR12101 0603 PIR12102 PIR5202 0805 PIR5201 COC76
C76
FB2 COR121 1 6
0603

6 R121 PIIC901 IN OUT PIIC906 1K5


PIIC806 0R PIC7602 33p
PGND1 PIR15301
7
PIIC807 1k4 50V PIC7 01
PGND1 3
PIIC903 PIIC905
5 0805
14
PIIC8014 PIC1 201 EN FB COC77
C77
PIC70 1 PIC7301 01 PGND2 0603
COC111
C111
0603 0603
PIC740603 15
PIIC8015 PIR12 02 PIC7501 COC112 PIC1 301 PIR15402 PIC7 02
0603

COC70 COC73 COC74 PGND2 COR122 C112 2


PIIC902 PIIC904
4 PIC11101 PIC11102 COR154 2u2F
C70 C73 21
C74 PIIC8021 R122 0805 0603 GND BYPASS R154
DAP COC75
C75 PIC1 20 100n COC113
C113 16V

0603
0603

PIC70 2 470n PIC7302 15u 10


PIC7402 15u PIIC8010 1k 2K7
DAP PIR12 01 PIC7502 33u 16V PIC1 302 10n 10n PIR15401
16V 10V 10V 11
PIIC8011 DAP 10V 50V 50V
20
PIIC8020 AGND

AGND

DGND

C VCC_5V C
VCC_3V3
VCC_10V VCC_1V9 PIC1301
0603
COC13
C13
PIR6902 PIR7802 PIC1302 100n
COR69
R69 COR78
R78
COIC11
IC11 16V
0603
0603

22k 22k
VCC_24V TPS3306-18QDRQ1 PIR6901 PIR7801
COC57
C57 COTP21
TP21 1 8 COTP22
TP22 DGND
PIIC1101 SENSE1 VDD PIIC1108
COIC15

0603
IC15 TP VCC_10V TP
PIC5701 PIC5702 . PIR12302 . Power Status
LM25011AQ ITP2101 COR123 2 7 COTP23
TP23
PITP2 01
PPIR5302 R123 PIIC1102 SENSE2 WDI PIIC1107 PSC WDI
1 10 100n TP

0603
PIIC1501 VIN BST PIIC15010 COR53
R53 62k .
PIR8102 16V COL7 3 6 COTP24
TP24

0805
COR81
R81 L7 0R PIR12301 PIIC1103 PFI PFO PIIC1106 PITP2301 PSC PFO POPower
POPOWER
POPOWER
POPOWER
POPOWER STATUS0P\S\C\
STATUS0P\S\C\
STATUS0PSC
Power Status
STATUS
\R\E\S\E\T\
\P\F\O\
Status WDI
9 PIR8202 TP

0603
549K SW PIIC1509 PIL701 PIL702 PIR5301 COR82
R82 .

1
2 4 5

0603
PIR8101 PIIC1502 RT PID501 SRR1260-470M 10k PIIC1104 GND RESET PIIC1105 PITP2401 PSC RESET
COD5
D5 47uH PIR8201
28V
1A

2
CS
8
PIIC1508
PID502 1N5819HW-7-F PIR8302
PIC58011206
PIC6401
1206 COR83
R83
COC58
C58 DGND
COC64
C64 PIR8502 PIC5802 10u

0603
COR85
R85 29K4
PIC6402 4u7 3 35V

0805
PGD PIIC1503 0R075 PIR8301
50V 4 PIR8501
PIIC1504 SS
7
PIIC1507
CSG
PIC6501
1206
PIC6 01
0603 PIIC1505
5
SGND FB
6
PIIC1506
COC65
C65 COC66
C66
PIC6502 1u PIC6 02 10n PIC4601 PIR90 2
0603 COR90
R90
100V 50V 100n COC46
C46

0603
10k
D 16V PIC4602 PIR90 1 D

DGND
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Power - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:35 2 of 12
1 2 3 4 5 6 7 8
1 2 3 4

VCC_5V

POVCC05V
VCC_5V
A POCh030ext
POCH030EXT
Ch_3_ext A
PODGND
DGND
COTP50
TP50
TP
.
DGND PITP50 1 PORadio0Ref
PORADIO0REF
Radio_Ref

VCC_5V COJ10
J10 COJ11
J11
PPPC032LFBN-RC PPPC032LFBN-RC
1
PIJ1001
6 1
PIJ1101
6 POCh010ext
POCH010EXT
1 6 PIJ1006 1 6 PIJ1106 Ch_1_ext
2
PIJ1002
5
PIJ1005
2
PIJ1102
5
PIJ1105
PIC8 01 2 5 2 5
0603
COC88
C88 3
PIJ1003
4
PIJ1004
3
PIJ1103
4
PIJ1104
PIC8 02 3 4 3 4
1uF
25V

B B
DGND

C C

D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Radio_Reciver_Connector - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:35 3 of 12
1 2 3 4

61
62
1 2 3 4

COC67
C67 V_Batt
COTP37

0603
V_Batt TP37 PIC6701 PIC6702
TP COTP38
TP38

4
. PIC1704
POV0Batt
POV0BATT POBatt07
POBATT07 PITP3701 COR91
R91
PIR9101
100n PIIC1703
3 IN+ COIC17A
IC17A TP Cell 6
V_Batt Batt_7 0603 PIR9102 .
16V + 1 LM324PWR PITP3801 Gain = 0.62
A PIIC1701 A
10k C68 COR92
R92PIR9201 -
PIIC1702
COC68 PIC6801 PIR9302 COR93
0603 R93 0603 PIR9202
2 IN-
470p

0603
PODGND
DGND 6K2

11
50V PIC6802 PIR9301 10k PIC1701
DGND COTP39
TP39 R94
COR94PIR9401 6K2
0603 PIR9402
TP DGND
.
POBatt06
POBATT06 PITP3901 PIC4702
COC47
PIC4701C47
Batt_6 COTP40
50V 470p TP40

0603
COR95
R95
PIR9501
5
PIIC1705IN+
COIC17B
IC17B TP Cell 5
0603 PIR9502 .
7 LM324PWR
PIIC1707 PITP40 1 Gain = 0.62
10k COC78 PIC7801
C78 PIR9702 COR96 6
0603 COR97
R97 R96PIR9601 0603 PIR9602 PIIC1706IN-
470p

0603
6K2
50V PIC7802 PIR9701 10k COR98
R98 6K2
PIR9801 0603 PIR9802
COTP41
TP41
TP PIC4802 PIC4801
COC48
C48
.
DGND 50V 470p

0603
POBatt05
POBATT05
Batt_5 PITP4101
COTP42
TP42
COR99
R99
PIR9901 0603 PIR9902
10
PIIC17010IN+
COIC17C
IC17C TP Cell 4
B . B
8 LM324PWR
PIIC1708 PITP4201 Gain = 0.62
10k PIC7901 PIR10102 9 Cell_Voltage
0603 COR101 R100
R101
COR100PIR10001 0603 PIR10002 PIIC1709IN-
COC79
C79

0603
6K2 CELL_VOLTAGE_6
470pPIC7902 PIR10101 10k R102
COR102PIR10201 0603 PIR10202
6K2
COTP43 CELL_VOLTAGE_5
TP43 50V
COC84 CELL_VOLTAGE_4
TP C84
. PIC8402 PIC8401 CELL_VOLTAGE_3 POCell0Voltage
POCELL0VOLTAGE0CELL0VOLTAGE06
POCELL0VOLTAGE0CELL0VOLTAGE05
POCELL0VOLTAGE0CELL0VOLTAGE04
POCELL0VOLTAGE0CELL0VOLTAGE03
POCELL0VOLTAGE0CELL0VOLTAGE02
POCELL0VOLTAGE0CELL0VOLTAGE01
POCELL0VOLTAGE
Cell_Voltage

0603
POBatt04
POBATT04 PITP4301 DGND 50V 470p
Batt_4 COTP44 CELL_VOLTAGE_2
TP44
COR103 COIC17D CELL_VOLTAGE_1
R103 12 IC17D TP Cell 3
PIR10301 0603 PIR10302 PIIC17012IN+ .
14 LM324PWR
PIIC17014 PITP4 01 Gain = 0.62
10k PIC80 1 PIR10502 13
COR105 R104 PIR10402
0603 R105
COR104PIR10401 0603 PIIC17013IN-
COC80
C80

0603
6K2
470pPIC80 2 PIR10501 10k R106
COR106PIR10601 0603 PIR10602
6K2
COTP45
TP45 50V
TP COC85
C85
PIC8502 PIC8501
.
DGND 50V 470p

0603
POBatt03
POBATT03
Batt_3 PITP4501
COC81
C81 V_Batt

0603
PIC8101 PIC8102
COTP46
TP46

8
C COR107 100n PIC180 COIC18A C
R107
PIR10701 0603 PIR10702 PIIC1803
3 IN+ IC18A TP Cell 2
.
16V + 1 LM2904PWR PITP4601 Gain = 0.62
PIIC1801
10k PIC8201 PIR10902 2 -
0603 COR109 R108
R109 COR108PIR10801 0603 PIR10802 PIIC1802IN-
COC82
C82

0603
4
6K2 PIC1804
470pPIC8202 PIR10901 10k
50V

COTP47
TP47 R110
COR110PIR11001 0603
6K2
PIR11002
TP
.
POBatt02
POBATT02 PITP4701 DGND PIC8602 PIC8601
COC86
C86
Batt_2
COTP48

0603
50V 470p TP48
COR111
R111
PIR11101 0603 PIR11102
5
PIIC1805IN+
COIC18B
IC18B TP
.
Cell 1
7 LM2904PWR PITP4801
PIIC1807 Gain = 0.62
10k PIC8301 PIR1 302 6
0603 COR113 R112
R113 COR112PIR11201 0603 PIR11202 PIIC1806IN-
COC83
C83

0603
6K2
470pPIC8302 PIR1 301 10k
50V R114
COR114PIR11401 0603 PIR11402
6K2

D PIC8702 PIC8701
COC87
C87
D
COTP49
TP49 50V 470p
0603

Part Title
QRTECH AB
TP DGND SWEDEN
. Control PCB
POBatt01
POBATT01 PITP4901 Part Number Sheet Name EM Part Number
Batt_1
EA10000 Cell_Protect - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 4 of 12
1 2 3 4
1 2 3 4

VCC_3V3 VCC_3V3 VCC_3V3

POVCC03V3
VCC_3V3

A PODGND
DGND A
PIR1202 PIR1302
COR12
R12 COR13
R13

0603
0603
1k 1k
PIR1201 PIR1301

2
2
DGND
PID20 PID302
COD2
D2 COD3
D3
Green Red
2.2V 2V

1
1
PID201 PID301

3
3
PIC1203 PIC130
POLED02 COR88
R88PIR8801 100R
0603 PIR8802
1
PIIC1201 POLED01COR115
R115 PIR11501 0603 PIR11502
1
PIIC1301
LED_2 COIC12 LED_1 COIC13

2N7002K-T1-E3
IC12
2N7002K-T1-E3
IC13
100R
B B

2
2
PIR14802 PIC120 PIR14902 PIC1302
COR148
R148 COR149
R149

0603
0603
4k7 4k7
PIR14801 PIR14901

DGND

C C

D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 LED - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 5 of 12
1 2 3 4

63
64
1 2 3 4

VCC_5V
DGND
VCC_5V
POVCC05V
VCC_5V COTP31
TP31 PIR4102
COR41
R41
TP COC41
C41 100n

0603
A . 10k A
PITP3101 PIR4101 TP PIC4101 16V

3
2
POENC0A
ENC_A PIR4301 0603 PIR4302 . 0603
COL1PIL103
L1 PIL102 COR43
R43 10k PITP1201

4
PODGND
DGND PIIC303
3 IN+ PI C304 COTP12
TP12 PIC4COIC3A
102
IC3A
+ 1
PIIC301 LMV344IPWR POA
A
COTP32
TP32 -
PIIC302
2 IN-
TP
.

11
PITP3201 PIL104 PIL101
B82789S223N2 PIC301

4
1
DGND POENC0A\
ENC_A PIR4401 0603 PIR4402
COR44
R44 10k

DGND
PIR4501 0603 PIR4502
COR45
R45 10k

DGND

COTP33
TP33 PIR4602
COR46
R46
TP

0603
. 10k
PITP3 01 PIR4601

3
2
B POENC0B
ENC_B PIR4701 0603 PIR4702 B
COL2PIL203
L2 PIL202 COR47
R47 10k COTP13
TP13
5
PIIC305IN+
TP COIC3B
IC3B
.
7
PITP1301
PIIC307
LMV344IPWR POB
COTP34 B
TP34 6
PIIC306IN-
TP
.
PITP3401 PIL204 PIL201
B82789S223N2

4
1
POENC0B\
ENC_B PIR4801 0603 PIR4802
COR48
R48 10k PIR4901 0603 PIR4902
COR49
R49 10k

DGND

COTP35
TP35 PIR5402
COR54
R54
TP

0603
. 10k
PITP3501 PIR5401

3
2
ENC_I
POENC0I PIR5501 0603 PIR5502
COL3PIL303
L3 PIL302 COR55
R55 10k COTP14
TP14
10 TP COIC3C
IC3C
PIIC3010IN+ .
8
PITP1401
PIIC308
LMV344IPWR POI
COTP36 I
TP36 9
PIIC309IN-
C C
TP
.
PITP3601 PIL304 PIL301
B82789S223N2

4
1
ENC_I
POENC0I\ PIR5601 0603 PIR5602
COR56
R56 10k PIR5801 0603 PIR5802
COR58
R58 10k

VCC_5V

3
2
POVCC05V0ENC
VCC_5V_ENC
L9
COL9PIL903 PIL902

B82789S223N2
PIL904 PIL901

4
1
PODGND0ENC
DGND_ENC

DGND
D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Encoder - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 6 of 12
1 2 3 4
1 2 3 4 5 6 7 8

VCCA_1V9 VCCA_3V3 VCC_1V9 VCC_3V3 VCC_3V3


VCCA_1V9
POVCCA01V9
VCCA_1V9 PIC1801 PIC1901 PIC20 1 PIC2101 PIC2 01 PIC2301 PIC2401 PIC2501 PIC2601 PIC2701 PIC2801
VCCA_3V3 PIC2901 PIC30 1 0603 0603 0603 0603 0603 0603 0603 0603 0603 0603 0603
0603COC29 0603 COC30 COC18
C18 COC19
C19 COC20
C20 COC21
C21 COC22
C22 COC23
C23 COC24
C24 COC25
C25 COC26
C26 COC27
C27 COC28
C28
POVCCA03V3
VCCA_3V3 C29 C30 PIC1802 PIC3101 PIC1902 PIC3 01 PIC20 2 PIC3401 PIC2102 PIC3601 PIC2 02 PIC3701 PIC2302 PIC5101 PIC2402 PIC2502 PIC5201 PIC2602 PIC5301 PIC2702 PIC5401 PIC2802 PIC6101 PIC6201
100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n
PIC2902 100n PIC7101 PIC30 2 100n PIC7201 0603 0603 0603 0603 0603 0603 0603 0603 0603 0603 0603
0603 0603 16V COC31
C31 16V COC33
C33 16V COC34
C34 16V COC36
C36 16V COC37
C37 16V COC51
C51 16V 16V COC52
C52 16V COC53
C53 16V COC54
C54 16V COC61
C61 COC62
C62
POAGND
AGND 16V COC71
C71 16V COC72
C72
PIC7102 100n PIC7202 100n PIC3102 100n PIC3 02 100n PIC3402 100n PIC3602 100n PIC3702 100n PIC5102 100n PIC5202 100n PIC5302 100n PIC5402 100n PIC6102 100n PIC6202 100n
16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V
16V 16V
AGND
A VCCA_1V9 A
VCC_3V3
AGND AGND VCCA_3V3 VCC_1V9 DGND VCC_3V3 VCC_3V3 DGND DGND
POVCC03V3
VCC_3V3 COIC7
IC7 COC92
C92
VCC_1V9

0603
TMS320F28335PGFA PIC9201 PIC9202

1
POVCC01V9
VCC_1V9

34
45
31
59
4
15
23
29
61
101
109
117
126
139
146
154
167
9
71
93
107
121
143
159
170
84
PI C7034 PI C7045 PI C7031 PI C7059 PI C704 PI C7015 PI C7023 PI C7029 PI C7061 PIC701 PIC7019 PIC7017 PIC70126 PIC70139 PIC70146 PIC70154 PIC70167 PI C709 PI C70 1 PI C7093 PIC7017 PIC7012 PIC70143 PIC70159 PIC701 PI C7084 PIX10 33p
PODGND
DGND COR37
R37 22k 57 80 COX1 50V

X1
PIR3701 0603 PIR3702 PIIC7057 ADCRESEXT XRS PIIC7080
COC93

0805
C93
PIC9301 PIC9302 56
PIIC7056 ADCREFP

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
COC94

0805
16V 2u2F PIC9401
C94 PIC9402 55
PIIC7055 ADCREFM

VDDIO
VDDIO
VDDIO
VDDIO
VDDIO
VDDIO
VDDIO
VDDIO

VDDA2
VCCA_3V3 16V 2u2F 104
PIIC70104
COC95
C95 VCC_3V3

VDDAIO
30.000MHz
X1

VDD1A18
VDD2A18
3
PIX103

VDD3VFL
0603
DGND 54
PIIC7054 102
PIIC70102 PIC9501 PIC9502
ADCREFIN X2 COR38
43
PIIC7043 105
PIIC70105 R38PIR3801 0603 PIR3802 PIR3902
ADCLO XCLKIN 33p COR39 PIR4002
R39
138
PIIC70138
XCLKOUT 2k2

0603
42 50V 2k2 R40 COR40
PIIC7042
I-MEAS ADCINA0 PIR3901

0603
41
PIIC7041
2k2
Radio-ref ADCINA1 PIR4001
40
PIIC7040 85 AGND AGND
Torque-ref ADCINA2 EMU0 PIIC7085 EMU0
AGND 39
PIIC7039 86
TEMP-NTC ADCINA3 EMU1 PIIC7086 EMU1
38
PIIC7038 78
BEMF-A ADCINA4 TRST PIIC7078 TRSTn
37
PIIC7037 79
BEMF-B ADCINA5 TMS PIIC7079 TMS
36
PIIC7036 76
BEMF-C ADCINA6 TDI PIIC7076 TDI
35
PIIC7035 77
VIRTUAL-n ADCINA7 TDO PIIC7077 TDO
87
Cell_Voltage TCK PIIC7087 TCK
46
PIIC7046
CELL_VOLTAGE_1 ADCINB0 PIR4202
47
PIIC7047 COR42
R42
CELL_VOLTAGE_2 ADCINB1
48 81
0603

CELL_VOLTAGE_3 PIIC7048 ADCINB2 TEST1 PIIC7081 2k2


POCell0Voltage
POCELL0VOLTAGE0CELL0VOLTAGE06
POCELL0VOLTAGE0CELL0VOLTAGE05
POCELL0VOLTAGE0CELL0VOLTAGE04
POCELL0VOLTAGE0CELL0VOLTAGE03
POCELL0VOLTAGE0CELL0VOLTAGE02
POCELL0VOLTAGE0CELL0VOLTAGE01
POCELL0VOLTAGE
Cell_Voltage 49 82 PIR4201
CELL_VOLTAGE_4 PIIC7049 ADCINB3 TEST2 PIIC7082
50
PIIC7050
CELL_VOLTAGE_5 ADCINB4
51
PIIC7051
B CELL_VOLTAGE_6 ADCINB5 B
52
PIIC7052
Ch_1-ext ADCINB6
53
PIIC7053 DGND
Ch_3-ext ADCINB7

137
PIIC70137 5
PIIC705 POPWM0H0A
GPIO38 GPIO0/EPWM1A PWM_H_A
175
PIIC70175 6
PIIC706 POPWM0L0A
GPIO39 GPIO1/EPWM1B/ECAP6/MFSRB PWM_L_A
151
PIIC70151 7
PIIC707 POPWM0H0B
GPIO40 GPIO2/EPWM2A PWM_H_B
152
PIIC70152 10
PIIC7010 POPWM0L0B
GPIO41 GPIO3/EPWM2B/ECAP5/MCLKRB PWM_L_B
POENC0I0IN PIR5701 0603 PIR5702 153
PIIC70153 11
PIIC7011 POPWM0H0C
ENC_I_IN COR57 ENC-I GPIO42 GPIO4/EPWM3A PWM_H_C
R57 100R 156
PIIC70156 12
PIIC7012 POPWM0L0C
GPIO43 GPIO5/EPWM3B/MFSRA/ECAP1 PWM_L_C
157
PIIC70157 13
PIIC7013
GPIO44 GPIO6/EPWM4A/EPWMSYNCI/EPWMSYNCO
158 16
.

PIR11801 0603 PIR11802 PIIC70158 PIIC7016 PITP301


TP

POENC0A0IN
ENC_A_IN COR118 ENC-A GPIO45 GPIO7/EPWM4B/MCLKRA/ECAP2 COTP3
TP3
R118 100R 161 17
.

PIIC70161 PIIC7017 PITP601


TP

GPIO46 GPIO8/EPWM5A/CANTXB/ADCSOCAO COTP6


TP6 Power Status
162
PIIC70162
18
PIIC7018 PIR5901 0603 PIR5902
GPIO47 GPIO9/EPWM5B/SCITXDB/ECAP3 COR59 PSC RESET
POENC0B0IN PIR12001 0603 PIR12002
88
PIIC7088
19
PIIC7019
R59 1k
PIR11901 0603 PIR11902 POPower
POPOWER
POPOWER
POPOWER
POPOWER STATUS0P\S\C\
STATUS0P\S\C\
STATUS0PSC
Status
STATUS
\R\E\S\E\T\
\P\F\O\
WDI
ENC_B_IN COR120 ENC-B GPIO48 GPIO10/EPWM6A/CANRXB/ADCSOCBO COR119 PSC PFO Power Status
R120 100R 89
PIIC7089
20
PIIC7020
R119 1k
GPIO49 GPIO11/EPWM6B/SCIRXDB/ECAP4 PSC WDI
90
PIIC7090 GPIO50
91
PIIC7091
21
PIIC7021 POI\0\T\R\I\P\0DSP
GPIO51 GPIO12/TZ1/CANTXB/MDXB I_TRIP_DSP
94
PIIC7094
24
PIIC7024
GPIO52 GPIO13
Cutoff Frequency 159 Hz Cutoff frequency 53 kHz 95
PIIC7095 25
PIIC7025
PIR1501 0603 PIR1502 PIR1401 0603 PIR1402
GPIO53 GPIO14
POTEMP0NTC
TEMP_NTC COR15 TEMP-NTC POBEMF0A
BEMF_A COR14
R14 BEMF-A 96
PIIC7096 26
PIIC7026
R15 10k GPIO54 GPIO15
PIC40 1
0603
PIC8901
0603
97
PIIC7097 27
PIIC7027
COC40
C40 300R COC89
C89 GPIO55 GPIO16
98
PIIC7098 28
PIIC7028
100n 10n GPIO56 GPIO17
PIC40 2 PIC8902 99 62
.

PIIC7099 PIIC7062 PITP901 COTP9


TP

16V 50V GPIO57 GPIO18 TP9


100
PIIC70100 63
PIIC7063
GPIO58 GPIO19
110
PIIC70110 GPIO59
AGND AGND 111
PIIC70111 64
PIIC7064
GPIO60 GPIO20 ENC-A
C Cutoff frequency 159 Hz Cutoff frequency 53 kHz 112
PIIC70112 65
PIIC7065 C
POTorque0ref
POTORQUE0REF PIR1701 0603 PIR1702 POBEMF0B PIR1601 0603 PIR1602
GPIO61 GPIO21 ENC-B
Torque_ref Torque-ref BEMF_B COR16
R16 BEMF-B 113
PIIC70113
66
PIIC7066
COR17
R17 10k
PIC90 1 PIC9101 GPIO62 GPIO22
0603 0603
114
PIIC70114
67
PIIC7067
COC90
C90 300R COC91
C91 GPIO63 GPIO23 ENC-I
115
PIIC70115
68
PIIC7068
100n 10n GPIO64 GPIO24
PIC90 2 PIC9102 116
PIIC70116 GPIO65 GPIO25
69
PIIC7069
16V 50V 119 72
PIIC70119 GPIO66 GPIO26 PIIC7072 POON0OFF
ON_OFF
122
PIIC70122
73
PIIC7073 PODISABLE0OUT
GPIO67 GPIO27 DISABLE_OUT
AGND AGND 123
PIIC70123 GPIO68
Cutoff frequency 159 Hz Cutoff frequency 53 kHz 124
PIIC70124
141
PIIC70141
PORadio0ref
PORADIO0REF PIR14201 0603 PIR14202 POBEMF0C PIR1801 0603 PIR1802
GPIO69 GPIO28
Radio_ref COR142
R142 10k
Radio-ref BEMF_C COR18
R18 BEMF-C 127
PIIC70127 GPIO70 GPIO29
2
PIIC702 POZCROSS0A
ZCROSS_A
PIC1 0 1
0603
PIC9601
0603
128
PIIC70128
1
PIIC701 POZCROSS0B
COC110
C110 300R COC96
C96 GPIO71 GPIO30 ZCROSS_B
129
PIIC70129
176
PIIC70176 POZCROSS0C
100n 10n GPIO72 GPIO31 ZCROSS_C
PIC1 0 2 PIC9602 130
PIIC70130
74
PIIC7074
16V 50V GPIO73 GPIO32
131
PIIC70131 75
PIIC7075
GPIO74 GPIO33
132
PIIC70132 142
PIIC70142
GPIO75 GPIO34
AGND AGND POLED01 133
PIIC70133 148
PIIC70148
LED_1 GPIO76 GPIO35
Cutoff frequency 159 Hz Cutoff frequency 53 kHz POLED02 134
PIIC70134 145
PIIC70145
PIR14101 0603 PIR14102 PIR2001 0603 PIR2002
LED_2 GPIO77 GPIO36
POCh010ext
POCH010EXT
Ch_1_ext COR141 Ch_1-ext POVIRTUAL0n
POVIRTUAL0N
VIRTUAL_n COR20
R20 VIRTUAL-n 135 150
R141 10k PIIC70135 GPIO78 GPIO37 PIIC70150 VCC_3V3
PIC10901
0603
PIC9701
0603
136
PIIC70136
COC109
C109 300R COC97
C97 GPIO79 COJ2
163
PIIC70163
J2
100n 10n GPIO80
PIC10902 PIC9702 164
PIIC70164
95278-101A14LF
16V 50V GPIO81
165
PIIC70165
1PIJ201 2
PIJ202
GPIO82 TMS TRSTn
168
PIIC70168
3PIJ203 4
PIJ204
GPIO83 TDI
AGND AGND 169
PIIC70169
5PIJ205 6
PIJ206
GPIO84
Cutoff frequency 159 Hz Cutoff frequency 53 kHz 172
PIIC70172
7PIJ207 8
PIJ208
POCh030ext
POCH030EXT PIR12501 0603 PIR12502 POI0MEAS0IN PIR12401 0603 PIR12402
GPIO85/XA13 TDO
Ch_3_ext Ch_3-ext I_MEAS_IN COR124
R124 I-MEAS 173
PIIC70173
9PIJ209 10
PIJ2010
COR125
R125 10k GPIO86/XA14 TCK
PIC9801
0603
PIC9 01
0603
174
PIIC70174
11PIJ2011 12
PIJ2012
COC98
C98 300R COC99
C99 GPIO87/XA15
13PIJ2013 14
PIJ2014
100n 10n EMU0 EMU1
D PIC9802 PIC9 02 149
PIIC70149 D
VSSA2
VSSAIO
VSS1AGND
VSS2AGND
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

16V 50V XRD


3
8

33
44
32
58
14
22
30
60
70
83
92

AGND AGND PI C703 PI C704 PI C7032 PI C7058 PI C703 PI C708 PI C7014 PI C702 PI C703 PI C706 PI C70 PI C7083 PI C7092 PIC7013 PIC7016 PIC7018 PIC7018 PIC7012 PIC70125 PIC7014 PIC7014 PIC70147 PIC7015 PIC7016 PIC7016 PIC701 PIC7017
103
106
108
118
120
125
140
144
147
155
160
166
171
177

DGND
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 DSP PartNumber This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
AGND DGND party without the written consent of QRTECH AB
1 A Variant name not interpreted *
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 7 of 12
1 2 3 4 5 6 7 8

65
66
1 2 3 4
COC10
C10

0603
PIC1001 PIC1002

VCC_3V3 47p
50V
POVCC03V3
VCC_3V3
PIR101
COR1 0603 PIR102
R1
A PODGND
DGND A
VCC_3V3
56K
COC59
C59

0603
DGND PIC5901 PIC5902

COTP51
TP51 COTP1
TP1 100nF
TP Cutoff frequency 2.26kHzTP 100V COTP2
TP2

3
. . PI C203
POV0A PIR13101 0603 PIR13102
PITP5101 COR131 PITP101 V = Va*0.13 PIR2101 0603 PIR2102 PIIC207
7 IN+ COIC2A
IC2A TP
V_A R131 COR21
R21 +
.
PIIC201 201
1 LM239PWR PITPPOZCROSS0A
-
ZCROSS_A
10k 1k 6
PIIC206IN-
PIC1401 PIR2 02
0603
COC14 COR22
R22

12
C14 PIC201

0603
1K5
PIC1402 47n PIR2 01
100V
DGND POBEMF0A
COC16 BEMF_A
C16

0603
PIC1601 PIC1602
DGND
47p
B 50V B

PIR2501
COR25
R25 0603 PIR2502

COTP52
TP52 COTP4
TP4 56K
TP Cutoff frequency 2.26 kHz TP COTP5
TP5
. .
POV0B PITP5201 PIR13501 0603 PIR13502 PITP401 V = Vb*0.13 PIR2601 0603 PIR2602
5
PIIC205IN+
COIC2B
IC2B TP
V_B COR135
R135 COR26
R26 .
PIIC202
2 LM239PWR PITPPOZCROSS0B
501 ZCROSS_B
PIC1701 PIR2702 4
10k 0603 COR27
R27 1k PIIC204IN-
COC17
C17

0603
1K5
PIC1702 47n PIR2701 COC32
C32 POBEMF0B
BEMF_B

0603
100V PIC3201 PIC3202

47p
50V
DGND PIR2901 0603 PIR2902
COR29
R29
COTP53
TP53 COTP7
TP7 56K
TP Cutoff frequency 2.26 kHz TP COTP8
TP8
. .
POV0C PITP5301 PIR13901 0603 PIR13902 PITP701 V = Vc*0.13 PIR3101 0603 PIR3102
9
PIIC209IN+
COIC2C
IC2C TP
C V_C COR139
R139 COR31
R31 . C
PIR3202 PIIC2014
14 LM239PWR PITPPOZCROSS0C
801 ZCROSS_C
10k PIC3801
0603 COR32
R32 1k 8
PIIC208IN-
COC38
C38

0603
1K5
PIC3802 47n PIR3201
100V
POBEMF0C
BEMF_C

PIR802 PIR902 PIR10 2


COR8
R8 COR9
R9 COR10
R10

0603
0603
0603
22k 22k 22k
DGND PIR801 PIR901 PIR10 1 COC39
C39

0603
50V 47p
PIC3901 PIC3902

PIR1101 0603 PIR1102


COR11
R11 22k

.
PITP1101 POVIRTUAL0n
POVIRTUAL0N

TP
COTP1 VIRTUAL_n

TP11
D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Back_EMF_Zero - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 8 of 12
1 2 3 4
1 2 3 4 5 6 7 8

VCC_5V VCC_3V3

POVCC05V
VCC_5V
POVCC03V3
VCC_3V3
A PODGND A
DGND

DGND

VCC_5V VCC_5V
VCC_3V3

PIR1902
COR19
R19

0603
22k
PIR1901
B COIC1
IC1 B
3V3 Side SN74ALVC164245DGGR 5V Side
48
PIIC1048 7
PIIC107
1OE VCC (5V)
1
PIIC101 18
PIIC1018
1DIR VCC (5V)
31
PIIC1031
VCC (3.3V)
25
PIIC1025 42
PIIC1042
2OE VCC (3.3V)
24
PIIC1024 2DIR
POPWM0H0A 47
PIIC1047 2
PIIC102 POPWM0H1o
POPWM0H1O
PWM_H_A 1A1 1B1 PWM_H1o
POPWM0L0A 46
PIIC1046 3
PIIC103 POPWM0L1o
POPWM0L1O
PWM_L_A 1A2 1B2 PWM_L1o
44
PIIC1044 5
PIIC105
POPWM0H0B
PWM_H_B 1A3 1B3 POPWM0H2O
POPWM0H2o
PWM_H2o
43
PIIC1043
6
PIIC106
POPWM0L0B
PWM_L_B 1A4 1B4 POPWM0L2o
POPWM0L2O
PWM_L2o
POPWM0H0C 41
PIIC1041
8
PIIC108 POPWM0H3o
POPWM0H3O
PWM_H_C 1A5 1B5 PWM_H3o
POPWM0L0C 40
PIIC1040
9
PIIC109 POPWM0L3o
POPWM0L3O
PWM_L_C 1A6 1B6 PWM_L3o
PODISABLE0IN 38
PIIC1038
11
PIIC1011 PODISABLE
DISABLE_IN 1A7 1B7 DISABLE
37
PIIC1037
12
PIIC1012
1A8 1B8
36
PIIC1036
13
PIIC1013
2A1 2B1
35
PIIC1035
14
PIIC1014
2A2 2B2
33
PIIC1033 16
PIIC1016
2A3 2B3
32
PIIC1032 17
PIIC1017
2A4 2B4
30
PIIC1030 19
PIIC1019 PIR301 0603 PIR302
POI\0\T\R\I\P\0\O\U\T\
I_TRIP_OUT
29
2A5 2B5
20 COR3
R3 1k
POI\0\T\R\I\P\0\I\N\
I_TRIP_IN
POENC0A0OUT
ENC_A_OUT PIIC1029 2A6 2A6 PIIC1020 PIR6401 0603 PIR6402 POENC0IN0A
ENC_IN_A
POENC0B0OUT 27
PIIC1027 22
PIIC1022
COR64
R64PIR6501 0603 1k
PIR6502 POENC0IN0B
ENC_B_OUT 2A7 2B7 COR65 ENC_IN_B
POENC0I0OUT 26
PIIC1026 23
PIIC1023 R65PIR6601 0603 1k
PIR6602 POENC0IN0I
ENC_I_OUT 2A8 2B8 COR66 ENC_IN_I
R66 1k
PIR702 PIR7002 PIR7102 PIR7202 PIR7302 PIR7402 PIR7502 PIR7602 PIR7702
COR7
R7 COR70 COR71 COR72
R70 R71 COR73 COR74
R72 R73 R74 COR75 COR76 COR77
R75 R76 R77 PIR402 PIR502 PIR6102 PIR7902
PIC1 01 PIC1201 COR4
R4 COR5
R5 COR61
R61 COR79 R79

0603
0603
0603
0603
0603
0603
0603
0603
0603
0603 0603

GND
GND
GND
GND
GND
GND
GND
GND
C 22k 22k 22k 22k 22k 22k 22k 22k 22k C

0603
0603
0603
0603
PIR701 PIR70 1 PIR7101 PIR7201 PIR7301 PIR7401 PIR7501 PIR7601 PIR7 01 COC11
C11 COC12
C12 22k 22k 22k 22k
PIC1 02 100nPIC1202 100n PIR401 PIR501 PIR6101 PIR7901

4
10
15
21
28
34
39
45
PI C104 PI C10 PI C10 5 PI C102 PI C1028 PI C1034 PI C1039 PI C1045 16V 16V

DGND

DGND

D D

Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Level_Shifter PartNumber This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted * party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 9 of 12
1 2 3 4 5 6 7 8

67
68
1 2 3 4

VCC_5V VCC_10V
A A
POVCC05V
VCC_5V POVCC010V
VCC_10V
PODGND
DGND

VCC_10V
DGND
COD1
D1
2
PID102
1
PID101

1.2V
VCC_5V 2.0A
COIC10
IC10 MURA160T3G
SI8233BB-C-IS1 PIC301
0805
PIC201
0603
PIC10 1
1206
8
PIIC1008
C3
COC3C2 COC2 COC101
C101
VDDI 1u
3
PIIC1003 PIC302 PIC202 100n PIC10 2 10u
COTP25 VDDI 16V COJ8
TP25 16
PIIC10016 16V 35V TP26
COTP26 J8
VDDA
B TP TP 690367280476 B
. .
PITP2501 1
PIIC1001 15
PIIC10015
COTP27
TP27
PITP2601 1PIJ801 2
PIJ802
POVIA
VIA VIA VOA
TP 3PIJ803 4
PIJ804
.
14
PIIC10014 PITP2701
GNDA POV0phase
POV0PHASE
PIC401 PIC501 V_phase
0603 0805
COC4
C4 COC5
C5
COTP28
TP28 PIC402 100n PIC502 1u 11
PIIC10011 TP29
COTP29 J9 COJ9
VDDB
TP TP 690367280476
. 16V 16V .
POVIB PITP2801 2
PIIC1002 10
PIIC10010 PITP2901 1PIJ901 2
PIJ902
VIB VIB VOB
3PIJ903 4
PIJ904
9
PIIC1009
GNDB
COR2 6
PIIC1006
R2 DT
PODISABLE PIR202 0603 PIR201 5
PIIC1005
DISABLE DISABLE
1k PIR602 4
PIIC1004 PIC701 PIC801 PIC102 1
COR6
R6 GNDI 0603 0805 1206
COC7
C7 COC8
C8 COC102
C102

0603
49K9
PIR601 PIC702 100n PIC802 1u PIC102 10u
16V 16V 35V

C C
DGND

D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Gate_driver - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted * party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:36 10 of 12
1 2 3 4
1 2 3 4

VCC_5V

PIC10 1
0603
COC100
C100
A 100n PIC10 2 A

8
PIIC403
3 IN+ PI C408 COIC4A
IC4A16V
+ 1 LMV342MM
PIIC401
R23
COR23PIR2301 10k -
0603 PIR2302 PIIC402
2 IN-

4
COR24
R24 PIR2402 PI C40

0603
COD6
D6
VCC_5V 3K09 PIR2401 1
PID601
PODGND 3
PID603 POI\0\T\R\I\P\
DGND I_TRIP
2
PID602

DGND 30V
DGND 0.2A
VCC_5V R28 10k 5 COIC4B
IC4B BAT54C
PIIC405IN+
COR28PIR2801 0603 PIR2802
POVCC05V 7 LMV342MM
PIIC407
VCC_5V PIR30 2
COR30
R30 6
PIIC406IN-
VCCA_3V3

0603
16k
POVCCA03V3
VCCA_3V3 PIR30 1
B POAGND B
AGND
DGND
VCCA_3V3
AGND COIC6 COTP30
IC6 TP30
ACS758KCB-150B-PFF-T TP
.
1
PIIC601
3 PITP30 1 POI0MEAS0OUT
VCC VIOUT PIIC603 I_MEAS_OUT

POI0POS0OUT 4
PIIC604
5
PIIC605 POI0POS0IN
I_POS_OUT IP+ IP- I_POS_IN
PIC601
0603
2
PIIC602 GND
COC6
C6 PIC901
0603
PIC602 100n COC9
C9
16V PIC902 4n7
200V

AGND

C C

D D
Part Title
QRTECH AB
Control PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Current - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted * party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:23:37 11 of 12
1 2 3 4

69
70
C

1 2 3 4

A A
HB1
Half_bridge.SchDoc

V_POS

V_NEG
3V3

PIR102
COR1
R1

0603
4k7
PIR101

HB2
B B
Battery FILTER Half_bridge.SchDoc
Filter.SchDoc
+ 3V3
COJ2 1 PIJ201 V_POS_IN V_POS_OUT V_POS
J2
7460408-S COJ?
J?
690367280476
1PIJ?01 2
PIJ?02
3PIJ?03 4
PIJ?04
PIJ301
Power PCB Schematic

COJ3 1 V_NEG_IN V_NEG_OUT V_NEG


J3
- 7460408-S

PIRT102
CORT1
RT1

0603
10k
HB3 PIRT101
Half_bridge.SchDoc
C C

V_POS

DGND
V_NEG

D D
Part Title
QRTECH AB
Power PCB SWEDEN
COFD1
FD1 COFD2
FD2 COFD3
FD3 Part Number Sheet Name EM Part Number
EA10000 Overview - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
M3 Hole M3 Hole M3 Hole M3 Hole 1 A Variant name not interpreted - party without the written consent of QRTECH AB
Fiducial_Round Fiducial_Round Fiducial_Round COMP1
MP1 COMP2
MP2 COMP3
MP3 COMP4
MP4 Created By Revised By SVN Revision Revised Sheet

71
Niclas och Philip * Not in version control 2013-06-28 08:24:10 1 of 3
1 2 3 4
72
1 2 3 4

A A
POV0POS
V_POS

GDB_A
Gate_drive_booster.SchDoc

3
VCC_10V
COTP2
TP2 PI C103 PIR202
TP COR2
. R2
PITP201 1

1206
PIIC101
COJ4
J4
Gate_in Gate_out
COIC1 PIR201
0R

IRF7749L2TR1PBF
IC1

1
690367280476 COD3
D3

2
1PIJ401 2
Source
15V
PID301 PI C102
PIJ402
4 160W PIC101

TVS
3PIJ403 PIJ404 0805
PESD15VS1UB COC1
C1

2
PID302 PIC102 3900p
50V

B
PIC501
0805 B
COC5
C5
PIC502 220n
50V
COJ6
J6
PIJ601 1
7460408-S

GDB_B
Gate_drive_booster.SchDoc PIR302
1206
COR3
R3

3
PI C203 0R
COTP3
TP3 PIR301
C VCC_10V C
TP
.
Gate_in Gate_out PITP301 PIIC201
1 COIC2 PIC201
0805

1
COJ5 COD4 COC2
C2
IRF7749L2TR1PBF
J5 D4 PID401 IC2
690367280476 15V PIC202 3900p

2
Source PI C20 50V
2 160W

TVS
1PIJ501 PIJ502
3PIJ503 PIJ5044 PESD15VS1UB

2
PID402

POV0NEG
V_NEG

D D
Part Title
QRTECH AB
Power PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Half_bridge - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:24:10 2 of 3
1 2 3 4
1 2 3 4

A A

POVCC010V
VCC_10V
PI C?02 PI C?02

2
2

IC?B
IC?B
3
PIIC?03
3
PIIC?03

B
COI?B B

4
4

BSL316C-L6327
BSL316C-L6327
PI C?04 PI C?04
PIR502
COR6
R6 PIR401 COR5
R5

0603
POGate0in
POGATE0IN
Gate_in PIR602 0603 PIR601 COR4
R4 5R1
PIR501

0603
100R 100R POGate0out
POGATE0OUT
Gate_out
PIC301
0603
PIR402
COC3
C3
PIC302 100n PIC401
0603
50V COC4
C4
PI C?06 PI C?06

6
6

IC?A
IC?A
PIR702
PIC402
COR7 100n 50V
R7
1 1

0603
22k PIIC?01 PIIC?01
PIR701
COI?A

5
5

BSL316C-L6327
BSL316C-L6327
POSource
POSOURCE
Source
PI C?05 PI C?05
C C

D D
Part Title
QRTECH AB
Power PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Gate Drive Booster - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:24:10 3 of 3
1 2 3 4

73
74
1 2 3 4

A A

POV0POS0IN
V_POS_IN POV0POS0OUT
V_POS_OUT

1
1
COC6 COC7 PIC801 PIC901 PIC10 1 PIC1 01
PIC601 C6 PIC701 C7
1206 1206 1206 1206
2200µF COC8
C8 COC9
C9 COC10
C10 COC11
C11

2
2
PIC602 35V PIC702 2200µF
35V PIC802 22u PIC902 22u PIC10 2 22u PIC1 02 22u
35V 35V 35V 35V

POV0NEG0IN
V_NEG_IN POV0NEG0OUT
V_NEG_OUT

B B

C C

D D
Part Title
QRTECH AB
Power PCB SWEDEN
Part Number Sheet Name EM Part Number
EA10000 Filter - This document is the property of QRTECH and must
Layout Revision BOM Revision BOM Variant EM Revision not be reproduced in any form or distributed to third
1 A Variant name not interpreted - party without the written consent of QRTECH AB
Created By Revised By SVN Revision Revised Sheet
Niclas och Philip * Not in version control 2013-06-28 08:24:10 12 of 12
1 2 3 4
75

You might also like