A High Gain Multiport DC-DC Converter

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 14

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO.

10, OCTOBER 2020 10501

A High Gain Multiport DC–DC Converter for


Integrating Energy Storage Devices to DC Microgrid
Triptendu Chaudhury and Debaprasad Kastha , Senior Member, IEEE

Abstract—Interfacing multiple low-voltage energy storage de- bined battery and SC-based hybrid ESSs are very appealing for
vices with a high-voltage dc bus efficiently has always been a loads that have moderate average power demand and relatively
challenge. In this article, a high gain multiport dc–dc converter is high peak power demand [3]. Conventionally, separate dc–dc
proposed for low voltage battery-supercapacitor based hybrid en-
ergy storage systems. The proposed topology utilizes a current-fed converters are used to interface different sources or storage ele-
dual active bridge structure, thus providing galvanic isolation of the ments with a common dc bus. As a result, power transfer between
battery from the dc bus, wide zero voltage switching (ZVS) range the sources and storage elements becomes a two-stage process,
of all the switches, and bidirectional power flow between any two which reduces the overall efficiency of the system. In contrast,
ports. The dc bus side bridge uses voltage multiplier cells to achieve a multiport converter (MPC) [4], [5] provides an interface for
a high voltage conversion ratio between the supercapacitor (SC)
and the dc bus. Moreover, as the proposed topology employs only different energy sources or storage elements utilizing a single
one two-winding transformer to achieve a three-port interface, the dc–dc converter. MPCs, thus, cut down the redundant power
number of control variables are reduced, which decreases control conversion stages, which increases the system efficiency and
complexities. The operation of the proposed converter is analyzed in provides a more compact structure.
detail, including the derivation of ZVS conditions for the switches MPCs [6]–[10] can be divided into two main categories:
and transformer power flow equations. A decoupled closed-loop
control strategy is implemented for the dc bus voltage control nonisolated and isolated. Nonisolated MPCs [6] are simpler
and energy management of the storage devices under different in design and more compact due to the lack of a transformer;
operating conditions. A 1-kW laboratory prototype is built to verify however, voltage levels of the ports are not flexible, and soft-
the effectiveness of the proposed converter, along with the control switching cannot be realized easily. On the other hand, isolated
scheme. MPCs [7]–[9] provide buck or boost capability utilizing the
Index Terms—Dual active bridge (DAB), hybrid energy storage, transformer turns ratio. Isolated MPCs remain the popular choice
multiport converter, pulsewidth modulation (PWM) plus phase- owing to their flexibility in routing the power flow between
shift control, switched capacitor, soft switched. the different ports as well as their superior performance [9],
[10]. Most of the isolated MPCs described in the literature are
I. INTRODUCTION derived from the dual active bridge (DAB) [11] converter, as it
provides high-frequency galvanic isolation, bidirectional power
UE to recent developments in renewable energy source
D based distributed generation systems, integration of en-
ergy storage systems (ESSs) is gaining increasing attention.
flow, and soft switching. Compared to the traditional voltage-fed
DABs [11], current-fed DABs [12], [13] provide benefits such
as wide zero voltage switching (ZVS) range with varying port
These ESS can improve the overall system reliability against the voltages and increased voltage conversion ratio, thus making
intermittent nature of renewable energy sources such as solar and them suitable for application in ESS.
wind energy. However, energy storage using a single technology Isolated MPCs can be subdivided into two main groups based
such as batteries or supercapacitors (SCs) faces difficulties in on their construction. Fully isolated MPCs combine three or
supplying large power and large energy demands simultane- more ports using a single multiwinding high-frequency trans-
ously [1], [2]. For example, commercially available batteries former, which provides isolation for all ports [7]. Several three-
(BATs) have high energy density and decent lifespan, but low port converter topologies [7], [14]–[17] have been reported in
specific power and slow dynamic response. On the other hand, the literature that utilize a triple active bridge (TAB) structure
SCs have a higher specific power, short charging/discharging (either half-bridge or full-bridge). However, control of these
times, and long life cycle, but low energy density. Hence, com- converters is complicated, since there are several coupled control
variables. Moreover, the fully isolated MPCs [7], [15]–[17] have
Manuscript received September 19, 2019; revised January 9, 2020; ac- a higher device count, and the multiwinding transformer handles
cepted February 21, 2020. Date of publication March 3, 2020; date of current the entire output power; thus efficiency and power density are
version June 23, 2020. Recommended for publication by Associate Editor reduced [10]. Partially isolated MPCs, which are the main focus
M. Ferdowsi. (Corresponding author: Triptendu Chaudhury.)
The authors are with the Department of Electrical Engineering, Indian of this article, utilize a single-core two-winding transformer,
Institute of Technology Kharagpur, Kharagpur 721302, India (e-mail: and two or more ports are coupled either at the primary or the
triptendu14@gmail.com; kastha@ee.iitkgp.ernet.in). secondary terminals of the transformer [8], [9]. They provide
Color versions of one or more of the figures in this article are available online
at http://ieeexplore.ieee.org. galvanic isolation while reducing the component count, making
Digital Object Identifier 10.1109/TPEL.2020.2977909 them cheaper and more efficient.

0885-8993 © 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See https://www.ieee.org/publications/rights/index.html for more information.

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10502 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

several switched-capacitor based dc–dc converters have been


proposed for applications, such as dc power supplies, VRM,
and electric vehicles [23], [27], [28], that feature high power
density and high voltage gain that can be easily extended. The
inductor-less switched-capacitor converter topologies proposed
in [27] and [29] provide high voltage step-up (or step-down)
ratio; however, they are most efficient when operated at fixed
Fig. 1. Topology of the multiport dc–dc converter proposed in [22]. duty ratio, thus provide a fixed voltage conversion ratio. The
series capacitor buck converter proposed in [30] provides half
Several partially isolated MPCs have been proposed and the voltage conversion ratio (in buck mode) as compared to
demonstrated in various applications such as distributed power a standard buck converter for the same duty ratio. The con-
systems, electric vehicles, and ESSs [9], [18]–[20]. Wu et al. [19] verter also has several advantages such as reduced voltage stress
introduced a family of partially isolated three-port converters across some of the power devices (compared to the conventional
based on bridgeless boost rectifiers for photovoltaic (PV)-battery two-phase buck converter) and automatic balancing of the fil-
based renewable power systems. However, this topology is not ter inductor currents. Although interleaved operation helps to
suitable for battery-SC-based hybrid ESS as only one of the reduce the size of the filter inductors, the topology can only
input port is current-fed. A partially isolated three-port converter be extended to achieve a higher voltage conversion ratio by
with a wide input voltage variation range was proposed in [20], adding more number of phases, which, in turn, reduces the power
which combines a solar PV cell and a battery on the primary density. Marvi et al. [31] integrated coupled inductors with the
side of the transformer. Although bidirectional power flow can series capacitor buck converter to extend the voltage conversion
be realized among all the ports (using bidirectional switches), ratio. Although the introduction of coupled inductors provides
the output power associated with each port on the primary side ZVS turn-ON for the switches, the power density is reduced
is restricted, as the power output of one port is affected by and requires additional snubber capacitors. Derived from the
the duty ratio of the other port. In [21], Chien et al. proposed series capacitor buck converter, the extended duty ratio buck
a high gain three-port converter for PV-battery based renew- converter [32] provides a symmetric structure by introducing a
able power systems. The proposed converter topology allows switched capacitor cell (referred to as a voltage multiplier (VM)
interfacing low voltage sources/storage devices. However, the module). This topology retains the major advantages of the series
power devices operate under hard-switching condition, which capacitor buck converter, while the voltage conversion ratio can
limits the maximum switching frequency and reduces the overall be extended by adding more VM modules [32], making the
power density. In [22], Ding et al. proposed a partially isolated topology a suitable candidate for applications that require a high
three-port converter for battery-SC-based hybrid ESS. In this voltage conversion ratio. However, these switched-capacitor
topology (see Fig 1), the dc voltages Vc and VDC (see Fig. 1) converter topologies are hard-switched and do not provide a
can be regulated to remain constant against wide variation in the multiport interface. Special attention is required for integrating
battery and the SC voltages (as well as load power) by controlling these topologies with a DAB/TAB structure to synthesize an
the duty ratios of the lower switches of the respective bridges. MPC. To the best of the author’s knowledge, no such topologies
On the other hand, the power flow between the primary side have been reported in the literature, which integrates switched-
and secondary side is controlled through the phase shift angle φ. capacitor converters with the existing DABs/TABs to obtain a
However, in applications where the SC voltage rating is much multiport interface.
lower than the dc bus voltage (around 6–10 times), the dc bus The major contribution of this article is to propose a par-
side active bridge switches need to operate with extremely high tially isolated MPC by combining the extended duty ratio buck
duty ratio. This significantly increases the conduction losses, converter [32] and the two-phase buck/boost converter, using a
and in some cases, ZVS of the secondary side switches are high-frequency transformer. The topological modification facil-
lost, which hampers the overall efficiency. Most of the partially itates interfacing low-voltage energy sources/storage elements
isolated topologies in literature pose severe limitations toward with a high-voltage dc bus. The high-frequency transformer also
application in battery-SC-based hybrid ESS, where the battery helps to realize zero voltage turn-ON for every switch, over the
and the SC voltages are much smaller compared to the dc bus entire operating range. Furthermore, the inclusion of the VM
voltage. module on the dc bus side helps to reduce the peak voltage stress
Power converters with high voltage conversion ratios have across some of the power semiconductors as compared to the
been studied and implemented for several applications such as existing partially isolated MPCs [18], [20]–[22]. A pulsewidth
voltage regulation modules (VRM), solar PV energy conversion modulation (PWM) plus phase-shift control scheme is proposed,
systems, and ESSs, to name a few [23], [24]. Transformer which helps to realize decoupled power flow control, and, thus,
isolated dc–dc converters (example: Flyback converter) and significantly reduce the control complexities. The proposed
coupled inductor based converters can achieve high voltage control philosophy, along with the energy management scheme,
step-up (or step-down) utilizing the turns ratio of the coupled is also applicable for the existing MPCs in battery-SC-based
inductors. However, their power density is low and requires a hybrid ESS [22].
clamp circuit to eliminate any voltage spike due to the energy The article is organized as follows. In Section II, the topol-
trapped in the leakage inductor [25], [26]. On the other hand, ogy and its operating modes are investigated along with the

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10503

Fig. 2. Topology of the proposed high gain multiport dc–dc converter.

Fig. 3. Sketch of the drive signals for the power switches and the operational waveforms: (a) Primary side; (b) Secondary side; (c) Transformer winding voltages
and the leakage inductor current.

derivation of the power flow equations and the soft-switching In the proposed high gain MPC, Dp represents the duty ratio
conditions. Section III provides a detailed small-signal model of the primary side bottom switches (Q3 or Q4 ). Similarly, Ds
of the converter. A decoupled closed-loop control scheme is is the duty ratio of the secondary side bottom switches (Q7 or
proposed for the dc-link voltage control and energy manage- Q8 ). Therefore, although the battery and the SC voltages may
ment of the storage elements. The feasibility of the proposed vary, Vc and VDC can be kept constant employing PWM control.
topology and the effectiveness of various controllers are verified Power transfer between the primary and secondary depends on
by experimental results in Section IV. Finally, the conclusion is the phase shift angle φ and the ac inductor LLk (combination of
drawn in Section V. external inductance and leakage inductance of the transformer,
referred to the primary side). The transformer also helps to
II. PROPOSED TOPOLOGY AND ITS OPERATION achieve proper voltage matching between the primary and the
secondary, i.e., Vc = nVDC /2 (where Np : Ns = n), which, in
A. Topology Description turn, reduces the RMS current of the transformer and reduce the
The power circuit of the proposed high gain MPC is shown conduction losses [33]. The detailed operation of the primary
in Fig. 2. The converter on each side of the transformer is called and secondary units of the proposed high gain MPC is discussed
a unit. For the primary unit, switches Q1 –Q4 form a two-phase next.
interleaved buck/boost converter, with L1 and L2 as the filter
inductors, and Cp as the clamp capacitor. On the secondary unit,
inductors L3 , L4 and switches Q5 –Q10 constitute a two-phase B. Detailed Operation of the Converter Units
buck/boost converter (similar to the primary unit) with a VM To simplify the analysis, all semiconductor devices are treated
module, in which the high-voltage port is connected to the dc as ideal, and deadtime durations are neglected. Also the ca-
bus, and the low-voltage port is connected to the SC. The gate pacitors Cp , Cs1 , Cs2 , and CDC are assumed to be large, so
drive signals along with the predicted theoretical waveforms are that their voltage ripples may be neglected. Fig. 4(a) shows the
depicted in Fig. 3. circuit diagram of the primary unit of the proposed high gain

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10504 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

Fig. 4. (a) Schematic of the multiphase buck/boost converter. (b) Mode AI (τα0 < t ≤ τα1 ). (c) Mode AII (τα1 < t ≤ τα2 ) and Mode AIV (τα3 < t ≤ τα4 ).
(d) Mode AIII (τα2 < t ≤ τα3 ).

TABLE I TABLE II
OPERATION OF THE MULTIPHASE BUCK/BOOST CONVERTER OPERATION OF THE EXTENDED DUTY RATIO MULTIPHASE
BUCK/BOOST CONVERTER

MPC, which is a bidirectional two-phase buck/boost converter.


Operation of the two-phase buck/boost converter in a switching
period can be divided into four modes, as described briefly in
Table I. Circuit configurations during each of these operational
modes are shown in Fig. 4(b)–(d). The gate drive signals along
with the important system variables are shown in Fig. 3(a), with
bottom switch duty ratio Dp greater than 0.5, and assuming
L1 = L2 = Lp .
At steady-state, the voltage across the clamp capacitor Cp
(Vc ) can be determined by applying volt-second balance across
the inductors L1 or L2 and is given by open, and a high-frequency transformer winding is connected
between the switch nodes “a” and “b.” It is to be noted that
VBAT Dp Ts = (Vc − VBAT ) (1 − Dp ) Ts although the load terminal is kept open here, it can be utilized
VBAT to connect other sources or storage elements (example: PV).
Vc = . (1) Fig 5(a) shows the circuit diagram of the secondary unit of
1 − Dp
the proposed high gain MPC (without the high-frequency trans-
The battery current is given as iBAT = iL1 + iL2 . As the gate former), which is a bidirectional two-phase buck/boost converter
pulses of Q1 and Q2 are 180◦ phase-shifted (interleaving PWM with a single VM module [32]. Switches Q5 and Q10 are gated
control), the battery current ripple is greatly reduced. Due to together, and so are Q6 and Q9 ; however, their gate pulses are
interleaving PWM control, the voltage vab is purely ac, having 180◦ out of phase, as shown in Fig 3(b). With this gate pulse
voltage levels Vc , 0 and −Vc [see Fig. 3(a)]. The primary unit pattern, the secondary unit also has four operational modes,
of the proposed high gain MPC is somewhat different from the which are described in Table II. The circuit configurations in
converter shown in Fig. 4(a). Here, the load terminal is kept each of these modes are shown in Fig. 5(b)–(d), and the key

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10505

Fig. 5. (a) Schematic of the extended duty ratio multiphase buck/boost converter. (b) Mode BI (τβ0 < t ≤ τβ1 ). (c) Mode BII (τβ1 < t ≤ τβ2 ) and Mode BIV
(τβ3 < t ≤ τβ4 ). (d) Mode BIII (τβ2 < t ≤ τβ3 ).

waveforms assuming L3 = L4 = Ls are shown in Fig. 3(b). At


steady state, applying volt-second balance across inductor L3
VSC Ds Ts + (VSC − Vcs2 ) (1 − Ds ) Ts = 0
VSC
Vcs2 = . (2)
1 − Ds
Similarly applying volt-second balance across inductor L4
VSC
Vcs1 = . (3)
1 − Ds
Also from Fig. 5(b) and (d), VDC = Vcs1 + Vcs2 . Thus
VSC VDC
Vcs1 = Vcs2 = = . (4)
1 − Ds 2
Therefore, the voltage gain of the extended duty ratio buck/boost
converter is given by
2 VSC
VDC = . (5)
1 − Ds
It should be noted that the feasible range of duty ratio for the
extended duty ratio buck/boost converter is Ds > 0.5. Under
steady-state conditions, during OFFstate, the voltage blocked by
the switches Q5 − Q8 is only VDC /2. Only switches Q9 and
Q10 block a maximum voltage of VDC during OFFstate. One
very desirable feature of the extended duty ratio buck/boost
converter is the inherent filter inductor current balancing [32].
This eliminates the requirement of individual inductor current
sensing and their current control. The voltage vcd has three lev-
Fig. 6. High-frequency power flow modes with different phase shift
els, i.e., VDC /2, 0 and −VDC /2 [see Fig. 3(b)]. A high-frequency φ. (a) Mode I (0 ≤ φ ≤ π (Dp − Ds )). (b) Mode II (π (Dp − Ds ) ≤
transformer winding can, thus, be connected between the switch φ ≤ π (Dp + Ds − 1)). (c) Mode III (π (Dp + Ds − 1) ≤ φ ≤ π/2). (d)
nodes “c” and “d” (see Fig. 2), which facilitates power flow at Mode IV (π (Ds − Dp ) ≤ φ ≤ 0). (e) Mode V (π (1 − Dp − Ds ) ≤ φ ≤
π (Ds − Dp )). (f) Mode VI (− π/2 ≤ φ ≤ π (1 − Dp − Ds )).
high frequency.
The extended duty ratio buck/boost converter can be general-
ized for “N” VM modules, as in [32]. The generalized voltage
gain expression is given as the secondary unit switches to achieve ZVS [34] (with proper
N +1 voltage matching). In order to simplify the power expressions,
VDC = VSC . (6) define Dp = 1 − Dp , Ds = 1 − Ds . For Mode I [see Fig. 6(a)],
1 − Ds
the following relations are obtained:
C. Transformer Power Flow Equations
 
Depending on the phase-shift angle φ, the power flow between n VDC 0.5 − Dp 0.5 − Ds φ
Iv − Iw = − −
the primary and the secondary units can be classified into several 2 fs LLK 2 2 2π
modes for both φ > 0 and φ < 0. These modes are defined  
based on the profile of the leakage inductor current as shown in n VDC 0.5 − Dp 0.5 − Ds φ
Iv + Iw = − + . (7)
Fig. 6(a)–(f). The waveforms are shown for Dp > Ds , as it helps 2 fs LLK 2 2 2π

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10506 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

TABLE III D. ZVS Analysis


iLK AT DIFFERENT SWITCHING INSTANTS
In order to realize zero voltage turn ON for any switch, the
switch current at the turn-ON instant must be negative, so that the
switch current at the instant of turn-ON actually flows through the
body diode. To ensure this for all switches in the proposed topol-
ogy, certain conditions need to be satisfied, which are analyzed
in this section. The primary unit of the proposed high gain MPC
is shown in Fig. 2. Let us analyze the switching transition for the
TABLE IV switch leg containing the switches Q1 and Q3 , assuming L1 =
TRANSFORMER POWER FLOW EXPRESSIONS
L2 = Lp . The transition for the other leg is exactly similar. When
the switch Q1 turns OFF, then the current through the inductor
L1 is minimum (since it has been discharging into the clamp
capacitor Cp till then) and is given by ILp(min) [see Fig. 3(a)].
Then, the current flowing through the switch Q3 at the instant of
its turn-ON is ILp(min) − iLk |Q3 → ON (iLk |Q3 → ON indicates the
value of leakage inductor current iLk at the instant when switch
Q3 turns ON). Consequently, the necessary condition for Q3 to
turn-ON under ZVS is

iLk |Q3 → ON > ILp(min) . (11)

Similarly when switch Q3 turns OFF, the current through the


inductor L1 is maximum (as it was being charged by the battery
till then) and is given by ILp(max) [see Fig. 3(a)]. Then, the
Solving for Iv and Iw necessary condition for Q1 to turn-ON under ZVS is

n VDC   iLk |Q1 → ON < ILp(max) . (12)


Iv = π Ds − Dp
2 XLK
The value of iLk at the switching instant is a function of phase
n VDC
Iw = φ (8) shift angle φ, whereas ILp(max) or ILp(min) depend on the value
2 XLK of the filter inductance Lp . Thus, the appropriate choice of the
where XLK represents the leakage reactance, i.e., filter inductors ensures ZVS turn-ON for all the switches over
the entire operating range. Similarly, for the secondary unit,
ZVS condition of the switches Q5 –Q10 depend on the reflected
XLK = 2 π fs LLK . leakage inductor current iLk (= niLk ) at the switching instant
The transformer power flow equation is given as and the filter inductance L3 and L4 (assumed to be equal to Ls ).
Table VI lists the necessary ZVS conditions for all switches
 Ts
2 2 of the proposed high gain MPC (where Iγ denotes the leakage
Ptx = vab iLk dt. (9)
Ts 0 inductor current at different switching instants referred to the
secondary side, γ ∈ {v, w, x, y}). The expressions for Iv , Iw ,
Solving (9) for Mode I
Ix , and Iy can be found in Table III.
n Vc VDC The aforementioned conditions (from Table VI) are, however,
Ptx = Dp φ. (10)
XLK only necessary conditions and not sufficient. For example, at the
instant when Q1 turns OFF, the difference current of L1 and LLk
The closed-form expressions of the leakage inductor current
must be sufficient to charge and discharge the output capacitance
levels at different switching instants and the transformer power
of Q1 and Q3 , respectively, and there must be sufficient deadtime
flow in various modes can be obtained in a similar fashion.
between Q1 and Q3 .
Table III lists the expressions for the leakage inductor current
Considering these requirements, ZVS condition for primary
levels at different switching instants, as shown in Fig. 6(a)–(f).
unit bottom switch Q3 (since it is difficult to achieve ZVS turn
The transformer power flow equations in each of the modes are
ON for the primary unit bottom switches, with φ > 0) is given
listed in Table IV [34]. The value of the leakage inductor LLk is
by
decided based on the maximum power delivered (or absorbed)
by the battery at φ = π/2 (or φ = −π/2). Ptx VBAT Dp Ts Vc Vc
The expressions for the peak voltage and current stress of − + 2 Coss ≤ φ (13)
2 VBAT 2 Lp tdead XLk
the different switches are listed in Table V, neglecting compo-
nent nonidealities and assuming that the converter operates in The term (2 Coss Vc /tdead ) denotes the current required to charge
Mode III and Mode VI (with φmax = π/2 and φmin = − π/2, (and discharge) the output capacitor (Coss ) of Q3 (and Q1 ) within
respectively). the deadtime (tdead ).

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10507

TABLE V
PEAK SWITCH VOLTAGE AND CURRENT STRESS

TABLE VI
ZVS CONDITIONS FOR ALL SWITCHES

Fig. 7. (a), (b) ZVS boundaries for the primary unit bottom switches as
functions of VBAT and φ (with primary unit filter inductors Lp1 and Lp2
respectively, Lp1 < Lp2 ). The colored portion indicates the ZVS region.

It is difficult to obtain a closed-form solution of (13). Hence,


Fig. 7(a) and (b) plots the ZVS boundaries for the primary unit
bottom switches as functions of the battery voltage VBAT and the
Fig. 8. (a), (b) ZVS boundaries for secondary unit bottom switches as functions
phase shift angle φ (for nominal SC voltage). These plots are of VSC and PSC (with secondary unit filter inductors Ls1 and Ls2 respectively,
shown for two different values of the primary unit filter inductors Ls1 < Ls2 , and φ = π/20) (c), (d) ZVS boundaries for secondary unit bottom
Lp1 [see Fig. 7(a)] and Lp2 [see Fig. 7(b)]. switches as functions of VSC and φ (with secondary unit filter inductors Ls1 and
Ls2 respectively, and PSC = 0.75 PSC,MAX ). The colored portion indicates the
Likewise, one can choose the secondary unit filter inductors ZVS region.
to achieve ZVS operation of the secondary unit switches. With
PSC > 0 and φ > 0, it is difficult for the bottom switches (Q7
and Q8 ) to achieve ZVS.1 Considering the deadtime transitions,
ZVS condition for secondary unit bottom switch Q7 is given as Fig. 8(a)–(b) plots the ZVS boundaries for the secondary unit
bottom switches as functions of the SC voltage VSC and the
PSC VSC Ds Ts VDC
− iLk |Q7 → ON − + ≥ 3 Coss . (14) SC power PSC (with nominal battery voltage and the converter
2 VSC 2 Ls 2 tdead operating in Mode I, φ = π/20). Here also, the plots are shown
for two different values of secondary unit filter inductors Ls1 [see
1 While considering the ZVS condition for the secondary unit switches, P
SC
Fig. 8(a)] and Ls2 [see Fig. 8(b)]. Fig. 8(c)–(d) shows the ZVS
and φ are considered to be independent. regions for Q7 as functions of the SC voltage VSC and the phase

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10508 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

TABLE VII
SYSTEM SPECIFICATIONS
operating point, i.e., dp = Dp + dˆp , ds = Ds + dˆs , and φ =
φ0 + φ̂. The time varying inputs produce perturbations in the
state variables, thus we have vc = Vc + vˆc , vDC = VDC + vDC ˆ ,
vSC = VSC + vˆSC , iBAT = IBAT + iBATˆ , and iSC = ISC + iSC
ˆ (for
this analysis, leakage inductor current of the transformer iLk
is not considered as a state variable). As analyzed in [35], the
inclusion of an additional capacitor in a series capacitor buck
converter do not influence the transfer characteristics. Thus, in
the proposed converter, the dynamics of Cs1 and Cs2 are ne-

External.
glected. For the sake of simplicity, we assume that the operation
∗∗
Magnetizing inductance referred to the primary side. of the converter is limited in Mode I [0 ≤ φ ≤ π (Dp − Ds ),
similar to Fig. 6(a)].
The expression for the average current through the primary
shift angle φ with constant PSC . The plots are shown for PSC = side clamp capacitor Cp over a switching period is given as
0.75 PSC,MAX , with two different values of filter inductors, Ls1
[see Fig. 8(c)] and Ls2 [see Fig. 8(d)]. dvc n vDC
Cp = (1 − dp ) iBAT − (1 − dp ) φ. (15)
A current-fed DAB provides a much wider range of ZVS, dt XLK
compared to a voltage-fed DAB; however, at the expense of
Linearising (15) about the steady-state operating point and
increased conduction losses. The filter inductors of the primary
equating the first-order ac terms, we get
and secondary units are chosen, based on the current ripple
 
necessary to obtain full range ZVS. If the inductors are small, (1 − Dp ) ˆ (s) − n φ0 vDC
the ripple is larger, which, in turn, increases the conduction loss. vˆc (s) = iBAT ˆ (s) . (16)
s Cp XLk
In [34], Sha et al. analyzed all possible switching patterns in a
current-fed DAB. If the primary unit of the DAB is current-fed As described earlier, the dynamics of vSC is much slower as
while the secondary unit is voltage-fed, then Dp > Ds ensures compared to the switching dynamics, hence variation of φ
that the secondary unit will achieve ZVS, even under light is neglected in (16). The switching average voltages appear-
load conditions [34] (with voltage matching control). This is ing across the primary unit filter inductors L1 and L2 are
due to the profile of the leakage inductor current, produced given as
by two high-frequency ac waveforms, applied on either side diL1
of the transformer. Hence, this condition is always satisfied in L1 = vBAT − (1 − dp ) vc (17)
dt
the proposed high gain MPC, which helps to reduce the current
diL2
ripple of the secondary unit filter inductors, and, in turn, reduces L2 = vBAT − (1 − dp ) vc . (18)
the conduction loss. dt
Based on the transformer power flow equations and ZVS Assume L1 = L2 = Lp . Combination of (17) and (18) yields
conditions as specified in Section II-C and Section II-D, re-
diBAT
spectively, one can choose the parameters of the converter. The Lp = 2 vBAT − 2 (1 − dp ) vc . (19)
voltage across the clamp capacitor on the primary unit (Vc ) is dt
chosen to ensure Dp > Ds , under steady-state operating condi- Linearizing (19) around the steady-state operating point and
tions. From the designed values of Vc and VDC , the transformer considering only first-order ac terms, we obtain
turns ratio Np : Ns is decided to realize the voltage matching  
control, and, thus, reduce the transformer RMS current. The ˆ (s) = 2 Vc dˆp (s) − (1 − Dp ) vˆc (s) .
iBAT (20)
s Lp Vc
leakage inductor LLk is determined based on the maximum
power delivered (or absorbed) by the battery at the maximum (or For the secondary unit, the expression of the switching average
minimum) phase shift angle. The filter inductors of the primary current through the dc bus capacitor CDC is given as
unit L1 and L2 are chosen to ensure ZVS turn-ON for the primary
unit switches Q1 − Q4 over the entire operating range. Similar is dvDC (1 − ds ) n vc vDC
CDC = isc + (1 − dp ) φ − (21)
the case for the secondary unit filter inductors L3 and L4 . Finally, dt 2 XLK R0
the switches for the primary and secondary units are chosen where R0 represents the load resistance. Linearizing (21) about
based on the peak voltage and current stress, as per Table V. For the steady-state operating point, we get
this work, the choice of the converter parameters are listed in
Table VII. ˆ (s)
vDC (1 − Ds ) ˆ
ˆ (s) +
s CDC vDC = iSC (s)
R0 2
 
III. MODELING AND CONTROL VDC n Vc φ0 (1 − Dp ) ˆ
− − ds (s)
A. Small Signal Modeling (1 − Ds ) R0 XLk (1 − Ds )

To obtain the small-signal model for the converter, the con- n (1 − Dp ) φ0 n Vc φ 0 ˆ


+ vˆc (s) − dp (s). (22)
trol inputs are given small variation around the steady-state XLk XLk

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10509

Fig. 9. Detailed schematic of the control block diagram for the proposed high gain MPC.

Similar to (16), the variation of φ is neglected in (22). The voltage and the phase shift are considered (i.e., vˆSC = 0 , φ̂ = 0 ).
expression for SC current dynamics is given by Equation (27) is linearized about the steady-state operating
diSC point. Comparing the first-order ac terms, we obtain
Ls = 2 vSC − (1 − ds ) vDC . (23)
dt n Vc VDC (1 − Dp )
Linearising (23) about the steady-state operating point and col- s CSC VSC vˆSC (s) = φ̂(s). (28)
XLK
lecting the first-order ac terms
  Equations (16), (20), (24), (26), and (28) provide the small-signal
ˆ (s) = VDC dˆs (s) − (1 − Ds ) vDC
iSC ˆ (s) . (24) ac model of the proposed high gain MPC.
s Ls VDC
Substituting dˆp (s) and dˆs (s) in (22), we obtain B. Proposed Control Philosophy
 
2 φ0 (1 − Dp ) In a battery-SC-based hybrid ESS, the purpose of the MPC
s CDC + −  ˆ (s)
vDC is to dynamically allocate the load between the battery and
R0 2 XLk
  the SC. Under pulsed load conditions, the SC acts as a filter
Ls φ0 (1−Dp ) Ls (1 − Ds ) ˆ that relieves peak power stresses on the battery. Hence, it is
=  (1−D ) s− s + iSC (s)
2 XLk s (1 − Ds ) R0 2 appropriate that the SC current essentially controls the dc bus
n Lp φ0 voltage VDC . However, then under normal operating conditions,
− ˆ (s)
s iBAT (25) the SC voltage VSC will vary drastically. Therefore, the phase
2 XLk
shift φ between the two voltages vab and vcd (see Fig. 3) is used

where XLk denote the equivalent leakage inductance of the to control the average SC voltage. To reduce the RMS value of
transformer, referred to the secondary side. If the dynamics of the leakage inductor current iLk and the losses, voltage Vc must
the battery current control loop is much faster than the dc bus also be controlled such that proper voltage matching is ensured
voltage control loop, s iBAT ˆ (s) in (25) can be neglected. Thus, The detailed control block diagram for the proposed high
we obtain gain MPC (along with the plant model) is shown in Fig. 9,
 
2 φ0 (1 − Dp ) which consists of three parts: the primary unit controller, the
s CDC + −  ˆ (s)
vDC
R0 2 XLk secondary unit controller, and the phase shift controller. The
  primary unit controller ensures that the primary side clamp
Ls φ0 (1 − Dp ) Ls (1−Ds ) ˆ
=  s− s+ iSC (s). capacitor voltage remains regulated, so that voltage matching
2 XLk (1−Ds ) (1 − Ds ) R0 2 is achieved; whereas, the secondary unit controller ensures that
(26) the dc bus voltage remains regulated with fast dynamic per-
The SC voltage dynamics can be obtained from the power formance. Both the controller units have a conventional nested
balance equation of the secondary unit, and is given as two-loop structure with an outer voltage control loop and inner
2 2 2
current control loop. Proportional-Integral (PI) controllers are
1 dvSC n vc vDC 1 dvDC vDC
CSC = (1 − dp ) φ − CDC − . used throughout with appropriate feed-forward compensation
2 dt XLK 2 dt R0 terms (see Fig. 9). The phase shift controller also employs a slow
PI controller to control the average SC voltage, which, in turn,
(27)
generates the reference phase shift command. The proportional
The control variable φ is used to control the average SC volt- and integral control gains of the different PI controllers are
age. Variation of φ is much slower compared to the switching chosen based on the desired bandwidth and phase margin. The
frequency (even several times slower than the primary and choice of the proportional and integral control gains of the
secondary unit controllers). Hence, only perturbations in the SC various PI controllers along with the resulting bandwidths and

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10510 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

TABLE VIII
CHOICE OF PROPORTIONAL AND INTEGRAL CONTROL GAINS OF VARIOUS CONTROLLERS

phase margins of the different loops are listed in Table VIII (for s-plane, with variations in VSC (40–52 V), VBAT (55–65 V), φ0
the system specifications mentioned in Table VII). (0–π/4), and R0 (48.3–193.6 Ω). Here again, the pole closer to
the origin is nondominant as it is accompanied by the zero of the
C. Effect of Operating Point Variation PI controller. Likewise, for the closed-loop system GCL,vSC (s),
the pole locations are dependent on the ratio of VSC to VBAT .
In a battery-SC-based hybrid ESS, the variations in battery
Fig. 10(f) shows the pole-zero locations of GCL,vSC (s) with the
and SC voltage, as well as the load, may affect the stabil-
ratio VSC / VBAT varying from 0.945 to 0.615 (considering CSC =
ity/performance of the control system, which is designed based
10 F). Variations in the operating conditions affect the bandwidth
on the linearized small-signal model. According to the small-
of the closed-loop systems, which is typical for a PI controller
signal model of the proposed high gain MPC (as obtained in
with fixed controller gains. However, the system is always stable
Section III-A) and the proposed controller (see Fig. 9), the
for the variations, as described. Besides, all the closed-loop poles
closed-loop transfer functions are given by (29)–(33), shown
lie on the real axis; hence, the system does not experience any
at the bottom of this page. For the transfer functions GCL,iBAT (s)
underdamped oscillations under any operating condition.
(30) and GCL,iSC (s) (32), the location of the closed-loop poles
are independent of the operating point (since the voltages Vc
and VDC are tightly regulated). Location of the poles for the IV. EXPERIMENTAL VERIFICATION AND
COMPARATIVE ANALYSIS
closed-loop system GCL,vc (s) (29) depend on battery voltage
VBAT , while the zero remains fixed. Fig. 10(a) shows the position In order to verify the operation of the proposed high
of the poles and zeros of GCL,vc (s), with VBAT varying from 55 gain MPC, experiments were conducted on a 1-kW labora-
to 65 V. To achieve desired bandwidth and phase margin, the tory prototype fabricated with discrete components. SuperFET
closed-loop zero is located near the pole closer to the origin. (FCH023N65S3-F155) was used as the switching device in both
The closed-loop system GCL,vDC (s) contains two poles and two the bridges. A photograph of the laboratory prototype is shown
zeros, with both poles and one zero being dependent on operating in Fig 11 (excluding the high-frequency transformer and filter
conditions like nominal duty ratios (Dp and Ds ), nominal phase inductors). Ratings and parameters of the experimental setup are
shift angle (φ0 ), and nominal load (R0 ). Fig. 10(b) and (e) shows provided in Table VII. Two three-phase diode bridge rectifiers
that the closed-loop poles of GCL,vDC (s) lie on the left half of were used to emulate the battery and the SC. The proposed


vˆc (s)  s Kp1 + Ki1
GCL,vc (s) = ∗  = (29)
vˆc (s) iBATˆ(s) = 0 C p
s2 + s Kp1 + Ki1
1 − Dp
ˆ (s)
iBAT s Kp2 + Ki2
GCL,iBAT (s) = = (30)
ˆ ∗ (s)
iBAT L p
s2 + s Kp2 + Ki2
2 Vc

ˆ (s) 
vDC s2 Kp3 ζ0 + s (ζ0 Ki3 + ζ1 Kp3 ) + ζ1 Ki3
GCL,vDC (s) = ∗  = 2 (31)
ˆ (s) iSCˆ(s) = 0
vDC s (CDC + Kp3 ζ0 ) + s (ζ2 + ζ0 Ki3 + ζ1 Kp3 ) + ζ1 Ki3
ˆ (s)
iSC s Kp4 + Ki4
GCL,iSC (s) = = (32)
ˆ ∗ (s)
iSC L s
s2 + s Kp4 + Ki4
VDC
vˆSC (s) s Kp5 + Ki5
GCL,vSC (s) = = (33)
vˆSC ∗ (s) s 2
μ0
+ s Kp5 + Ki5
VDC
 
CSC VSC XLk Ls φ0 (1 − Dp ) 1 1 − Ds 2 φ0 (1 − Dp )
where μ0 = , ζ0 =  − , ζ1 = , ζ2 = − 
n Vc (1 − Dp ) 1 − Ds 2 XLk R0 2 R0 2 XLk

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10511

Fig. 10. (a) Pole-zero location of the closed-loop transfer function GCL,vc with variation in VBAT (55–65 V). (b)–(e) Pole-zero location of the closed-loop transfer
function GCL,vDC with variations in VSC (40–52 V), VBAT (55–65 V), φ0 (0–π/4), and R0 (48.3–193.6 Ω), respectively. (f) Pole-zero location of the closed-loop
transfer function GCL,vSC with variation in VSC and VBAT (VSC / VBAT varying from 0.945 to 0.615).

the converter is shown in Fig. 12(d), with VBAT = 57 V and


VSC = 52 V. Here, the converter operates in Mode IV, with
PBAT < 0 (additional rheostat connected across the battery port).
As observed from Fig. 12(d), the battery current is negative,
which indicates that the battery is being charged. Zero voltage
turn-ON is achieved by all switches, even for small values of φ.
Fig. 13(a) and (b) shows that switches Q1 , Q4 , Q7 , and Q9 get
ZVS for φ = 8.1◦ . Due to symmetry of the proposed converter,
ZVS of the other switches can be guaranteed for similar oper-
ating conditions. The filter inductor currents of the primary and
secondary units are shown in Fig. 13(c)–(d) (with PDC = 480
W). As can be seen from Fig. 13(c), the battery current is the
sum of the filter inductor currents iL1 and iL2 , and, thus, have
a ripple of frequency 50 kHz (double the switching frequency).
Similar argument holds true for the SC current [see Fig. 13(d)].
Fig. 14(a) highlights the performance of the controller during
Fig. 11. Photograph of the laboratory prototype. load transients, with a step-change in load varying initially from
480 to 700 W, and finally settling to 480 W (with VBAT = 59 V
and VSC = 47 V). For both the primary and secondary unit
control philosophy is implemented digitally using a Kintex-7 controller, the bandwidth of the inner current loop is kept at
based FPGA development board (programmed using Verilog 2.5 kHz, while the outer voltage loop bandwidth is kept at 80 Hz.
HDL). Dc bus voltage vDC remains well regulated with maximum
Fig. 12 shows the steady-state waveforms with VBAT = 60 V overshoot/undershoot of 6 V and a settling time of 40 ms, as
and VSC = 48 V. Based on the value of φ (φ > 0), the operation can be observed from Fig 14(a). Proper voltage matching is
of the converter is shown in Mode I [see Fig. 12(a)] and Mode II ensured, since the primary unit clamp capacitor voltage vc also
[see Fig. 12(b)], respectively. The operation of the converter with remains constant. The difference in the voltages of the switched
PSC < 0 (i.e., SC being charged) is highlighted in Fig. 12(c). For capacitors vcs1 and vcs2 are insignificant, even during transients,
this, an additional rheostat was connected across the SC port as seen from Fig. 14(b). Fig. 14(c) highlights the performance of
(since diode rectifiers are unidirectional). Here, the converter the battery and the SC during load transients (iBATf and iSCf rep-
operates in Mode I, hence profile of the leakage inductor current resents the filtered values of iBAT and iSC , respectively). The SC
is similar to that shown in Fig. 12(a); however, the average compensates for the load transients by delivering or absorbing
SC current remains negative (VBAT = 62 V and VSC = 48 V). the additional power, whereas the battery current remains almost
With negative phase-shift angles (φ < 0), the operation of constant, which eventually improves battery life. Fig. 14(d)

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10512 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

Fig. 12. Steady-state operating waveforms with different phase-shift φ. (a) Mode I (φ = 8.1◦ , PBAT = 220 W, PSC = 288 W, PDC = 480 W). (b) Mode II
(φ = 29.7◦ , PBAT = 985 W, PSC = 33.6 W, PDC = 970 W). (c) Mode I (φ = 11.25◦ , PBAT = 350 W, PSC = − 96 W, PDC = 228 W). (d) Mode IV (φ = −10.5◦ ,
PBAT = − 339 W, PSC = 605 W, PDC = 220 W).

Fig. 13. ZVS turn-ON of switches on the primary and secondary units in Mode I (φ = 8.1◦ , PBAT = 220 W, PSC = 288 W, PDC = 480 W). (a) Q1 and Q4
(b) Q7 and Q9 . Filter inductor currents of (c) the primary unit and (d) the secondary unit (φ = 8.1◦ , PBAT = 220 W, PSC = 288 W, PDC = 480 W).

Fig. 14. Performance evaluation of the proposed controller (a, b, c) Transient response with the load, initially varying from 480 to 700 W and finally settling to
480 W. (d) Phase shift controller enabled to track the reference SC voltage command of 46 V, with an output power of 480 W.

shows the performance of the phase shift controller, with an and are shown for four different battery power levels while the
SC voltage reference of VSC ∗ = 46 V (with additional rheostat load power varies from 500 to 1000 W. Fig. 15(a) shows the
connected across the SC port). The phase-shift controller is efficiency trends for VBAT = 61 V, VSC = 47 V, while Fig. 15(b)
designed much slower, having a bandwidth of approximately corresponds to VBAT = 64 V, VSC = 50 V. The overall conversion
5 Hz. At time t = t1 , the phase shift controller is enabled. efficiency is high, and the maximum efficiency is 95.96%.
As a result, the SC voltage tracks the reference command and To evaluate the performance of the proposed high gain MPC
eventually settles at time t = t2 . The transients are observed to and the proposed control strategy, a comparison with the existing
settle within 150 ms (the ripple observed in the SC voltage vSC MPCs is provided in Table IX. It can be seen that the number
is due to the three-phase diode bridge rectifier). of control variables ascend with the increase in the number of
The efficiency2 characteristics of the developed hardware transformer windings and control switches [7]. Although the
prototype are experimentally verified in Fig. 15 (with φ > 0), proposed topology utilizes more switches as compared to [18],
[19], and [22], the number of control variables are less, which
2 For an MPC, efficiency is given as the ratio of the net output power to the greatly reduces control complexities. Besides, the proposed
net input power. topology allows interfacing low-voltage energy storage devices

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
CHAUDHURY AND KASTHA: HIGH GAIN MULTIPORT DC–DC CONVERTER FOR INTEGRATING ENERGY STORAGE DEVICES 10513

TABLE IX
COMPARISON OF THE PROPOSED TOPOLOGY WITH EXISTING MPCs


Requires two additional resonant inductors and one resonant capacitor.
α
ZCS turn-OFF for only switch S1 , switches S2 and S3 operate under hard switching conditions.
β
ZVS turn-ON for the MOSFETs and ZCS turn-OFF for the diodes.
δ
Difficult to achieve ZVS for the secondary unit bottom switches when operating with low voltage SC.
ι
Switches Q5 –Q8 block half the dc bus voltage. Only switches Q9 and Q10 block the entire dc-link voltage.
κ
MOSFETs block half of the dc bus voltage, while the diodes block the entire dc bus voltage.
λ
Efficiency plotted as a function of Port-3 power, while the Port-2 power remains constant.
μ
Power transferred from battery port to load port.

management of the SC under different operating conditions.


Effectiveness of the proposed topology and the control strategy
are verified experimentally on a 1-kW laboratory prototype.
The developed hardware prototype achieves a peak efficiency
of 95.96%, which is superior in comparison with the existing
MPCs in literature. Experimental results also verify that the
proposed converter provides a fast dynamic response against
load variations along with energy management of the SC under
different operating conditions. Performance comparison of the
Fig. 15. Experimental efficiency characteristics of the developed hard-
ware prototype. (a) Operating Condition #1: PBAT ≈ 210 W, Operating proposed high gain MPC with the existing solutions confirms
Condition #2: PBAT ≈ 380 W. (b) Operating Condition #3: PBAT ≈ 300 W, that the proposed converter has advantages such as reduced
Operating Condition #4: PBAT ≈ 500 W. voltage stress across some of the power devices, ZVS turn-ON
for all the switches over the entire operating range, and a much
with a high-voltage dc bus, retaining ZVS turn-ON for all the simple control structure. Although ZVS turn-ON reduces the
switches (unlike [22]). The peak voltage stress of the switches switching losses, conduction losses of the different switches
are compared as well, where 1.0 per unit corresponds to the are not balanced. Besides, the power density is low due to
clamping capacitor voltage of the respective bridges. The devel- the use of several discrete magnetic components. Hence, future
oped hardware prototype also attains higher peak efficiency, as work will include efficiency optimizations and operation of the
compared to the existing MPCs. converter at higher switching frequencies to extract the benefits
of soft-switching in improving power density without increasing
switching loss.
V. CONCLUSION
In this article, a novel high gain multiport dc–dc converter,
REFERENCES
suitable for integrating low voltage energy storage devices in a
dc microgrid, is proposed. The proposed topology provides a [1] J. Cao and A. Emadi, “A new battery/ultracapacitor hybrid energy storage
system for electric, hybrid, and plug-in hybrid electric vehicles,” IEEE
three-port interface utilizing only one two-winding transformer, Trans. Power Electron., vol. 27, no. 1, pp. 122–132, Jan. 2012.
which significantly reduces control complexities. The inclusion [2] S. M. Lukic, J. Cao, R. C. Bansal, F. Rodriguez, and A. Emadi, “Energy
of a VM module helps to increase the voltage conversion ratio storage systems for automotive applications,” IEEE Trans. Ind. Electron.,
vol. 55, no. 6, pp. 2258–2267, Jun. 2008.
between the SC and the dc bus. With careful choice of the [3] H. Zhou, T. Bhattacharya, D. Tran, T. S. T. Siew, and A. M. Khambadkone,
primary unit clamp capacitor voltage and filter inductors of the “Composite energy storage system involving battery and ultracapacitor
respective converter units, it is possible to achieve ZVS operation with dynamic energy management in microgrid applications,” IEEE Trans.
Power Electron., vol. 26, no. 3, pp. 923–930, Mar. 2011.
of all switches over the entire operating range. A decoupled [4] H. Tao, A. Kotsopoulos, J. L. Duarte, and M. A. M. Hendrix, “Family of
closed-loop control strategy is proposed, which ensures dc-link multiport bidirectional dc-dc converters,” IEE Proc.—Electr. Power Appl.,
voltage control with fast dynamic performance and energy vol. 153, no. 3, pp. 451–458, May 2006.

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.
10514 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 35, NO. 10, OCTOBER 2020

[5] Haimin Tao, J. L. Duarte, and M. A. M. Hendrix, “Multiport converters [27] W. Qian, D. Cao, J. G. Cintron-Rivera, M. Gebben, D. Wey, and F. Z.
for hybrid power sources,” in Proc. IEEE Power Electron. Spec. Conf., Peng, “A switched-capacitor dc–dc converter with high voltage gain and
Jun. 2008, pp. 3412–3418. reduced component rating and count,” IEEE Trans. Ind. Appl., vol. 48,
[6] W. Jiang and B. Fahimi, “Multi-port power electric interface for renewable no. 4, pp. 1397–1406, Jul. 2012.
energy sources,” in Proc. 24th Annu. IEEE Appl. Power Electron. Conf. [28] G. Wu, X. Ruan, and Z. Ye, “Nonisolated high step-up dc–dc converters
Expo., Feb. 2009, pp. 347–352. adopting switched-capacitor cell,” IEEE Trans. Ind. Electron., vol. 62,
[7] C. Zhao, S. D. Round, and J. W. Kolar, “An isolated three-port bidirectional no. 1, pp. 383–393, Jan. 2015.
dc-dc converter with decoupled power flow management,” IEEE Trans. [29] Y. Lei and R. C. N. Pilawa-Podgurski, “A general method for analyzing
Power Electron., vol. 23, no. 5, pp. 2443–2453, Sep. 2008. resonant and soft-charging operation of switched-capacitor converters,”
[8] J. Zeng, W. Qiao, L. Qu, and Y. Jiao, “An isolated multiport dc–dc con- IEEE Trans. Power Electron., vol. 30, no. 10, pp. 5650–5664, Oct. 2015.
verter for simultaneous power management of multiple different renewable [30] K. Nishijima, K. Harada, T. Nakano, T. Nabeshima, and T. Sato, “Analysis
energy sources,” IEEE J. Emerg. Sel. Topics Power Electron., vol. 2, no. 1, of double step-down two-phase buck converter for VRM,” in Proc. 27th
pp. 70–78, Mar. 2014. Int. Telecommun. Conf., Sep. 2005, pp. 497–502.
[9] Z. Qian, O. Abdel-Rahman, H. Al-Atrash, and I. Batarseh, “Modeling and [31] F. Marvi, E. Adib, and H. Farzanehfard, “Efficient ZVS synchronous buck
control of three-port dc/dc converter interface for satellite applications,” converter with extended duty cycle and low-current ripple,” IEEE Trans.
IEEE Trans. Power Electron., vol. 25, no. 3, pp. 637–649, Mar. 2010. Ind. Electron., vol. 63, no. 9, pp. 5403–5409, Sep. 2016.
[10] A. K. Bhattacharjee, N. Kutkut, and I. Batarseh, “Review of multiport [32] Y. Jang, M. M. Jovanovic, and Y. Panov, “Multiphase buck converters with
converters for solar and energy storage integration,” IEEE Trans. Power extended duty cycle,” in Proc. 21st Annu. IEEE Appl. Power Electron.
Electron., vol. 34, no. 2, pp. 1431–1445, Feb. 2019. Conf. Expo., Mar. 2006.
[11] R. W. D. Doncker, D. M. Divan, and M. H. Kheraluwala, “A three-phase [33] A. K. Jain and R. Ayyanar, “PWM control of dual active bridge: Com-
soft-switched high power density dc/dc converter for high power applica- prehensive analysis and experimental verification,” IEEE Trans. Power
tions,” in Proc. Conf. Rec. IEEE Ind. Appl. Soc. Annu. Meeting, Oct. 1988, Electron., vol. 26, no. 4, pp. 1215–1227, Apr. 2011.
vol. 1, pp. 796–805. [34] D. Sha, X. Wang, and D. Chen, “High-efficiency current-fed dual active
[12] D. Xu, C. Zhao, and H. Fan, “A PWM plus phase-shift control bidirectional bridge dc–dc converter with ZVS achievement throughout full range of
dc-dc converter,” IEEE Trans. Power Electron., vol. 19, no. 3, pp. 666–675, load using optimized switching patterns,” IEEE Trans. Power Electron.,
May 2004. vol. 33, no. 2, pp. 1347–1357, Feb. 2018.
[13] F. Z. Peng, H. Li, G.-J. Su, and J. S. Lawler, “A new ZVS bidirectional [35] K. Nishijima, K. Harada, T. Nakano, T. Nabeshima, and T. Sato, “Analysis
dc-dc converter for fuel cell and battery application,” IEEE Trans. Power of double step-down two-phase buck converter for VRM,” in Proc. 27th
Electron., vol. 19, no. 1, pp. 54–65, Jan. 2004. Int. Telecommun. Conf., Sep. 2005, pp. 497–502.
[14] H. Tao, J. L. Duarte, and M. A. M. Hendrix, “Three-port triple-half-bridge
bidirectional converter with zero-voltage switching,” IEEE Trans. Power
Electron., vol. 23, no. 2, pp. 782–792, Mar. 2008.
[15] H. Krishnaswami and N. Mohan, “Three-port series-resonant dc–dc con-
verter to interface renewable energy sources with bidirectional load and Triptendu Chaudhury received the B.Tech. degree
energy storage ports,” IEEE Trans. Power Electron., vol. 24, no. 10,
in electrical engineering from the West Bengal Uni-
pp. 2289–2297, Oct. 2009.
versity of Technology, Kolkata, India, in 2016 and
[16] J. L. Duarte, M. Hendrix, and M. G. Simoes, “Three-port bidirectional
the M.Tech. degree in electrical engineering (with
converter for hybrid fuel cell systems,” IEEE Trans. Power Electron.,
specialization in machine drives and power electron-
vol. 22, no. 2, pp. 480–487, Mar. 2007.
ics) in 2019 from the Indian Institute of Technology
[17] D. Liu and H. Li, “A ZVS Bi-directional DC–DC converter for multiple
Kharagpur, Kharagpur, India, where he is currently
energy storage elements,” IEEE Trans. Power Electron., vol. 21, no. 5, working toward the Ph.D. degree with the Department
pp. 1513–1517, Sep. 2006.
of Electrical Engineering.
[18] J. Zeng, W. Qiao, and L. Qu, “An isolated three-port bidirectional dc–
His research interests include topologies and con-
dc converter for photovoltaic systems with energy storage,” IEEE Trans.
trol of isolated dc–dc converters and dc–ac converters.
Industry Appl., vol. 51, no. 4, pp. 3493–3503, Jul. 2015.
[19] H. Wu, J. Zhang, X. Qin, T. Mu, and Y. Xing, “Secondary-side-regulated
soft-switching full-bridge three-port converter based on bridgeless boost
rectifier and bidirectional converter for multiple energy interface,” IEEE
Trans. Power Electron., vol. 31, no. 7, pp. 4847–4860, Jul. 2016.
[20] H. Wu, K. Sun, R. Chen, H. Hu, and Y. Xing, “Full-bridge three-port Debaprasad Kastha (Senior Member, IEEE) re-
converters with wide input voltage range for renewable power systems,” ceived the B.E. degree in electrical engineering from
IEEE Trans. Power Electron., vol. 27, no. 9, pp. 3965–3974, Sep. 2012. the Indian Institute of Engineering Science and Tech-
[21] L. Chien, C. Chen, J. Chen, and Y. Hsieh, “Novel three-port converter with nology, Shibpur, India (formerly Bengal Engineering
high-voltage gain,” IEEE Trans. Power Electron., vol. 29, no. 9, pp. 4693– College, Calcutta University, Kolkata) in 1987, the
4703, Sep. 2014. M.E. degree in electrical engineering (with special-
[22] Z. Ding, C. Yang, Z. Zhang, C. Wang, and S. Xie, “A novel soft-switching ization in power electronics) from the Indian Institute
multiport bidirectional dc–dc converter for hybrid energy storage system,” of Science, Bangalore, India, in 1989, and the Ph.D.
IEEE Trans. Power Electron., vol. 29, no. 4, pp. 1595–1609, Apr. 2014. degree from the University of Tennessee, Knoxville,
[23] W. Li and X. He, “Review of nonisolated high-step-up dc/dc converters TN, USA, in 1993.
in photovoltaic grid-connected applications,” IEEE Trans. Ind. Electron., From March 1989 to December 1989, he was with
vol. 58, no. 4, pp. 1239–1250, Apr. 2011. the Research and Development (Electronics) Division, Crompton Greaves,
[24] M. Forouzesh, Y. P. Siwakoti, S. A. Gorji, F. Blaabjerg, and B. Lehman, Ltd., Mumbai, India. In April 1994, he joined the Department of Electrical
“Step-up dc–dc converters: A comprehensive review of voltage-boosting Engineering, Indian Institute of Technology, Kharagpur, India, where became a
techniques, topologies, and applications,” IEEE Trans. Power Electron., Professor in 2011. He has been teaching and doing research in the area of power
vol. 32, no. 12, pp. 9143–9178, Dec. 2017. electronics and drives for more than two decades now and has authored about 50
[25] T. Wu, Y. Lai, J. Hung, and Y. Chen, “Boost converter with coupled in- technical papers, books, and electronic teaching aids. He has coauthored a book
ductors and buck–boost type of active clamp,” IEEE Trans. Ind. Electron., titled Wind Electrical Systems (Oxford University Press, 2005) and prepared
vol. 55, no. 1, pp. 154–162, Jan. 2008. web-based and video courses on power electronics and electrical machines,
[26] J. Zhang, X. Huang, X. Wu, and Z. Qian, “A high efficiency flyback respectively, as parts of NPTEL program of Government of India. His research
converter with new active clamp technique,” IEEE Trans. Power Electron., interests include the areas of wind power generation, machine drives, dc power
vol. 25, no. 7, pp. 1775–1785, Jul. 2010. supply, and distribution systems.

Authorized licensed use limited to: University College London. Downloaded on July 05,2020 at 12:24:20 UTC from IEEE Xplore. Restrictions apply.

You might also like