Electronics Communication Engineering 1

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 207

School of Engineering

Course Structure and Syllabi

B. Tech. (Electronics & Communication


Engineering)

Academic Programs

July, 2015
B.Tech. in Electronics & Communication Engineering (Total Credits: 283)
Course Structure

Semester III

Subject Subject Contact Hours Credits


Code L-T-P
BAS 003A Multivariate Analysis, Linear Algebra and F
3-0-0 3
Special Functions
BES011A Materials Science 2-0-0 2 F
BEE001A Electronics Devices 3-1-0 4 C
BEE002A Digital Electronics 3-1-0 4 C
BEE003A Network Theory & System 3-1-0 4 C
BEE004A Electronic Measurement & Instrumentation 2-1-0 3 C
BMC009A Energy Studies 3-0-0 3 ID
BEE005A Electronics Devices Lab 0-0-2 2 C
BEE006A Digital Electronics Lab 0-0-2 2 C
BEE007A Electronic Measurement Lab 0-0-2 2 C
BHS003A Professional Skills-III 7 7 ID
BEE074A Seminar 0-0-1 1 C

TOTAL 26-4-7 37

Semester –IV

Subject Subject Contact Hours Credits


Code L-T-P
BAS005A Complex Analysis 2-0-0 2 F
BEE011A Probability & Stochastic Processes 2-1-0 3 C
BEE012A Analog Electronics 3-1-0 4 C
BEE013A Signal and Systems 3-1-0 4 C
BEE014A Principle of Communication 3-1-0 4 C
BEE015A Electromagnetic Field Theory 3-1-0 4 C
BEE016A Object Oriented Programming 2-0-0 2 ID
BEE017A Electronic Workshop 0-0-2 2 C
BEE018A Analog Electronics Lab 0-0-2 2 C
BEE019A Object Oriented Programming Lab 0-0-2 2 ID
BHS004A Professional Skills-IV 6 6 ID
BEE075A Seminar 0-0-1 1 C
TOTAL 24-5-7 36

Semester –V
Subject Subject Contact Hours Credits
Code L-T-P
BAS004A Optimization & calculus of variations 2-0-0 2 F
BAS007A Discrete Mathematics 2-0-0 2 F
BEE020A Microprocessor & Microcontroller System 3-1-0 4 C
BEE021A Introduction to Digital Communication 3-1-0 4 C
BEE022A Microprocessors & Microcontroller System 0-0-2 2
C
Lab
BEE023A Communications Lab 0-0-2 2 C
BEE024A Basic Simulation Lab 0-0-2 2 C
Program Elective –I 3-1-0 4 S
Program Elective –II 3-1-0 4 S
Open Elective –I 3-0-0 3 ID
BHS005A Professional Skills-V 6 6 ID
BEE076A Seminar 0-0-1 1 C

TOTAL 25-4-7 36

Program Electives – I BEE025A Microwave Theory and Techniques


BEE026A Data Structure

BEE027A IC Technology
Program Electives – II BEE028A Information Theory and Coding

BEE029A Computer Organization & Architecture


BEE030A Power Electronics
Semester –VI
Subject Subject Contact Hours Credits
Code L-T-P
BAS008A Fuzzy and computational Mathematics 2-0-0 2 F
BEE033A Digital Signal Processing 3-0-0 3 C
BEE034A VLSI Design 3-1-0 4 C
BEE035A Control System 3-0-0 3 C
BEE036A Digital Signal Processing Lab 0-0-2 2 C
BEE037A VLSI Design Lab 0-0-2 2 C
BEE038A Control System Lab 0-0-2 2 C
Program Elective –III 3-1-0 4 S
Program Elective –IV 3-1-0 4 S
Open Elective –II 3-0-0 3 ID
BHS006A Professional Skills-VI 6 6 ID
BEE077A Seminar 0-0-1 1 C
TOTAL 26-3-7 36

Program Electives - III BEE039A Antennas and Wave Propagation


BEE040A Optimization Techniques
BEE041A Embedded System

Program Electives – IV BEE042A Radar &Satellite Communication


BEE043A Algorithms
BEE044A Digital Hardware Design
Semester –VII
Subject Subject Contact Hours Credits
Code L-T-P
BAS009A Linear Algebra 2-1-0 3 C
BEE049A Communication Networks 3-0-0 3 C
BEE050A Fiber Optic Communication 3-0-0 3 C
BEE051A Mobile Communication 2-1-0 3 C
BEE052A Communication Networks Lab 0-0-2 2 C
Program Elective –V 3-1-0 4 S
Program Elective –VI 3-1-0 4 S
Open Elective –III 3-0-0 3 ID
BEE053A Project 0-0-4 4 C
BHS007A Professional Skills-VII 6 6 ID
BEE078A Seminar 0-0-1 1 C
TOTAL 25-4-7 36

Program Electives – V BEE054A Adaptive Signal Processing


BEE055A Speech and Audio Processing
BEE056A ASIC & FPGA
BEE057A Micro Electro Mechanical systems
Program Electives – VI BEE058A Broad Band Communication
BEE059A Image &Video Processing
BEE060A Artificial neural Network
BEE061A Mixed Signal Design
BEE062A DSP Processors and Applications

Semester –VIII
Subject Subject Contact Hours Credits
Code L-T-P
BEE063A Industrial Project/Dissertation ------- 28 C
TOTAL 28

LIST OF OPEN ELECTIVE SUBJECTS OFFERED BY ECE DEPARTMENT


S. Course Hrs/Wk
Course Title Credits
No. No. L: T: P
1 BEE064A Analog communication
3
3: 0: 0
2 BEE013A Signals and Systems 3: 1: 0 4
3 BEE067A Introduction to VLSI 3: 0: 0 3
4 BEE065A Microprocessor and interfacing 3: 0: 0 3
5 BEE070A
3
Digital Communication 3: 0: 0
6 BEE033A Digital Signal Processing 3: 0: 0 3

7 BEE071A Engineering System Modeling and Simulation 3: 0: 0


3
8 BEE068A Microcontrollers and Embedded system 3: 0: 0
3
9 BEE072A Artificial Intelligence and Robotics 3: 0: 0
3
10 BEE069A Embedded networks and protocol 3: 0: 0 3

11 BEE066A Telecommunications and Data Communications 3: 0: 0 3

LIST OF SUBJECTS OFFERED BY ECE DEPARTMENT TO OTHER


DEPARTMENTS
S. Course Branch
No. No. Course Title Semester
1 BEE008A Electronic Devices and Systems EE
III
2 BEE020A Microprocessor & Microcontroller System V
3 BEE022A Microprocessor & Microcontroller System Lab V
4 BEE045A VI
Communication Systems
5 BEE046A
VI
Communication Systems Laboratory
6 BEE009A Digital Systems CSE III

7 BEE010A Digital Systems lab


III
8 BEE047A Embedded Computing System
VI
9 BEE048A Embedded Computing Laboratory VI

JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B. Tech. (common to all disciplines)-I/II Semester
Contact Hours (L-T-P): 3-0-0
Basic Electronics Engineering(BES001A)

Course Objectives of Basic Electronics Engineering:

1. To study the concept of Semiconductor Diode and their applications. Also the concept of
Opto-Electronic Devices.
2. Concept of BJT and their configurations. As well as the concept of Field Effect Transistor with
their various configuration.
3. Concept of Small Signal Amplifiers with feedback. Introduction to Op-Amp with their various
configuration and their applications.
4. Various IC 555 Timer and their configurations.
5. Concept of ADC and DAC. Various Binary Number Systems and Codes, Logic Gates and
Logic Circuit.

Unit 1: Semiconductor Diode - Ideal versus Practical, Resistance Levels, Diode Equivalent
Circuits, Load Line Analysis; Diode as a Switch, Diode as a Rectifier, Half Wave and Full Wave
Rectifiers with and without Filters; Breakdown Mechanisms, Zener Diode – Operation and
Applications; Opto-Electronic Devices – LEDs, Photo Diode and Applications; Silicon
Controlled Rectifier (SCR) – Operation, Construction, Characteristics, Ratings, Applications;
Unit 2: Bipolar Junction Transistor (BJT) – Construction, Operation, Amplifying Action,
Common Base, Common Emitter and Common Collector Configurations, Operating Point,
Voltage Divider Bias Configuration; Field Effect Transistor (FET) – Construction,
Characteristics of Junction FET, Depletion and Enhancement type Metal Oxide Semiconductor
(MOS) FETs, Introduction to CMOS circuits.
Unit 3: Small Signal Amplifiers – Basic Features, Common Emitter Amplifier, Coupling and
Bypass Capacitors, Distortion, AC Equivalent Circuit; Introduction to feedback. Introduction to
Op-Amp, Differential Amplifier Configurations, CMRR, PSRR, Slew Rate; Block Diagram, Pin
Configuration of 741 Op-Amp, Characteristics of Ideal OpAmp, Concept of Virtual Ground.
Unit 4: Op-Amp Applications - Inverting, Non-Inverting, Summing and Difference Amplifiers,
Voltage Follower, Comparator, Differentiator, Integrator; IC 555 Timer – Block Diagram,
Astable and Monostable Multivibrator Configurations.
Unit 5: Basic Principle of Analogue–to-Digital (ADC) and Digital-to-Analogue (DAC)
Conversion, Successive Approximation type ADC, Resistor Ladder Type DAC, Specifications of
ADC and DAC. Binary Number Systems and Codes; Basic Logic Gates and Truth Tables,
Boolean Algebra, De Morgan‟s Theorems, Logic Circuits, Introduction to combinational and
sequential circuits.

Text Books:
1. R. L. Boylestad & Louis Nashlesky (2007), Electronic Devices &Circuit Theory, Pearson
Education

Reference Books
1. Santiram Kal (2002), Basic Electronics- Devices, Circuits and IT Fundamentals, Prentice Hall,
India
2. David A. Bell (2008), Electronic Devices and Circuits, Oxford University Press
3. Thomas L. Floyd and R. P. Jain (2009), Digital Fundamentals, Pearson Education
4. R. S. Sedha (2010), A Text Book of Electronic Devices and Circuits, S.Chand & Co.
5. R. T. Paynter (2009), Introductory Electronic Devices & Circuits – Conventional Flow
Version, Pearson Education
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech. (common to all disciplines)-I/II Semester
Contact Hours (L-T-P): 0-0-2

Basic Electronics Engineering Lab (BES004A)

1. Familiarization of electronics component and equipments like C.R.O, Function generator and
power supplies etc. Generate a sine wave using a function generator and measure its amplitude
and frequency using C.R.O.
2. Determine static resistance and dynamic resistance of p-n junction diode and plot the V-I
characteristics
3. Plot the V-I characteristics of zener diode and hence determine the dynamic resistance from
the characteristics.
4. Design and test, diode clipping circuits for peak clipping and peak detection.
5. Design and test, positive and negative clamping circuit for a given reference voltage.
6.i) Observe output waveform of half wave rectifier with and without filter capacitor and
measure DC voltage, DC current, ripple factor with and without filter capacitor.

6.ii) Observe output waveform of full wave rectifier with and without filter capacitor and
measure DC voltage, DC current, ripple factor with and without filter capacitor.
7. Observe waveform at the output of Bridge rectifier with and without filter capacitor and
measure DC voltage, DC current, ripple factor with and without filter capacitor.
8.Design a half wave rectifier using discrete components on a breadboard and measure DC
voltage, DC current, ripple factor, with and without filter capacitor.

9. Design a full wave rectifier using discrete components on a breadboard and measure DC
voltage, DC current, ripple factor with and without filter capacitor.
10. Obtain the input and output characteristics of common emitter transistor
11. Obtain the input and output characteristics of common base transistor.
12. Draw DC load line of transistor working as a switch.
13. Obtain V-I characteristics of field effect transistor (FET).
14. Design a circuit for inverting amplifier using op amp IC741.
15. Verification of Truth table of basic & universal Gates using ICs.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 3-0-0

Multivariate Analysis, Linear Algebra and Special Functions (BAS003A)

Unit 1: Multivariate functions covering, limits, continuity and differentials, partial derivatives,
maximum-minimum problems, Laangians, Chain rule; Double integrals, iterated integrals, triple
integrals.
Unit 2: line integrals, simple connected regions, Green‟s theorem; Path independence, surface
integrals, Stokes theorem; Fourier series and integral, Dirichlet,s conditions, Parseval‟s identity,
the convolution theorem.
Unit 3: Vectors covering, laws of vector algebra, operations- dot, cross, triple products. Vector
function – limits, continuity and derivatives, geometric interpretation, Gradient, divergence and
curl – formulae, Orthogonal curvilinear coordinates, Jacobians, gradient, divergence, curl and
Laplacian in curvilinear coordinates, Special curvilinear coordinates.
Unit 4: Gama Beta and other Special Functions covering, the Gama function, values and graph,
asymptotic formula for T(n)l The Beta function – Dirichlet,s integral; Other special functions –
Error function, exponential integral, sine and cosine integrals.
Unit 5: Bessel‟s differential equation and function (first and second kind), Legendre differential
equation and polynomials, some applications.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 2-0-0

Materials Science (BES011A)

Unit 1: Crystal Structure covering, Atomic structure and inter-atomic bonding, Structure of
crystalline solids; Lattices, unit cells; Crystal systems, Bravais lattices; Indexing of directions
and planes, notations, Inter-planar spacings and angles, co- ordination number, packing factors.

Unit 2: Defects in Crystals covering, Point defects; Dislocations, Types of dislocations, Burgers
vector and its representation; Planar defects, stacking faults, twins, grain Boundaries.

Unit 3: Ceramic Materials covering, Introduction, ceramic structures, silicate structures,


processing of ceramics; Properties, glasses; Composite Materials- Introduction, classification,
concrete, metal-matrix and ceramic –matrix composites; Electrical & Electronic Properties of
Materials: Electrical Conductivity, Electronic and Ionic Conductivity, Intrinsic and Extrinsic
Semi conductivity, Semiconductor Devices, Dielectric Properties, Piezo-electricity.

Unit 4: Mechanical Properties of Materials covering, Concepts of stress and strain, Stress-Strain
diagrams; Properties obtained from the Tensile test; Elastic deformation, Plastic deformation.
Impact Properties, Strain rate effects and Impact behavior, Hardness of Materials.

Unit 5: Magnetic Materials covering, Introduction, Magnetic fields or quantities, types of


magnetism, classification of magnetic materials, soft magnetic materials, H magnetic materials,
Ferrites, Ferro, Para Magnetic materials; Nano Materials covering, Introduction – Nano material
preparation, purification, sintering nano particles of Alumina and Zirconia, Silicon carbide,
nano-op, nano-magnetic, nano-electronic, and other important nano materials.
Text Book
1. Principles Of Electronic Materials And Devices, S O Kasap, TMH
Reference Books
1. Electronics Engineering Material And Devices, J Allison, TMH
2. Electronics Material And Processes Hand book, Charles Harpa, Ronald Sampson, TMH
3. Introduction To Materials Science For Engineers, Shackelford, Pearson.
4. Material Science For Electrical And Electronic Engineers, Jones, Oxford
5. William D. Callister, Jr (2008), Callister‟s Materials Science and Engineering, (Adopted
by R. Balasubramaniam) Wiley-Eastern.
6. A.S. Edelstein and R.C. Cammarata Ed.(1998), Nano Materials: Synthesis, Properties
and Applications, Inst. Of Physics Publishing, UK
7. Raghavan V (2007), Materials Science and Engineering - A First Course, Prentice Hall,
India
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 3-1-0

Electronics Devices (BEE001A)

Course Objectives:
1. Understand the working of diodes, transistors.
2. Understand the application of different electronic devices and simple circuits.
3. This course gives an overview of various semiconductor devices.
4. At the end of this course, the students will be able to analyze and design amplifier
circuits, Oscillators and filter circuits employing BJT, FET devices.
5. To develop the knowledge of semiconductor devices and circuits, and explain their use in
communication applications.
6. To inculcate circuit analysis capabilities in students.
7. To make students aware of various types of integrated circuits that can be used in
computer applications.
8. To make students aware that knowledge gained in electronic devices and circuits is
useful in real life applications.

Unit 1: Semiconductor physics: Allowed and forbidden energy bands, electrical conduction in
solids, Density of state function, Statistical mechanics, Charge carriers in semiconductors,
dopant atoms and energy levels, Extrinsic semiconductors, Statistics of donors and acceptors,
charge neutrality, position of Fermi level, Carrier drift, Carrier diffusion, graded impurity
distribution, Hall effect, Carrier generation and recombination, characteristics of excess carriers,
Ambipolar Transport.
Unit 2: The pn junction: basic structure of pn junction, zero applied bias, non-uniformly doped
junctions, pn junction current, small signal model of the pn junction, generation and
recombination currents, junction breakdown, charge storage and diode transients, the tunnel
diode, schottky barrier diode, metal semiconductor ohmic contacts, heterojunctions.
Unit 3: The bipolar transistor: The bipolar transistor action, minority carrier distribution, low
frequency common base current gain, non-ideal effects, Ebers-Moll model, Gummel-poon
model, Hybrid equivalent model, Hybrid-π Model, frequency limitations, large signal switching,
polysilicon emitter BJT, silicon- germanium base transistor, heterojunction bipolar transistors.
Unit 4: Fundamentals of MOS field effect transistors: The two terminal MOS structure,
capacitance voltage characteristics, basic MOSFET operation, Frequency limitations, CMOS
technology, non ideal effects, MOSFET scaling, threshold voltage modifications, additional
electrical characteristics, radiation and hot electron effects.
Unit 5: Discrete transistor amplifiers: Common-emitter fixed bias configuration, Voltage-divider
bias, CE emitter bias configuration, Emitter follower configuration, Common-base configuration,
Collector feedback configuration, Collector DC Feedback Configuration, Determining Current
Gain, Effect of RL and RS , Two port systems approach, Cascaded systems, Darlington
Connection.

Text Book
1. Semiconductor Physics and Devices, Donald A. Neamen, TMH
2. Electronic Devices And Circuit Theory, Boylestad, Pearson
Reference books
1. Semiconductor Devices: Modelling And Technology, Nandita Dasgupta, PHI.
2. Solid State Electronic Devices, B.G. Streetman, PHI.
3. Electronic Devices And Circuits, Salivahanan, TMH
4. Electronic Devices, Floyd, Pearson.
5. Electronic Devices And Circuits, Bell, Oxford
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 3-1-0

Digital Electronics (BEE002A)

Course Objectives:
1. To introduce number systems and codes.
2. To introduce basic postulates of Boolean algebra and shows the correlation between
Boolean expressions.
3. To introduce the methods for simplifying Boolean expressions.
4. To outline the formal procedures for the analysis and design of combinational circuits
and sequential circuits.
5. To introduce the concept of memories, programmable logic devices and digital ICs
6. On completion of this course, the students can design combinational and sequential
digital logic circuits. Also they will have knowledge on Programmable Logic devices and
its usage.
7. To illustrate the concept of synchronous and asynchronous sequential circuits.

Unit 1: Introduction- Digital Systems; Data representation and coding; Logic circuits, integrated
circuits; Analysis, design and implementation of digital systems; CAD tools. Number Systems
and Codes- Positional number system; Binary, octal and hexadecimal number systems; Methods
of base conversions; Binary, octal and hexadecimal arithmetic; Representation of signed
numbers; Fixed and floating point numbers; Binary coded decimal codes; Gray codes; Error
detection and correction codes - parity check codes and Hamming code.

Unit 2: Combinatorial Logic Systems- Definition and specification; Truth table; Basic logic
operation and logic gates. Boolean Algebra and Switching Functions- Basic postulates and
fundamental theorems of Boolean algebra, Standard representation of logic functions - SOP and
POS forms; Simplification of switching functions - K-map and Quine-McCluskey tabular
methods, Synthesis of combinational logic circuits.

Unit 3: Logic families-Introduction to different logic families; Operational characteristics of BJT


in saturation and cut-off regions; Operational characteristics of MOSFET as switch; TTL inverter
- circuit description and operation; CMOS inverter - circuit description and operation; Structure
and operations of TTL and CMOS gates; Electrical characteristics of logic gates – logic levels
and noise margins, fan-out, propagation delay, transition time, power consumption and power-
delay product. Combinational Logic Modules and their applications-Decoders, encoders,
multiplexers, demultiplexers and their applications, Parity circuits and comparators, Arithmetic
modules- adders, subtractors and ALU, Design examples.

Unit 4: Sequential Logic systems- Definition of state machines, state machine as a sequential
controller; Basic sequential circuits- latches and flip-flops: SR-latch, D-latch, D flip-flop, JK
flip-flop, T flip-flop; Timing hazards and races; Analysis of state machines using D flip-flops
and JK flip-flops; Design of state machines - state table, state assignment, transition/excitation
table, excitation maps and equations, logic realization; Design examples. State machine design
approach-Designing state machine using ASM charts, Designing state machine using state
diagram, Design examples.

Unit 5: Sequential logic modules and their applications- Multi-bit latches and registers, counters,
shift register, application examples. Memory- Read-only memory, read/write memory – SRAM
and DRAM. Programmable Logic Devices-PLAs, PALs and their applications; Sequential PLDs
and their applications; State- machine design with sequential PLDs; Introduction to field
programmable gate arrays (FPGAs).
Text Book
1. Digital Design, M.Morris Mano, Pearson
2. Digital Design Principles – Fletcher.

Reference book
1. Modern Digital Electronics, R.P. Jain, TMH
2. Fundamentals of Digital Logic with VHDL Design by Stephen Brown, Zvonko Vranesic
- McGraw-Hill Science.
3. Digital Principles And Applications (Special Indian Edition), Leach & Malvino, TMH
4. Digital Fundamental, Floyd & Jain, Pearson.
5. Digital Logic And Computer Design, Mano, Pearson
6. Digital Systems: Principles And Applications, Tocci, Pearson
7. Digital System Design – John Wakerley.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B. Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 3-1-0

Network Theory & System (BEE003A)

Course Objectives:
1. To deals with elementary network Theory and transient response of circuit with various
type of Signals. It also gives the students the knowledge of fundamental of network
synthesis in order to solve the problem involved in design. It also includes two port
network; electrical filter; and topology. All Topics are concerned with and are based on
electric circuit theory and it is hoped that the students will find to this advantages to
understand the basic approach from circuit view point.
2. Introduce students to different methods involves in analysis both linear and nonlinear
networks.
3. provide students with basic information on how to perform circuit analysis using
network parameters and provide students with required knowledge on how to determine
system stability using network stability criteria.

Unit 1: NETWORK THEOREMS AND ELEMENTS: Introduction to basic circuit elements,


KVL, KCL, Ohm‟s Law. Theorems - Thevenin‟s, Norton‟s, Reciprocity, Superposition,
Compensation, Miller‟s, Tellegen‟s and maximum power transfer theorems. Networks with
dependent sources.
Unit 2:NETWORK GRAPH THEORY: Network Graph, Tree, Fundamental loop, Cut set,
Incidence matrix, augmented Incidence matrix.
Unit 3: TRANSIENTS ANALYSIS: Response of circuits to Impulse, step, ramp and sinusoidal
inputs. Analysis of first order and second order circuits. Time domain & frequency domain
analysis. Initial and final value theorem. Complex periodic waves and their analysis by Fourier
analysis. Different kinds of symmetry. Power in a circuit.

Unit 4: NETWORK FUNCTIONS & SYNTHESIS: Terminals and terminal pairs, driving point
impedance transfer functions, poles and zeros. Procedure of finding network functions for
general two terminal pair networks. Stability & causality. Hurwitz polynomial, positive real
function. RL & RC networks synthesis, Foster First & Second forms, Cauer forms.

Unit 5: TWO PORT NETWORKS: Two port parameters and their interrelations, z –parameter, y-
parameters, h-parameters, ABCD parameters. Equivalence of two ports, transformer equivalent,
interconnection of two port networks, image parameters. Attenuation and phase shift in symmetrical T-
and O –network.

Text Book
1. Engineering Circuit Analysis-Willian H. Hayt & Jack E. Kemmerly TMH.
2. Networks and Systems- “D. Roy Choudhury” New Age International.

Reference Book
1. Circuits And Networks: Analysis And Synthesis, Sudhakar, TMH
2. Network Analysis And Synthesis, Ghosh & Chakrabarti, TMH
3. Schaum‟s Outlines Of Electric Circuits (Sie), Nahvi, TMH
4. Basic Engineering Circuit Analysis, Irwin, Wiley
5. Network Analysis & Synthesis, Kuo, Wiley
6. Network Theory: Analysis And Synthesis, Smarjit Ghosh, PHI
7. Network Analysis- “M.E. Van Valkenburg” Pearson Education.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 2-1-0

Electronic Measurement & Instrumentation (BEE004A)

Course Objectives:
1.Understand principle, working and operation of various Electrical and electronic
instruments.
2. Learn techniques for measuring electrical parameters and electrical components.
3. Applications of electrical and electronic instruments.
4. To understand basic functions and principle of working of sensors and components used in
Electronic Measurement.
5. To understand principles of advanced electronic instruments and application in
measurement of electronics parameters.
6. Students will learn measurement of physical parameters using various transducers and
working of sensors.
7. They will become familiar with basics of instruments and details of operation of measuring
instruments and their applications.

Unit 1: SCIENCE OF MEASUREMENT: Measurement Systems, Instrumentation,


Characteristics of measurement systems -Static and Dynamic, Errors in Measurements;
Calibration and Standards.

Unit 2: TRANSDUCERS: Classification of Transducers – Variable Resistive transducers,


Strain gauges, Thermistor, RTD, Variable Inductive transducers, LVDT, RVDT, Variable
Capacitive Transducers, Capacitor microphone, Photo electric transducers, Piezo electric
transducers, Thermocouple. IC sensors Fibre optic sensors, Smart/intelligent sensors.

Unit 3: SIGNAL CONDITIONING AND SIGNAL ANALYZERS: DC and AC bridges –


Wheatstone, Kelvin, Maxwell, Hay and Schering Bridges; Preamplifier; Isolation amplifier,
Filters – Data acquisition systems. Spectrum Analyzers –Wave and Logic analyzers.
Unit 4: DIGITAL INSTRUMENTS Digital Voltmeters, Millimeters, automation in Voltmeter,
Accuracy and Resolution in DVM, Guarding techniques, Frequency counter, Data Loggers,
Introduction to IEEE 488/GPIB Buses.

Unit 5: DATA DISPLAY AND RECORDING SYSTEMS OSCILLOSCOPES: CRT


Construction, Basic CRO circuits, CRO Probes, Oscilloscope Techniques of Measurement of
frequency, Phase Angle and Time Delay, Multibeam, multi trace, storage & sampling
Oscilloscopes. Curve tracers Dual trace CRO – Digital storage and Analog storage oscilloscope.

Text Book
1. A Course In Electrical & Electronic Measurement & Instrumentation, A.K.Sawhney,
Dhanpatrai
Reference book
1. Electronic Instrumentation, H S Kalsi, TMH
2. Instrumentation Measurement & Analysis, B.C.Nakra, K.K. Chaudhry, TMH
3. Electronic Measurements And Instrumentation, Lal Kishore, Pearson
4. Electronic Instrumentation And Measurements, David A. Bell, PHI
5. Introduction To Measurements And Instrumetation, Arun K. Ghosh, PHI
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 3-0-0

Energy Studies (BMC009A)

Unit 1: Energy Sources - Fossil fuels, Nuclear fuels, hydel, solar, wind and bio fuels in India,
Energy conservation, Nuclear energy through fission and fusion processes.

Unit 2: Energy Conversion- Energy conversion from source to utility, Solar, Nuclear,
Geothermal, Tide and Wind Energies.

Unit 3: Global Energy Scenario- Role of energy in economic development and social
transformation, Overall energy demand, availability and consumption, Depletion of energy
resources and its impact on economy, Non-proliferation of nuclear energy. International energy
policies of G-8, G-20, OPEC and European Union countries.

Unit 4: Indian Energy Scenario- Commercial and noncommercial forms of energy, Utilization
pattern in the past, present and also future prediction, Sector wise energy consumption.

Unit 5: Energy Policy: Energy policy issues at global level, national level and state level, Energy
conservation act 2001, Electricity act 2003, Energy pricing and its impact on global variations.
Text Books:
1.Dr. A.N Mathur-Non Conventional resources of Energy
2. B.R Gupta-Generation of Electrical Energy

Reference Books:
1. Bukhootsow, B., Energy Policy and Planning, Prentice Hall of India, New Delhi, 2003.
2. TEDDY Year Book, The Energy Research Institute (TERI), 2011.
3. International Energy Outlook, EIA Annual Publication, 2011.
4. Jose Goldenberg, Thomas Johanson, and Reddy, A.K.N., Energy for Sustainable World,
Wiley Eastern 2005.
5. Charles E. Brown, World Energy Resources, Springer Publication, New York, 2002.Culp,
A.W., Principles of Energy Conversion, McGraw Hill New York, 2004.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 0-0-2

Electronics Devices Lab (BEE005A)


List of Experiments
1. Design and test diode clipping circuits on breadboard, using discrete components for peak
clipping and peak detection.
i) Positive and Negative Clipping Circuit.
ii) Diode series positive and negative Clipping Circuit.
2. Design and test positive and negative clamping circuit on breadboard, using discrete
components for a given reference voltage.
3. Graphical measurement of forward and reverse resistance in Zener diode characteristics.
4. Application of Zener diode: Zener diode as voltage regulator. Measurement of percentage
regulation by varying load resistor.
5. Plot the I-V characteristics of BJT in CB and CE configuration using suitable discrete
components.
6. Design discrete transistor amplifier with common-emitter fixed bias configuration and plot the
frequency response.
7. Design discrete transistor amplifier with Voltage-divider bias configuration and plot the
frequency response.
8. Design and setup an RC Coupled amplifier using BJT & to plot the frequency response of the
RC-Coupled amplifier.
9. Design a BJT Darlington Emitter Follower and determine the Gain and plot the frequency
response.
10. Verify Thevenin‟s theorem for DC Circuits.
11. Characteristic of FET: FET in common source configuration. Graphical measurement of its
parameters gm and rd from input and output characteristics.
12. Characteristic of silicon-controlled rectifier.
13. To plot V-I Characteristics of DIAC.
14. To draw V-I characteristics of TRIAC for different values of Gate Currents.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 0-0-2

Digital Electronics Lab (BEE006A)


List of Experiments
1. To study and verify the truth table of logic gates.
2. Design and implementation of Adder and Subtractor using logic gates.
3. Design and implementation of BCD to excess-3 code converter using logic gates.
4. Design and implementation of Binary to gray code converter using logic gates.
5. Design and implementation of 4 bit binary Adder/ subtractor using IC 7483
6. Design and implementation of 4 bit binary BCD adder using IC 7483
7. Design and implementation of 2 bit Magnitude Comparator using logic gates.
8. Design and implementation of 16 bit odd/even parity checker generator using IC74180.
9. Design and implementation of multiplexer using logic gates, IC74150 and IC74154.
10. Design and implementation of De-multiplexer using logic gates, IC74150 and IC74154
11. Design and implementation of encoder using logic gates, IC7445 and IC74147
12. Design and implementation of decoder using logic gates, IC7445 and IC74147
13. Construction and verification of 4 bit ripple counter.
14. Design and implementation of 3-bit synchronous up/down counter.
15. Implementation of SISO, SIPO, PISO and PIPO shift registers using Flip- flops
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester III
Contact Hours (L-T-P): 0-0-2

Electronic Measurement Lab (BEE007A)

List of Experiments
1. Measurement of displacement using LVDT.
2. Measurement of distance using LDR
3. Measurements of temperature using R.T.D.
4. Measurements of temperature using Thermocouple.
5. Measurements of pressure using Strain Gauge.
6. Measurements of pressure using Piezo – Electric Pick up.
7. Measurements of Angular Distance using capacitive pick up.
8. Measurements of distance using inductive transducer.
9. Measurements of speed of DC Motor using Magnetic Pick up.
10. Measurements of speed of DC Motor using Photo Electric Pick up.
11. To Determine the Thickness of a Given Object (within LVDT Range) Using LVDT.
12. Measurement of Intensity of Light using LDR Transducer.
13. To Study the Phase Shift on CRO Using LVDT.
14. To Plot and Studying the Characteristics of Thermocouple.
15. To Plot and Studying the Graph between Temperature and Resistance using RTD.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 2-0-0

Complex Analysis (BAS005A)

Course Objectives:

This course is aimed to provide an introduction to the theories for functions of a complex
variable. It begins with the exploration of the algebraic, geometric and topological structures of
the complex number field. The concepts of analyticity, Cauchy-Riemann relations and harmonic
functions are then introduced. The notion of the Riemann sheet is presented to help student
visualize multi-valued complex functions. Complex integration and complex power series are
presented. We then discuss the classification of isolated singularities and examine the theory and
illustrate the applications of the calculus of residues in the evaluation of integrals. Students will
be equipped with the understanding of the fundamental concepts of complex variable theory. In
particular, students will acquire the skill of contour integration to evaluate complicated real
integrals via residue calculus. The prerequisites are some knowledge of calculus (up to line
integrals and Green’s theorem), and some basic familiarity with differential equations would be
useful.

Unit 1: Complex Numbers covering, Functions Analysis including limits and continuity,
derivatives; Cauchy Riemann Equations; Integrals, Cauchy theorem and Cauchy integral
formulae.

Unit 2: Analytic Functions; Taylor‟s series, Singular points and poles; Laurent‟s Series,
Residues, Residue Theorem.

Unit 3: Evaluation of definite integrals covering, Conformal mapping, Riemann‟s mapping


theorem; Some general transformations, mapping a half plane into a circle.

Unit 4: The Schwarz- Christoffel transformation; The solution of Laplace equation by conformal
mapping, The complex inverse formula covering, the Bromwich contour, the use of Residue
theorem in finding Laplace transforms;.

Unit 5: A sufficient condition for the integral around T to approach zero; the case of infinitely
many singularities; Application to boundary value problems.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 2-1-0

Probability and Stochastic Processes (BEE011A)

Course Objectives:

Objective of the subject is to provide the students with knowledge about the random variable,
random process and how to model the random processes in the communication system such as
receiver performance, interference, thermal noise, and multipath phenomenon. This course will
provide the theories associated with the random variable and random process. The course
particularly provides the student with an ability to apply to real-world problems in the
communication and physical systems.

Unit 1: Sets and set operations; Probability space; Conditional probability and Bayes theorem;
Combinatorial probability and sampling models;

Unit 2: Discrete random variables, probability mass function, probability distribution function,
example random variables and distributions; Continuous random variables, probability density
function, probability distribution function, example distributions;

Unit 3: Joint distributions, functions of one and two random variables, moments of random
variables; Conditional distribution, densities and moments; Characteristic functions of a random
variable; Markov, Chebyshev and Chernoff bounds;

Unit 4: Random sequences and modes of convergence (everywhere, almost everywhere,


probability, distribution and mean square); Limit theorems; Strong and weak laws of large
numbers, central limit theorem.

Unit 5: Random process. Stationary processes. Mean and covariance functions. Ergodicity.
Transmission of random process through LTI. Power spectral density.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 3-1-0

Analog Electronics(BEE012A)

Course Objective:
The course aim is to review and study in depth various subjects of Analog Electronics, with an
emphasis on contents related with circuit design and telecommunication applications. The most
relevant issues related with analog and telecommunication subsystems are analyzed in detail, to
establish a reference point for the following subjects like LIC Circuit analysis, which addresses
more specific subjects. On the completion of this subject students would have the knowledge of
basic electronics, ability to analyze electric networks and circuits. Knowledge of linear and
large signal models of MOS and BJTs, and ability to use these models in basic amplifier circuits.
Knowledge and design of most used functional units, such as filters, voltage regulators, and
signal generators.
Unit 1: Introduction: Scope and applications of analog electronic circuits. Amplifier models:
Voltage amplifier, current amplifier, trans-conductance amplifier and trans-resistance amplifier.
Biasing schemes for BJT amplifiers, bias stability, various configurations (such as CE, CB, CC)
and their features, small signal analysis, low frequency transistor models, estimation of voltage
gain, input resistance, output resistance etc., design procedure for particular specifications.

Unit 2: Frequency response amplifiers:- Low frequency analysis: Effect of coupling, bypass
and output capacitor at low frequency, high frequency transistor models, Feedback topologies:
Voltage series, current series, voltage shunt, current shunt, effect of feedback on gain, bandwidth
etc. Power amplifiers: Class A, Class B, Class AB and Class C, their power efficiency and
linearity issues.

Unit 3: OP-AMP design: design of differential amplifier for a given specification, design of
gain stages and output stages, compensation. OP-AMP applications: review of inverting and
non-inverting amplifiers, integrator and differentiator, summing amplifier, precision rectifier,
Schmitt trigger and its applications. Active filters: Low pass, high pass, band pass and band
stop, design guidelines.

Unit 4: Oscillators: Review of the basic concept, Barkhausen criterion, RC oscillators (phase
shift, Wien bridge etc.), LC oscillators (Hartley, Collpitts etc.). Current mirror: Basic current
mirror, Widlar current source etc. Differential amplifier: Basic structure and principle of
operation, calculation of differential gain, common mode gain and CMRR.

Unit 5: Multi-vibrator: Mono-stable and Astable multi-vibrator. 555 timer: Basic block
diagram, 555 timer Applications: monostable and astable multivibrator, Schmitt trigger etc.

Text Books
1. Integrated Electronics J. Millman and Halkias McGraw Hill.
2. Microelectronic Circuits- “Adel S. Sedra, Kenneth Carless Smith” Oxford.

Reference Books:
1. Introduction to Operational Amplifier theory and applications, J.V. Wait, L.P. Huelsman
and GA Korn,McGraw Hill, 1992.
2. Analysis and Design of Analog Integrated Circuits, Paul R.Gray \& Robert G.Meyer,
4 John Wiley, 3rd Edition.
.3. Op-amps and Linear Integrated Circuits-” Ramakant A. Gayakwad ” Prentice
Micro
Hall.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 3-1-0

Signals and Systems(BEE013A)


Course objectives:
1. To develop an understanding of the fundamental tools and concepts used in the analysis
of signals and the analysis and design of linear shift-invariant systems.
2. To develop an understanding of their application in a broad range of areas, including
electronics & electrical networks, telecommunications, signal-processing and automatic
control.

Unit 1: Signals: Definition, types of signals and their representations: continuous-time/discrete-


time, periodic/non-periodic, even/odd, energy/power, deterministic/ random, one-
dimensional/multi-dimensional; commonly used signals: unit impulse, unit step, unit ramp,
exponential, rectangular pulse, sinusoidal; operations on continuous-time and discrete-time
signals.
Systems: Definition, types of systems: linear and non-linear, time invariant and time varying,
Deterministic and Stochastic, Casual and non causal, Analog and Discrete/Digital, memory and
memoryless.
Unit 2: Linear Time-Invariant Systems: Introduction, Continuous –time and Discrete-Time
LTI Systems ,The Convolution Integral, Properties of the Convolution Integral, The Convolution
sum, Properties of the Convolution sum, Properties of Linear Time-Invariant Systems,
Relationship between LTI system properties and the Impulse response. System representation
through differential equations and difference equations.
Unit 3: Fourier Analysis for Continuous-Time Signals and Systems: Introduction, The
Response of Continuous-Time LTI Systems to Complex Exponentials, Representation of
Periodic Signals: The Continuous-Time Fourier Series, Properties of Continuous-Time Fourier
Series, Approximation of Periodic Signals Using Fourier Series and the Convergence of Fourier
Series. Representation of Aperiodic Signals : The Continuous -Time Fourier Transform,
Properties of the Continuous –Time Fourier Transform.
Fourier Analysis for Discrete-Time Signals and Systems: Introduction, Properties of Discrete
Fourier series, Fourier Transform and Properties of Discrete Fourier Transform.
Unit 4: The Laplace-Trasform: Introduction, The Laplace-Transform, The Region of
Convergence for the Laplace-Transform, Properties of Laplace-Transform, Inverse Laplace-
Transform, Application & Characteristics of LTI System Using Laplace- Transform.
Unit 5: The Z-Transform: Introduction, The Z-Transform, The Region of Convergence for the
Z-Transform, Properties of Z-Transform, The Inverse z-Transform, Application &
Characteristics of LTI System Using Z Transform.

Sampling: Introduction, Representation of a Continuous- Time Signal by Its Samples , The


Sampling Theorem, Reconstruction of a signal from its Samples, The Effect of Under sampling :
Aliasing.

Text Book:
1.A.V. Oppenheim, A.S. Willsky and I.T. Young, "Signals and Systems", Prentice Hall, 1983.

Reference Books:

1.R.F. Ziemer, W.H. Tranter and D.R. Fannin, "Signals and Systems - Continuous and
Discrete", 4th edition, Prentice Hall, 1998.
2. B.P. Lathi, "Signal Processing and Linear Systems", Oxford University Press, c1998.
3. Douglas K. Lindner, "Introduction to Signals and Systems", Mc-Graw Hill International
Edition: c1999.
4. Simon Haykin, Barry van Veen, "Signals and Systems", John Wiley and Sons (Asia) Private
Limited, c1998.
5. M. J. Roberts, "Signals and Systems - Analysis using Transform methods and MATLAB",
TMH, 2003.
6. I. J. Nagrath, S. N. Sharan, R. Ranjan, S. Kumar, "Signals and Systems", TMH New Delhi,
2001.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 3-1-0

Principles of Communication(BEE014A)

Course Objectives:

The aim of this course is to introduce the students to the basic concepts of communication
systems. The main objective of this course is to understand basic analog communication
techniques/ circuits with the help of theoretical problem solving. This course provides a
thorough introduction to the basic principles and techniques used in analog communications.
The course will introduce analog modulation techniques, communication receiver and
transmitter design, baseband and bandpass communication techniques, noise analysis and
multiplexing techniques. The course also introduces analytical techniques to evaluate the
performance of communication systems.

Unit 1 Introduction: Communication channels and their characteristics (Wire line channels,
Wireless Electromagnetic channels, Fiber optic channels), Mathematical model for
communication channel (Additive noise channel, linear filter channel, linear time invariant
channel), Basic properties of Fourier transform, power and energy signal.
Unit 2 Amplitude Modulation: Introduction to modulation, conventional amplitude modulation
(AM), Generation of single tone AM (Square law modulator, Switching modulator),
Demodulation of AM (Square law demodulator, Envelope detector, Synchronous detector),
Generation of DSB (Balanced Modulator, Ring modulator), DSB Demodulation using
synchronous detector, Generation and Demodulation of SSB, VSB.
Unit 3 Angle Modulation: Introduction (Narrow band FM, Wideband FM), Mathematical
representation of FM and PM, Frequency modulation (Direct method and indirect method) and
de-modulation (PLL method), Mixer, Receivers (Tune radio frequency, Super hetro-dyne
receiver), Pre-Emphasis and De-Emphasis, Phase modulation and de-modulation.
Unit 4 Random variables and Noise: Review of probability and random variables
(PMF,CDF,PDF), Statistical averages of a random variables, uniform distribution, Gaussian
distribution, Noise, Noise figure, Noise in amplitude modulation systems, Noise in Frequency
modulation systems, Figure of Merit of AM and FM receivers.
Unit 5 Digital representation of analog signals: Introduction, Sampling process, Generation
and demodulation of PAM, PPM and PWM, Time Division Multiplexing, Frequency Division
Multiplexing.

Text Book
1. Haykin S., "Communications Systems", John Wiley and Sons, 2001.

Reference Books:
1. Proakis J. G. and Salehi M., "Communication Systems Engineering", Pearson Education,
2002.
2. Taub H. and Schilling D.L., "Principles of Communication Systems", Tata McGraw Hill,
2001.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 3-1-0

Electromagnetic Field Theory(BEE015A)

Course Objectives:
1. To introduce the important physical concepts and mathematical methods used in treating all
types of E.M. wave phenomena particularly importance in electrical & communication
engineering.
2. To provide essential background and basic preparation for more advanced work in device
physics, microwave and ultra-fast circuitry, antenna design, optics, optical communication
and optoelectronics.

Unit 1: Introduction to Vector Calculus- Scalars and Vectors, unit vector, vector addition and
subtraction, position and distance vectors; vector multiplication; components of vector;
Coordinate systems and transformation- Cartesian, Circular Cylindrical and Spherical;
Differential Length, Area, and Volume; Line, Surface, and Volume Integrals; Del Operator;
Gradient of a scalar; Divergence of a Vector; Curl of a Vector.
Unit 2: Electrostatics- Coulomb‟s Law, Electric field intensity, Electric field due to point charge,
line charge, sheet of charge, Electric Flux Density, Gauss‟s law, Application of Gauss‟s law:
point charge , infinite line charge, infinite sheet of charge; Maxwell‟s Equation for Static Electric
Field, Divergence Theorem, Definition of Potential Difference and Potential, Potential Gradient,
an Electric Dipole and Flux Lines.
Unit 3: Magnetostatics- Biot-Sevart Law, magnetic field due to finite and infinite length current
element, Ampere‟s Circuital Law, Stokes‟s Theorem, Magnetic Flux and Magnetic Flux Density,
Maxwell‟s equations for static magnetic field, Magnetic force, Magnetic Energy.
Unit 4: Uniform Plane Wave- Uniform plane wave, Propagation of wave, Wave polarization,
Wave propagation in conducting medium, Wave propagation and phase velocity, Power flow and
Poynting vector, Plane Waves at a Media Interface- Plane wave in arbitrary direction, Plane
wave at dielectric interface, Reflection and refraction at media interface, Total internal reflection,
Polarization at media interface, Reflection from a conducting boundary.
Unit 5: Waveguides- Parallel plane waveguide, Rectangular waveguide, TE mode, TM mode,
TEM mode, Dominate mode, Critical Wave length, Phase and Group Velocity. Equations of
Voltage and Current on TX line, Propagation constant, characteristic impedance and reflection
coefficient, VSWR, Smith Chart, Impedance Matching.
Text Book:
1. Elements of Electromagnetics Matthew N. O. Sadiku Oxford University Press.
2. Engineering Electromagnetics, Hayt, TMH
Reference Books

1. Electromagnetics (Sie) (Schaum's Outlines Series), Edminister, TMH


2. Electromagnetics With Applications, Jd Kraus, TMH
3. Fundamentals Of Electromagnetics For Engineers, Rao, Pearson
4. E.C. Jordan & K.G. Balmain, Electromagnetic waves & Radiating Systems, Prentice
Hall, India
5. Narayana Rao, N: Engineering Electromagnetics, 3rd ed., Prentice Hall, 1997.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 2-0-0

Object Oriented Programming (BEE016A)

Course Objectives:

1. To consolidate and extend student's knowledge and skills in structured programming and
to introduce them to the concepts and practice of object oriented programming.
2. To develop skills in using the object-oriented concepts of inheritance, encapsulation,
construction, access control, overloading and messaging; develop and display
competency in the design and implementation of object-oriented programs to solve
business problems.

Unit 1: C++ Overview, C++ Characteristics, Object-Oriented Terminology, Polymorphism,


Object-Oriented Paradigm, Abstract Data Types, I/O Services, Standard Template Library,
Standards Compliance, Functions and Variables.

Unit 2: Functions: Declaration and Definition, Variables: Definition, Declaration, and Scope,
Variables: Dynamic Creation and Derived Data, Arrays and Strings in C++, Qualifiers, Classes
in C++, Defining Classes in C++.

Unit 3: Classes and Encapsulation, Member Functions, Instantiating and Using Classes, Using
Constructors, Multiple Constructors and Initialization Lists, Using Destructors to Destroy
Instances.

Unit 4: Using Destructors to Destroy Instances, Operator Overloading, Operator Overloading,


Working with Overloaded Operator Methods, Initialization and Assignment, Initialization vs.
Assignment.

Unit 5: The Copy Constructor, Assigning Values, Specialized Constructors and Methods,
Constant and Static Class Members, Inheritance, Overview of Inheritance, Defining Base and
Derived Classes, Constructor and Destructor Calls, Input and Output in C++ Programs, Input and
Output in C++ Programs, Standard Streams, Manipulators, Unformatted Input and Output.

Suggested Books

1. Let Us C: Bala Guruswami, TATA McGraw Hill.


2. Programming with C, C++: Yashwant Kanitkar
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 0-0-2

Electronic Workshop (BEE017A)


Course Objective:
To enable the student to acquire competency in the safe use of electronic laboratory test
equipment and to acquire competency in constructing and testing electronic assemblies. The
practical element of the Electronic Production subject is considered to be of great importance.
Students gain valuable experience in physical component identification and the use of supplier
catalogues in the component identification and ordering procedure. The skill of electronic
soldering is developed in this subject.

1. Introduction & Hands on experience to use circuit creation & simulation software like
TINAPRO, P-SPICE or ORCAD.
2. Design a +5 volt power supply using TINAPRO, P-SPICE or ORCAD and prepare a circuit
layout for PCB conversion.
3. PCB printing using screen printing or any other technique, Analysis of UV exposure and
Drilling on PCB, Fabrication & placing of components as per above power supply circuit.
4. Design a full wave centre tapped rectifier on simulation software and transform it on PCB &
observe the effect of capacitive filter & its output on a virtual oscilloscope.(2 labs)
5. Design a RLC resonance circuit on PCB & verify the transient, phase response for different
values of R, L & C. (2 labs)
6. To design a RC coupled single stage FET/BJT amplifier on simulation tool and transform it
on PCB and determination of the gain-frequency response, input and output impedances.(2
labs)
7. To design and test for the performance of RC Phase Shift Oscillator for the given operating
frequency fo.
8. To design and test for the performance of FET-Hartley & Colpitt‟s Oscillators.
9. To design and analyze the performance of Current Mirror circuits in circuit biasing using
BJT.
10. To design a tuned amplifier of given operating Frequency fo.
11. To design an inverting and non-inverting amplifier using 741 Op-amp.
12. To design a summer, differentiator and integrator using 741 Op-amp.
13. To design a 4 bit R-2R ladder DAC using 741 Op-amp.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 0-0-2

Analog Electronics Lab (BEE018A)

1. Design a voltage amplifier using BJT in common emitter mode and

(a) Plot I/P vs. O/P voltage and calculate voltage gain.

(b) Draw gain-frequency response and determine band width.

2. Design a voltage amplifier using BJT in common base mode and

(a) Plot I/P vs. O/P voltage and calculate voltage gain.

(b) Draw gain-frequency response and determine band width.

3. Design a voltage amplifier using BJT in common collector mode and

(a) Plot I/P vs. O/P voltage and calculate voltage gain.

(b) Draw gain-frequency response and determine band width.

4. Design voltage series feedback amplifier using BJT / FET. Plot I/P vs. O/P voltage graph.

5. Design a class B Push-pull amplifier.

6. Design and verify adder and subtractor circuit using OP-AMP(IC-741).

7. Design and verify differentiator and integrator circuit using OP-AMP(IC-741).

8. Design the square wave oscillator using OP-AMP (IC-741). Find frequency of oscillation.

9. Design of RC phase shift oscillator. Find frequency of oscillation.

10. Design of Wien bridge oscillator. Find frequency of oscillation.

11. Design of Hartley oscillator. Find frequency of oscillation.

12. Design of Colpitts oscillator. Find frequency of oscillation.

13. Design of band pass and band stop filter using OP-AMP(IC-741). Draw frequency response. Find

lower cut-off frequency (FL) and higher cut-off frequency (FH).


14. Design and verify 4 bit analog to digital converter.

15. Design and verify 4 bit digital to analog converter.


JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester IV
Contact Hours (L-T-P): 0-0-2

Object Oriented Programming Lab (BEE019A)

1. To write a simple program for understanding of C++ program structure without any CLASS
declaration. Program may be based on simple input output, understanding of keyword using.
2. Write a C++ program to demonstrate concept of declaration of class with public & private
member, constructors, object creation using constructors, access restrictions, defining member
functions within and outside a class. Scope resolution operators, accessing an object‟s data
members and functions through different type of object handle name of object, reference to
object, pointer to object, assigning class objects to each other.
3. Program involving multiple classes (without inheritance) to accomplish a task. Demonstrate
composition of class.
4. Demonstration Friend function friend classes and this pointer.
5. Demonstration dynamic memory management using new & delete & static class members.
6. Demonstration of restrictions an operator overloading, operator functions as member function
and/ or friend function, overloading stream insertion and stream extraction, operators,
overloading operators etc.
7. Demonstrator use of protected members, public & private protected classes, multilevel
inheritance etc.
8. Demonstrating multiple inheritance, virtual functions, virtual base classes, abstract classes
Object Oriented Programming Lab.
9. Write a C++ program to input the record of multiple students having multiple fields and
changing a specific field of a specific student using array of objects.
10. Write a C++ program to add more fields for all the students using inheritance of classes.
11. Write a C++ program to input the time in 24 hour format from the user in hours, minutes and
seconds and convert it into 12 hour format using a member function of a class.
12. Write a C++ program append the record of one student from multiple records using this
pointer.
13. Write a C++ program to enqueue and dequeue a data in a queue using a classes.
14. Write a C++ program to develop a simple calculator using classes.
15. Write a C++ program to maintain an inventory of a company.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:24
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 2-0-0

Optimization & Calculus of Variations (BAS004A)

Course Objectives:

In mathematics, computer science, economics, or management science, mathematical


optimization (alternatively, optimization or mathematical programming) is the selection of a
best element (with regard to some criteria) from some set of available alternatives. In the
simplest case, an optimization problem consists of maximizing or minimizing a real
function by systematically choosing input values from within an allowed set and computing
the value of the function. The generalization of optimization theory and techniques to other
formulations comprises a large area of applied mathematics. More generally, optimization
includes finding "best available" values of some objective function given a
defined domain (or a set of constraints), including a variety of different types of objective
functions and different types of domains

Unit 1: First and second order conditions for local interior optima (concavity and uniqueness),
Sufficient conditions for unique global optima; Constrained optimization with Lagrange
multipliers; Sufficient conditions for optima with equality and inequality constraints; Kuhn
Tucker conditions, duality.

Unit 2: Linear programming covering, Basic LPP – solution techniques (Simplex, Artificial
Basis); Complimentary Slackness Theorem, Fundamental theorem of Duality;

Unit 3: Degenerate solutions, Cycling; Applications; Elements of Dynamic Programming


including Hamiltonian, Bellman‟s Optimality Principle.

Unit 4: Calculus of Variations covering, Basic definition, Simplest problem, Isoperimetric


problem, Problems with Higher order derivatives, Euler Lagrange Equation,
Unit 5: Weier strass Erdmann conditions; Pontryagin Maximum Principle; Transversality
condition; Applications.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 2-0-0

Discrete Mathematics (BAS007A)

Unit 1: Difference equations covering, first order, second order and nth order, with integer
argument and their solutions; First order, second order, nth order, with continuous variables and
their solutions.

Unit 2: The state space form & Kalman-Bucy filter, Riccati Matrices (Equations) and
applications.

Unit 3: Graph theory covering, concepts and definitions, basic results, trees and cut sets.

Unit 4: Definitions and basic results of Lattice theory; Basic Combinatorial analysis.

Unit 5: Introduction to Number theory and applications to cryptography, Finite Markov chains.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0
Microprocessor & Microcontroller System
Unit 1: Evolution of microprocessors, technological trends in microprocessor
development. The Intel family tree. CISC Versus RISC. Applications of Microprocessors. 8086
Block diagram; description of data registers, address registers,pointer and index registers,
PSW, Queue, BIU and EU. 8086 Pin diagram descriptions. Microprocessor BUS types and
buffering techniques, 8086 minimum mode and maximum mode CPU module. Instruction
formats, addressing modes.
Unit 2: Data transfer instructions, string instructions, logical instructions, arithmetic instructions,
transfer of control instructions, process control instructions; Assembler directives. Writing
assembly Language programs for logical processing, arithmetic processing, timing delays;
loops, data conversions. Writing procedures, Data tables, modular programming, Macros.
Unit 3: 8086 Interrupt types and interrupt vector table. DOS interrupt INT 21 h functions. INT
10h and INT 16h functions. Intel 8086 bus cycles, instruction queue, 8086 CPU Read/Write
timing diagrams in minimum mode and maximum mode, reset operation, wait state, halt state,
hold state, lock operation, interrupt processing. Address decoding techniques.
Unit 4: Intel’s 8255 description, 8255 different modes operation and interfacing with 8086.
Interfacing ADC(0808/0809),DAC-(0808) using 8255. Wave form generation. Intel’s 8251
description and operation. Intel’s 8259. DMA operation. Intel’s 8237. Intel’s 8279. Intel’s 8253.
Introduction to i3,i5,i7 processors.
Unit 5: 8051 microcontroller pin diagram, Block diagram, Flag, RAM configuration, Register
Banks, addressing modes, instruction set, 8051 programming &,interfacing.
Text Books:
1. DouglasHall Microprocessors Interfacing, Tata McGraw Hill, 1991
2. The 8051 Microcontroller and Embedded systems by Muhammad Ali Mazidi
Pearson Education Asia.
Reference Books
1. Computer Organization and Design, The hardware and software interface by D A Patterson
and J H Hennessy, Morgan Kaufman Publishers.
2. The 8051 Microcontroller Architecture, programming and Applications by Kenneth Ayala,
Penram International
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

Introduction to Digital Communication (BEE021A)

Course Objectives:

Subject deals with different features of digitized mode of communication. All the real time
signals are analog, still we are using digital TV, Radio, Telephone Channel or Satellite
Communication, so how we can convert a real time signal in digitized form, make it ready for
transmission and again converting it in original signal is covered in this subject. Speed of
transmission, Error control techniques, bandwidth utilization, limits of resources are different
aspects we study.

Unit 1: Review of Random Variables. Probability Theory- Joint probability, Conditional probability,
Bay‟s rule. Cumulative distribution function (CDF), Probability density function (PDF). Mean,
Auto-coorelation , Cross- coorelation and their properties for random variables. Random Process ,
Energy density function (ESD), Power spectral density (PSD).

Unit 2: Introduction to Pulse modulation, PAM, PPM, PWM. Pulse code modulation- Generation,
Sampling , Quantization, Quantization noise voltage, Quantization noise power, signal to noise ratio
,SNR calculation with sinusoidal input. Companding in PCM- A-law and µ-law companding . Differential
pulse code modulation. Delta modulation- step size, slope overload, threshold conditions, Adaptive Delta
modulation.

Unit 3: Sampling and reconstruction of analog signal, natural sampling, sample and hold circuit,
flat top sampling. Proof of sampling theorem. Sampling for Low pass signal as well as Band pass
signal. Nyquist criteria for sampling , Intersymbol Interference (ISI), Interchannel Interference
(ICI).

Unit 4: Digital Modulation schemes- Binary Phase Shift Keying (BPSK), Binary Frequency Shift
Keying(BFSK),Concept of Quadrature Amplitude Modulation (QAM),Quadrature Phase Shift
Keying(QPSK), Contineous Phase Modulation and Minimum Shift Keying (MSK). Signal point
representation on orthonormal axis . Bandwidth requirement and symbol detection error probability for
coherent BPSK, QPSK, BFSK.

Unit 5:. Transmission of digital signals over bandlimited channels- Shannon Hartley theorem. Coherent
and non-coherent detection. Synchronization techniques in analog and digital transmission.

Text Books:
1. Digital Communications- “Simon Haykin” wiley Publication.

Reference Book:
1.Wozencraft J. M. and Jacobs I. M., ``Principles of Communication Engineering'',John Wiley,
1965.
2.Barry J. R., Lee E. A. and Messerschmitt D. G., ``Digital Communication'', Kluwer Academic
Publishers, 2004.
3. Proakis J.G., ``Digital Communications'', 4th Edition, McGraw Hill, 2000.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 0-0-2

Microprocessors & Microcontroller System Lab (BEE022A)

Objective : To understand the internal organization of INTEL 8085,8086 Microprocessors and


Assembly Language Programs using the instruction sets of processors and to study the
interfacing of the processor with various peripheral devices.

1. a) Write a program using Microprocessor 8085 to add two 8 bits numbers.


b) Write a program using Microprocessor 8085 to subtract two 8 bits numbers.
c) Write a program using Microprocessor 8085 to add two 16 bits numbers.
2. a) Write a program using Microprocessor 8086 to add two 8 bits numbers.
b) Write a program using Microprocessor 8086 to subtract two 8 bits numbers.
c) Write a program using Microprocessor 8086 to add two 16 bits numbers.
d) Write a program using Microprocessor 8086 to add ten 16 bits numbers with carry.
3. (a) Write an assembly language program to find whether the given number is even or odd.
(b) Write an assembly language program to find the number of even and odd numbers from
given series of 16 bit numbers.
(c) Write an assembly language program to find the number of 1’s in a given number.

(d) Write an assembly language program to find whether the given number has even parity or
odd parity.

3. (a) Write an assembly language program to find the largest number from an array of 16 bit
numbers.

(b) Write an assembly language program to find the smallest number from an array of 16 bit
numbers.

(c) Write an assembly language program to arrange the given array of 16 bit numbers in
ascending order.

(d) Write an assembly language program to arrange the given array of 16 bit numbers in
descending order.
4. (a) Write an assembly language program to find the number of +ve and -ve numbers from given
series of 16 bit numbers.

(b)Write an assembly language program to perform 1 byte BCD addition

(c) Write an assembly language program to perform addition, subtraction, Multiplication and
Division of given operands. Perform BCD addition and subtraction.

(d) Write an assembly language program to move 16 bytes from the offset 0200H to 0300H.

5. (a) Write an assembly language program to find whether the given byte is present in the string or
not.

(b) Write an assembly language program to compare two given strings.

(c) Write an assembly language program to find square of the given number.

(d) Write an assembly language program to find square of the given array of 16 bit number.

6. (a) Display a message “ very large scale integration”

(b) Write an assembly language program to convert BCD number 0 to 9 to their 7 segment
codes, using look up table.

(c) Write an ALP for (i) addition and (ii) Multiplication of two 3x3 Matrices.

7. a) Write a program to calculate squares of BCD number 0 to 9 and store then sequentially from
2000H offset onward in the current data segment. The number and their square are in BCD
format. Write a subroutine for the calculation of square of number.

b) Write a program to change a sequence of 16 two byte number from ascending to descending
order and store them in same data segment.

8. a) Write a program to generate a delay of 100ms using an 8086 system that runs on 10MHz
frequency.

(b) Write a program to generate delay of 1Minutes.

9. (a)Write a program in 8051

(i) to clear the accumulator and add 3 to accumulator 10 times.

(ii) to load accumulator with the value 55H and complement the accumulator 700Times.

(b) Write a program to toggle all the bits of port1. put a time delay in between each issuing of data to
port 1.

10. (a) Write a program to generate a delay of 1µsec. assuming that crystal frequency is 11.05MHz.
(b) Write a program in 8051 to perform the following

(i) Keep monitoring the port P2.2 bit until it becomes high

(ii) When it becomes high write a value 45H to port 0 send a high to low pulse to P3.3.

11. (a) Write a program to get X value from P1 and send X2 to P2 continuously.

(b) Assume P1 is I/P port and connected to a temperature sensor. Write a program to read the
temperature and test it for the value 75. according to test result place the temperature value into
the registers indicated by the following

If T = 75 then A = 75

If T < 75 then R1 = T

If T > 75 then R2 = T

12. (a) Write a program to find number of 1’s in given number.

(b) Write a program tfor conversion of packed BCD to ASCII

13. Write a program to Interface 7-segment LED displays to a microprocessor and displaying a
real-time clock.
14. Write a program for the implementation of a traffic signal controller.
15. Write a program for implementation of a programmable frequency synthesizer using timers.
16. Write a program to interfacing ADC & DAC -capturing a waveform from signal generator
and CRO display.
17. Write a program to interfacing a stepper motor to a 8051 microcontroller.

Conclusion: The whole conclusion of this lab is that now the students can make their final
year projects based on microprocessor.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 0-0-2

Communications Lab (BEE023A)

List of Experiments

List of Experiments
1. Perform Full- and suppressed-carrier AM DSB modulation and Calculate Depth of Modulation.

2 Perform Demodulation for full-carrier as well as suppressed carrier AM DSB signal.

3. Observe FM modulation and demodulation and compare different modulator and demodulator also.

4. Study the concept of sampling and reconstruction of analog signal. Also see the effect of sampling
frequency, duty cycle and order of low pass filter on quality of reconstructed signal.

5. Perform and observe Frequency Division Multiplexing (FDM).

6 Perform and observe Time Division Multiplexing (TDM).

7. Perform modulation and demodulation of Pulse Amplitude Modulation (PAM).

8. Perform and observe modulation and demodulation of Pulse Position Modulation (PPM).

9. Perform and observe modulation and demodulation of Pulse Width Modulation (PWM).

10. Perform PCM generation.

11. Perform different error checking codes Parity code and Hamming code.

12. Perform and observe Delta as well as Adaptive Delta modulation and demodulation.

13. Perform and observe MSK modulation and demodulation.

14 Perform A- law, u- law companding

15. Perform different line codes.


JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 0-0-2

Basic Simulation Lab (BEE024A)

(Simulation Lab. Experiments may be carried out using MATLAB/ SCILAB)

1. Creating a One-Dimensional Array (Row / Column Vector) Exercise – Creating a vector of


even whole numbers between 31 and 75; Creating a Two-Dimensional Array (Matrix of given
size) and (A). Performing Arithmetic Operations - Addition, Subtraction, Multiplication and
Exponentiation. (B). Obtaining Modified Matrix - Inverse, Transpose, with Appended and
Deleted Elements;

2. Performing Matrix Manipulations - Concatenating, Indexing, Sorting, Shifting, Reshaping,


Resizing and Flipping about a Vertical Axis / Horizontal Axis; Creating Arrays X & Y of given
size (1 x N) and Performing
(A) Relational Operations - >, <, ==, <=, >=, ~=
(B) Logical Operations - ~, &, |, XOR

3. Generating a set of Commands on a given Vector (Example: X = [1 8 3 9 0 1]) to


(A) Add up the values of the elements (Check with sum)
(B) Compute the Running Sum (Check with sum), where Running Sum for element j = the sum
of the elements from 1 to j, inclusive.
(C) Compute the Sine of the given X-values (should be a vector).
Also, Generating a Random Sequence using rand() / randn() functions and plotting them.

4. Evaluating a given expression and rounding it to the nearest integer value using Round, Floor,
Ceil and Fix functions; Also, generating and Plots of
(A) Trigonometric Functions - sin(t), cos(t), tan(t), sec(t), cosec(t) and cot(t) for a given
duration’t’.
(B) Logarithmic and other Functions – log(A), log10(A), Square root of A, Real nth root of A.
5. Write a MATLAB program to generate an exponential Sequence.

X ( n)  ( a ) n for (i) 0  a  1 (ii)  1  a  0 (iii) a  1 (iv) a  1

6. Write a MatLab program to generate the signal S (n) = 2 * n * (0.8^ n) corrupted by the noise
resulting the signal .

Also down sample the corrupted signal

7. Creating a vector X with elements, Xn= (-1)n+1/(2n-1) and Adding up 100 elements of the
vector, X; And, plotting the functions, x, x3, ex and exp(x2) over the interval 0 < x < 4 (by
choosing appropriate mesh values for x to obtain smooth curves), on
(A) A Rectangular Plot
(B) A Semi log Plot
(C) A log-log Plot

8. Generating a Sinusoidal Signal of a given frequency (say, 100Hz) and Plotting with Graphical
Enhancements - Titling, Labelling, Adding Text, Adding Legends, Adding New Plots to Existing
Plot, Printing Text in Greek Letters, Plotting as Multiple and Sub- Plots; Also, Making Non-
Choppy and Smooth Plot of the functions,

9. To Plot the following Functions:

h(n)={4rn cos[pi*n(1+r)/m]+m sin[pi*n(1-r)/m]}/[1-4rn/m)^2]*pi*nm


h (0)=(1/m)+(r/(m * 4/pi -1))
h (|m/4|)=(-r/m)*[(2*cos{(pi/4*r)*(1+4)}-cos{pi*(1-r)/4*r}]
Given: - m=4, r=0.1

10. Creating A Structure, An Array of Structures and Writing Commands to Access Elements of
the created Structure and Array of Structures; Also, Solving First Order Ordinary Differential
Equation using Built-in Functions; And, Creating an M x N Array of Random Numbers using
rand and setting any value that is and any value that is by moving
through the Array, Element by Element.
11. Write a MatLab/SciLab program to generate a Fibonacci series up-to 20.
12. Write a MatLab/SciLab program to check whether a number is prime or not.
13. Write a MatLab/SciLab program to convert a decimal number to binary.
14. Generating normal and integer random numbers and plotting them;Also,
Writing a Script (which keeps running until no number is provided to convert) that asks for
Temperature in degrees Fahrenheit and Computes the Equivalent Temperature in degrees
Celsius. [Hint: Function is empty is useful]

15. Writing brief Scripts starting each Script with a request for input (using input) to Evaluate the
function using if-else statement, where

Exercise: Testing the Scripts written using


(A)
(B)
Also, Creating a Graphical User Interface (GUI); And, Curve Fitting using
(A) Straight line Fit
(B) Least Squares Fit

16. Interpolation based on following Schemes (A) Linear (B) Cubic (C) Spline Also, Generating
the first Ten Fibonacci numbers according to the relation
with , and computing the ratio for the first Fibonacci
numbers.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

Microwave Theory and Techniques(BEE025A)


Course Objectives:
1. To introduce the history, applications (Civil and Military, Medical, EMI/ EMC) and
basics of microwave.
2. To analysis Transmission line, waveguide and active passive component.
3. To study basics of antenna and its application and microwave instruments.

Unit 1: Introduction to Microwaves-History of Microwaves, Microwave Frequency bands; Applications


of Microwaves: Civil and Military, Medical, EMI/ EMC. Mathematical Model of Microwave
Transmission-Concept of Mode, Features of TEM, TE and TM Modes, Losses associated with
microwave transmission, Concept of Impedance in Microwave transmission.
Unit 2: Analysis of RF and Microwave Transmission Lines- Coaxial line, Rectangular waveguide,
Circular waveguide, Strip line, Micro strip line. Microwave Network Analysis- Equivalent voltages and
currents for non-TEM lines, Network parameters for microwave circuits, Scattering Parameters.
Unit 3: Passive and Active Microwave Devices- Microwave passive components: Directional Coupler,
Power Divider, Magic Tee, Attenuator, Resonator. Microwave active components: Diodes, Transistors,
Oscillators, Mixers. Microwave Semiconductor Devices: Gunn Diodes, IMPATT diodes, Schottky
Barrier diodes, PIN diodes. Microwave Tubes: Klystron, TWT, Magnetron.
Unit 4: Microwave Design Principles- Impedance transformation, Impedance Matching, Microwave
Filter Design, RF and Microwave Amplifier Design, Microwave Power Amplifier Design, Low Noise
Amplifier Design, Microwave Mixer Design, Microwave Oscillator Design. Microwave Antennas-
Antenna parameters, Antenna for ground based systems, Antennas for airborne and satellite borne
systems, Planar Antennas.
Unit 5: Microwave Measurements- Power, Frequency and impedance measurement at microwave
frequency, Network Analyzer and measurement of scattering parameters, Spectrum Analyzer and
measurement of spectrum of a microwave signal, Noise at microwave frequency and measurement of
noise figure. Measurement of Microwave antenna parameters. Microwave Systems- Radar, Terrestrial and
Satellite Communication, Radio Aids to Navigation, RFID, GPS. Modern Trends in Microwaves
Engineering- Effect of Microwaves on human body, Medical and Civil applications of microwaves,
Electromagnetic interference and Electromagnetic Compatibility (EMI & EMC), Monolithic Microwave
ICs, RFMEMS for microwave components, Microwave Imaging.

Text Book:
1.R.E. Collins, Microwave Circuits, McGraw Hill.
Reference Book
1.K.C. Gupta and I.J. Bahl, Microwave Circuits, Artech house.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

Data Structure (BEE026A)


Course Objectives:
1. To understand data type, of algorithms and data structures in programming
2. To introduce iteration, recurtion, stack, queue
3. To study binary tree, types and properties of tree, algorithems for tree, binary heaps.
4. To introduce different Pattern search and matching algorithms and other graph
algorithm.

Unit 1: Introduction: to Notions of data type, abstract data type, and data structures. Relation to
the notion of classes and objects in object oriented programming. Importance of algorithms and
data structures in programming. Notion of Complexity covering time complexity and space
complexity. Worst case complexity, Average case complexity. Big Oh Notation.Examples of
simple algorithms and illustration of their complexity. Introduction
to recurrence relations.

Unit 2: Iteration and Recursion- Problem solving using iteration and recursion withexamples
such as binary search, Fibonacci numbers, and Hanoi towers.Tradeoffs between iteration and
recursion. List ADT. Implementation of lists using arrays and pointers. Stack ADT. QueueADT.
Implementation of stacks and queues. Dictionaries, Hash tables: open tables and closedtables.
Analysis of hashing. Skip lists and analysis.

Unit 3: Binary Trees- Definition and traversals: preorder, postorder, inorder. Common types and
properties of binary trees. Counting of binary trees. Huffman coding using binary trees. Binary
search trees : worst case analysis and average case analysis. AVL trees. Splay trees. Priority
Queues -Binary heaps: insert and deletemin operations and analysis. Binomial queues.

Unit 4: Pattern search and matching algorithms: Knuth-Morris-Pratt and Boyer-Moore


algorithms. Directed Graphs- Data structures for graph representation. Shortest path algorithms:
Dijkstra (greedy algorithm) and Bellman-Ford (dynamic programming). Depthfirst search and
Breadth-first search.

Unit 5: Directed acyclic graphs. Undirected Graphs- Depth-first search and breadth-first
search.Minimal spanning trees and algorithms (Floyd and Kruskal) and implementation.
Application to the travelling salesman problem. Sorting- Bubblesort, selection sort, insertion
sort, Shell sort; Quicksort; Heapsort; Mergesort; Radix sort; Analysis of the sorting methods.
Selecting the top k elements. Lower bound on sorting.

Text Books:
1. Data Structures and Algorithms by Alfred V. Aho, Jeffrey D. Ullman and John E. Hopcroft , Addison-
Wesley Series (1983)
2. Data Structures and Algorithm Analysis in Java (3rd Edition) by Mark Allen Weiss, Addison Wesley,
(2011).
Reference Books:
1. T.H. Cormen, C.E. Leiserson, and R.L. Rivest. Introduction to Algorithms. The MIT Press and
McGraw-Hill Book Company, Cambridge, Massacusetts, 1990 (Available in Indian Edition).
2. Steven S. Skiena. The Algorithm Design Manual. Springer, Second Edition, 2008.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

IC Technology (BEE027A)

Course Objectives:
This course aims at understanding the manufacturing methods and their underlying scientific
principles in the context of technologies used in VLSI chip fabrication. This course follows a top
to bottom approach. Right in the beginning of the course, we study a complete process flow for
both CMOS and advanced bipolar technologies. The idea is to introduce you at an early stage to
the complexities and challenges associated with VLSI chip fabrication. Discussions on the unit
steps will follow in greater detail in the context of the complete CMOS and bipolar process
flow. It is expected that this will help you in gaining a better understanding of both the
constituent processes and the global picture of VLSI manufacturing.
Unit 1: Environment for VLSI Technology: Clean room and safety requirements. Wafer
cleaning processes and wet chemical etching techniques. Impurity incorporation: Solid State
diffusion modeling and technology; Ion Implantation modeling, technology and damage
annealing; characterization of Impurity profiles.

Unit 2: Oxidation: Kinetics of Silicon dioxide growth both for thick, thin and ultrathin films.
Oxidation technologies in VLSI Lithography: Photolithography, E-beam lithography and newer
lithography techniques for VLSI/ULSI, Mask generation.

Unit 3: Chemical Vapour Deposition techniques: CVD techniques for deposition of polysilicon,
silicon dioxide, silicon nitride and metal films; Epitaxial growth of silicon; modelling and
technology.
Metal film deposition: Evaporation and sputtering techniques. Failure mechanisms in metal
interconnects; Multi-level metallisation schemes.

Unit 4: Plasma and Rapid Thermal Processing: PECVD, Plasma etching and RIE techniques;
RTP techniques for annealing, growth and deposition of various films for use in ULSI.

Unit 5: Process integration for NMOS, CMOS and Bipolar circuits; Advanced MOS
technologies.
Text:
1. C.Y. Chang and S.M. Sze (Ed), ULSI Technology, McGraw Hill Companies Inc, 1996.
Reference Books
2. S.K. Ghandhi, VLSI Fabrication Principles, John Wiley Inc., New York, 1983.
3. S.M. Sze (Ed), VLSI Technology, 2nd Edition, McGraw Hill, 1988.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

INFORMATION THEORY AND CODING(BEE028A)

Course Objectives:
1. To impart the basic knowledge of Information Theory & Coding.
2. To understand the different kind of codes and various coding techniques used in
communication system.
3. To find the different entropies, channel capacity & rate of information.

Unit 1: Probability and random processes: Probability, random variables, Probability distribution
and density functions, Joint Statistics, Conditional Statistics, independence, Functions of random
variables & random vectors, Expectation, moments, Characteristic Functions, Convergence of a
sequence of random variables, Central Limit Theorem, Random Processes, mean and Auto
Correlation, Stationary ergodicity,
Unit 2: Power Spectral density, Response of memory- less and linear systems, Gaussian Poisson,
Markov processes. Elements of information theory and source coding: Introduction, information
as a measure of uncertainty, Entropy, its properties, discrete memoryless channels, Mutual
information, its properties, BSC, BEC. Channel capacity, Shanon‟s theorem on coding for
memoryless noisy channels. Separable binary codes, Shanon–Fano encoding, Noiseless coding,
Theorem of decodability, Average length of encoded message, Shanon‟s binary encoding,
Unit 3: Fundamental theorem of discrete noiseless coding, Huffman‟s minimum redundancy
codes. Linear block codes: Introduction to error control coding, Types of codes, Maximum
Likelihood decoding, Types of errors and error control strategies,
Unit 4: Galois fields, Linear block codes, Error detecting and correcting capabilities of a block
code, Hamming code, cyclic code, B.C.H. codes. Convolutional codes and ARQ: Transfer
function of a convolutional code, Syndrom decoding, Majority logic decodable codes,
Unit 5: Viterbi decoding, distance properties of binary convolutional codes, Burst error
correcting convolutional codes, general description of basic ARQ strategies, Hybrid ARQ
schemes.
Suggested Books:
1. Papoulis, A. Probability, Random Variables and Stochastic Processes, MGH.
2. Gray, R.M. Davission, L.D,Introduction to Statistical Signal Processing- Web Edition 1999.
3. F. M. Reza, Information Theory, McGraw Hill.
4. Das, Mullick and Chatterjee, Digital Communication, Wiley Eastern Ltd.
5. Shu Lin and J. Costello, Error Control Coding, Prentice Hall.
6. B. R. Bhat, Modern Probability Theory, New Age International Ltd.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

Computer Organization and Architecture (BEE029A)


Course Objective:
To give a robust understanding of various software and hardware techniques required to boost
the performance of single as well as multi-core processors. This course also aims at discussing
future micro-architectures in processor design.

Unit 1: Introduction to basic computer architecture, register transfer, bus and memory transfers,
arithmetic, logic and shift micro operations.

Unit 2: Instruction codes, computer registers, computer instructions, timing and control,
instruction cycle, memory reference instructions, I/O interrupt, complete computer description,
design of basic computer, design of accumulator logic.

Unit 3: Micro programmed control, control memory, address sequencing, micro program
example, and design of control unit. Central Processing Unit: Introduction, general register
organization, stack organization, instruction formats, addressing modes, data transfer and
manipulation, program control, RISC.

Unit 4: Pipeline and Vector Processing: Parallel processing, pipelining, arithmetic pipeline,
instruction pipeline, RISC pipeline, vector processing, array processors. Input-output
Organisation: Peripheral devices, input-output interface, asynchronous data transfer, modes of
transfer, priority interrupt, DMA, IOP serial communication.

Unit 5: Memory Organisation: Memory hierarchy, main memory, auxiliary memory, associative
memory, cache memory, virtual memory, memory management, hardware multiprocessor
architectures and their characteristics, interconnection structures, inter processor arbitration,
inter-processor communication and synchronization, cache coherence.

Text
1. Morris Mano, “Computer System Architecture”, PHI.

Reference Books

1. J.F. Heys, “Computer Organization and Architecture”, TMH.


2. Hwang K. and F.A. Briggs, “Computer Architecture and Parallel Processing”, TMH.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester V
Contact Hours (L-T-P): 3-1-0

Power Electronics (BEE030A)

Course Objectives:
The objectives of this subject are to enable students to: acquire an understanding of the nature of
power semiconductor devices and their control and use in switch-mode; understand the
arrangement and topology of the circuits in which switch-mode devices are used; appreciate the
use of power electronic circuits in high-power applications such as motor drives; be aware of
the electromagnetic interference problems associated with power electronic systems; use
commercial software for the rigorous circuit analysis of real power electronic systems; analysis
and design circuits to meet specific specifications; and fabricate basic power electronic circuits
such as a chopper.

Unit 1: Semiconductor Power Devices - Basic characteristics & working of Power Diodes,
DIAC, SCR, TRIAC, Power Transistor, MOSFETs, IGBT and GTO.
Unit 2: Rectifiers & Inverters - Working principles of single and three phase bridge rectifiers,
Voltage and current source inverters.
Unit 3: Power Supplies- Principle of operation of choppers. Step up, Step down and reversible
choppers. High frequency electronic ballast, Switch Mode Power Supply: Fly back converter,
forward/buck converter, Boost converter and buck-boost converter. Uninterruptible Power
Supply.
Unit 4: Motor Control- Introduction to speed control of DC motors using phase controlled
converters and choppers, Basic idea of speed control of three phase induction motors using
voltage and frequency control methods.
Unit 5: Stepper Motors- Variable reluctance, Permanent magnet and hybrid stepper motors.
Induction and dielectric heating control.

Text Book
1. Power Electronics by P. S. Bimbhra: Khanna Publication.
Reference Books:
1. Power Electronics Principles & Applications, Joseph Vithayathil, TMH
2. Power Electronics, Ravish Singh, TMH
3. Industrial Electronics And Control, Ttti, TMH
4. Power Electronics: Converters Applications., Mohan, Robbins, Wiley
5. Power Electronics, Moorthi, Oxford
6. Elements Of Power Electronics, Krein, Oxford
7. Power Electronics, R.S.Murthy, Pearson
8. Power Electronics: Circuits, Devices And Applications, Muhammad.H.Rashid, Pearson
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 24
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 2-0-0

Fuzzy & Computational Mathematics(BAS008A)

Course Objectives:
1. To understand Fuzzy logic and its relations including Operations, reflexivity, symmetry
and transitivity.
2. To introduce Pattern Classification based on fuzzy relations, continuity and integrals and
Connectivity in fuzzy graphs and its to neural networks.

Unit 1: Definition of a Fuzzy set; Elements of Fuzzy logic. Relations including, Operations,
reflexivity, symmetry and transitivity.

Unit 2: Pattern Classification based on fuzzy relations; Fuzzy analysis including metric spaces,
distances between fuzzy sets, area perimeter, height, width of fuzzy subsets.

Unit 3: continuity and integrals; Applications; Paths and connectedness; Clusters including
cluster analysis and modelling information systems, applications.

Unit 4: Connectivity in fuzzy graphs, application in database theory; Applications to neural


networks.

Unit 5: Fuzzy algebra including Fuzzy substructures of algebraic structures, Fuzzy subgroups,
pattern recognition and coding theory.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-0-0

Digital Signal Processing(BEE033A)

Course Objectives:
1. To Introduce Discrete time signals and systems, FIR and IIR filters. Methods for
computing FFT. Characterization & classification of signals.
2. To study Time-Domain characterization of LTI Discrete-Time systems, state-space
representation of LTI Discrete-Time systems, random signals.
3. To study DFT properties, computation of the DFT of real sequences. Sampling, Filter
Design, Sample-and Hold circuits, A/D & D/A converter

Unit 1: Introduction to signals and systems Discrete time signals and systems, Z-transforms,
structures for digital filters, design procedures for FIR and IIR filters. Frequency
transformations: linear phase design; DFT. Methods for computing FFT. Noise analysis of
digital filters, power spectrum estimation. Signals and signal Processing: characterization &
classification of signals, typical Signal Processing operations, example of typical Signals, typical
Signal Processing applications.

Unit 2: Time Domain Representation of Signals & Systems- Discrete Time Signals, Operations
on Sequences, the sampling process, Discrete-Time systems, Time-Domain characterization of
LTI Discrete-Time systems, state-space representation of LTI Discrete-Time systems, random
signals.

Unit 3: Transform-Domain Representation of Signals-The Discrete-Time Fourier Transform,


Discrete Fourier Transform, DFT properties, computation of the DFT of real sequences, Linear
Convolution using the DFT. Z-transforms, Inverse Z-transform, properties of z-transform,
transform domain representations of random signals. Transform-Domain Representation of LTI
Systems: the frequency response, the transfer function, types of transfer function, minimum-
phase and maximum-Phase transfer functions, complementary transfer functions, Discrete-Time
processing of random signals.

Unit 4: Digital Processing of Continuous-Time Signals - sampling of Continuous Signals,


Analog Filter Design, Anti-aliasing Filter Design, Sample-and Hold circuits, A/D & D/A
converter, Reconstruction Filter Design.

Unit 5: Digital Filter Structure and Design- Block Diagram representation, Signal Flow Graph
Representation, Equivalent Structures, bone FIR Digital Filter Structures, IIR Filter Structures,
State-space structure, all pass filters, tunable IIR Digital filters. cascaded Lattice realization of
IIR and FIR filters, Parallel all pass realization of IIR transfer function, Digital Sine-Cosine
generator. Digital Filter Design: Impulse invariance method of IIR filter design, Bilinear
Transform method of IIR Filter Design, Design of Digital IIR notch filters, FIR filter Design
based on truncated fonner sens, FIR filter design based on Frequency Sampling approach.
Text Books:
1. Proakis J.G., and Manolakis, Introduction to DSP, PHI, 2007
2. Sanjit K. Mitra, “Applications DSP a Computer based approach”, TMH, 2006

Reference Books:
1. Allan Y. Oppenhein & Ronald W. Schater , "Applications DSP”,.
2. C.Sydney Burrus (Eds), DSP and Digital Filter Design
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0

VLSI Design (BEE034A)

Course Objectives:
1. To introduce Basics of MOS transistors and its types.
2. To study MOSFET circuits, their power analysis and noise margin.
3. To study Memory latches and registers using MOS and Layout designing rules, and
introduction to VHDL coding.

Unit 1: Basic MOS transistors, Enhancement Mode transistor action, Depletion Mode transistor
action, NMOS and CMOS fabrication. Ids versus Vds relationship, Aspects of threshold voltage,
Transistor Transconductance gm. Inverter, nMOS inverter, Pull up to Pulldown ratio for a
NMOS Inverter and CMOS Inverter (Bn/Bp), MOS transistor circuit Model, Noise Margin.
Unit 2: Combinational MOS Logic Circuit: NAND, NOR gate, Compound Gates, 2 input CMOS
Multiplexer, Transmission Gate, Gate delays, CMOS-Gate Transistor sizing, Power dissipation.
Unit 3: Sequential MOS Logic Circuits: Behavior of Bistable Elements, SR Latch, clocked
Latch and flip flop circuits, CMOS D latch and edge triggered flip flop, Basic Principles of Pass
Transistor Circuits.
Unit 4: MOS Layers Stick/Layout Diagrams; Layout Design Rules, Issues of Scaling, Scaling
factor for device parameters. Layout issues for inverter, Layout for NAND and NOR Gates,
Complex Logic gates Layout, Layout optimization for performance.
Unit 5: Verilog and other design tools. VHDL Code for simple Logic gates, flip-flops, shift
registers.

Text Books:
1. CMOS Digital Integrated Circuits Analysis , Sung-Mo (Steve) Kang, TMH
Reference Book:
1. Essentials Of VLSI Circuits And Systems, Kamran Eshraghian, Eshraghian, PHI
2. Introduction To VLSI Circuits And Systems, John P. Uyemura, John Wiley & Sons
3. Modern VLSI Design, Wayne Wolf, Pearson
4. Principles Of Cmos VLSI Design, Neil H.E.Weste, Pearson
5. VLSI Design, Shanthi, A. Kavitha, A., New Age International
6. VLSI Design And Technology, Bose, D.N., New Age International
7. Digital Systems Design Using VHDL, Charles H. Roth, PWS Publishing Company, 01-Jan-
1998
8. Verilog HDL: A Guide to Digital Design and Synthesis, 2nd ed. (English) 2nd Edition, Samir
Palnitkar, Pearson Education
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-0-0

Control System(BEE035A)

Course Objectives:
1. Introduction to control problem, Transfer function models of mechanical, Closed-loop
systems. transfer function.
2. To understand Basic characteristics of feedback control systems and measure the
stability of a system for any given input.
3. To acquaint with different Frequency-response analysis methods, Polar plots, Bode’s
plot, stability in frequency domain, Nyquist plots.
4. To develop robust understanding of compensation techniques.

Unit 1: Introduction to control problem, Essence of Feedback control theory, feedforward-


feedback control structure, Multivariable control systems, Transfer function models of
mechanical and electrical systems, Dynamic response of system model, Block diagram algebra
and signal flow graph analysis, Feedback and non-feedback control systems, Reduction of
parameter variations by Use of feedback, Control over System Dynamics by use of feedback,
Control of Effects of Disturbance signals by use of feedback, Linearizing Effect of feedback,
Regenerative feedback.

Unit 2: Introduction to Time response analysis, Standard test signals, Time response of first
order systems, Time response of second order systems, Steady state errors, and error constants,
Effect of adding a zero to a system, Design specifications of Second order systems, Design
considerations of Higher order systems, Performance Indices, Approximation of Higher Order
systems by lower order systems.

Unit 3:Concept of Stability, necessary conditions for stability, Hurwitz Stability criterion,
routh stability criterion, relative stability analysis, Introduction to root locus technique,
Construction of root loci, root contours, systems with transportation lags, sensitivity of roots of
the characteristic equation.

Unit 4: Frequency-response analysis- Correlation between time & frequency response, Polar
plots, Bode‟s plots, All-pass and Minimum phase systems, experimental determination of
transfer functions, Comparison between Log-magnitude and Phase plots, Stability in frequency
domain, Nyquist plots. Nyquist stability criterion. Assessment of relative stability using Nyquist
criterion, closed loop frequency response, sensitivity analysis in frequency domain.

Unit 5: Introduction to Design problem, Preliminary considerations of Classical design,


Realization of basic compensators, Cascade Compensation in time-domain, Cascade
Compensation in frequency-domain, tuning of PID controllers, Feedback Compensation, State
variable Analysis- Concepts of state, state variable, state model, state models for linear
continuous time functions, diagonalization of transfer function, solution of state equations,
concept of controllability & observability.

Text Books
1. Nagrath & Gopal, “Control Systems Engineering”, New Age International, New Delhi

References
1.Kuo, B.C., “Automatic Control System”, Prentice Hall, sixth edition, 1993.
2. Joseph Distefano III, Sanjoy Mandal, “Control Systems”, Tata McGraw-Hill, Third edition.
3. Gopal. M., “Control Systems: Principles and Design”, Tata McGraw-Hill, 1997.
4. Nise, N., “Control Systems Engineering”, Wiley, sixth edition, 2014.
5. Ogata, K., “Modern Control Engineering”, Prentice Hall, second edition, 1991.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:24
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 0-0-2

Digital Signal Processing Lab(BEE036A)

List of Experiments
A. Modeling and simulation using MATLAB/ SCILAB
1.TO write a MATLAB/SCILAB program to compute linear convolution and de-convolution of
two given sequences.

2. TO write a MATLAB/SCILAB program to compute circular convolution of two given


sequences.

3. Write a program to compute M Point DFT of following M Point sequence.

n 0  n  N  1
X ( n)  
0 otherwise

Assume N=16 and M=32.

4. Write a program to compute M point IDFT of following M Point sequence

K / N 0  K  N  1
X (k )  
 0 otherwise

Assume M=32 and N=16

5. Realizing a given block diagram having multiplier, adder/subtractor and system


(Discrete/Continuous) with given Impulse response. Calculating output for given input.
6. To simulate the transmitter and receiver for BPSK
7. To design and simulate FIR digital filter (LP/HP).
8. To design and simulate IIR digital filter (LP/HP).
9. Design a FIR lowpass filter with given specification and verify the magnitude ,phase,impulse response
using FDA toolbox.
Order=100

Window =Rectangular window

Cut off Frequency in radian/sec=0.4

10. Design a IIR lowpass Butterworth filter with following specification and verify magnitude,
phase,impulse response using FDA tool
Order Minimum
Pass Band attenuation in dB: .36
Stop Band attenuation in dB: 36
Pass Band freq in Hz: 1500
Stop Band freq in Hz: 2000
Sampling freq in Hz: 6000

B. DSP Lab using TMS320C6XXX DSP Kits


11. To study the architecture of TMS320C6XXX DSP kits using Bloom with DSP
12. To generate wave forms (SINE, COSINE, SQUARE & TRIANGULAR).
13. Verification of Sampling Theorem.
14. Verification of linear/circular convolution.
15. To design FIR and IIR digital filter ( LP/HP).
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:24
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 0-0-2

VLSI Design Lab(BEE037A)

List of Experiments:

1. Write a VHDL code to simulate and synthesis the following gates


a. Basic Gates
b. Universal Gates
c. Exclusive Gates
2. Write a VHDL code to simulate and synthesis the following circuits
a. Half Adder
b. Fulladder
c. Half Substractor
d. Full Substractor

3. Write a VHDL code to find the largest of three number.


4. Write a VHDL code to find the smallest of three number.
5. Write a VHDL code to model MUX 4X1, 8X1 Using
a. With select
b. When
6. Write a VHDL code to model DMUX 1X4, 1X8.
7. Write a VHDL code to generate the Fibonacci series.

8. Write a VHDL code to model a JK Flip Flop (clocked). Using model as a sub program,write
VHDL code to model a 8-bit Shift Register.
9. Write VHDL code with “generate” statement to model a 8-bit Shift Register.
10. Using a “block” statement in VHDL, model a 24-bit Shift Register.
11. Using concurrent statements in VHDL, write a code to model a BCD to 7 Segment Encoder.
12. Design a Decade counter with 10 decoded outputs. Write the VHDL for the same and verify the
output.
13. Design a retrigger able monostable output pulse for duration of 10 mS. For the input waveform
sinewave & write the VHDL code to verify t he output.
14. Design a monostable pulse at the start of the pulse train as in Expt.6 of duration 1 mS. Write a
VHDL code for the same.
15. Write a VHDL code to model the Arithmetic, Logical Unit.
16. Design a BCD decoder to accept transmitted data serially, decode the data and if any
error, detect it and ask for retransmission.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:24
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 0-0-2

Control System Lab(BEE038A)

List of Experiments

1. Basics of MATLAB matrices and vectors, matrix and array operations, Saving and loading
data, plotting simple graphs, scripts and functions, Script files, Function files, Global Variables,
Loops, Branches, Control flow, Advanced data objects, Multidimensional matrices, Structures,
Applications in linear algebra curve fitting and interpolation. Numerical integration, Ordinary
differential equation. (All contents is to be covered with tutorial sheets).

2. Simulink: Idea about simulink, problems based on simulink. (All contents is to be covered
with tutorial sheets)

3. (a) To plot step response of a given Transfer Function. Take different values of damping
ratio and _n natural undamped frequency, (b) Plot ramp response.

4. For a given 2nd order system plot step response and obtain time response specification.

5. To design 1st order R-C circuits and observe its response with the following inputs and trace
the curve.(a)Step (b)Ramp (c)Impulse.

6. To design 1st order electrical network and study its transient response for step input and
following cases.(a)Lag network (b)Lead network (c)Critically damped system.

7. PID CONTROLLER

(a) To observe open loop performance of building block and calibration of PID Controls.

(b) To study P, PI and PID controller with type 0 system with delay.

(c) To study P, PI and PID controller with type 1 system.

8. LEAD LAG COMPENSATOR

(a) To study the open loop response on compensator.

(b) Close loop transient response.

9. Introduction to MATLAB (Control System Toolbox)


a. Different Toolboxes in MATLAB, Introduction to Control Systems Toolbox.

b. Determine transpose, inverse values of given matrix.

10. Plot the pole-zero configuration in s-plane for the given transfer function.

11. Determine the transfer function for given closed loop system in block diagram
representation.

12. Plot unit step response of given transfer function and find peak overshoot, peak time.

13. Plot unit step response and to find rise time and delay time.

14. Plot locus of given transfer function, locate closed loop poles for different values of k.

15. Plot root locus of given transfer function and to find out S, Wd, Wn at given root & to
discuss stability.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0

Antennas and Wave Propagation (BEE039A)

Course Objective:
Antenna Theory is central for all radio systems, and this course will enable the students to
understand different radio antennas and their usage. The student will understand the
applications of the electromagnetic waves in free space. The students will be able to apply the
fundamentals to design different types of antennas.

Unit 1: Fundamental Concepts- Physical concept of radiation, Radiation pattern, near-and far-
field regions, reciprocity, directivity and gain, effective aperture, polarization, input impedance,
efficiency, Friis transmission equation, radiation integrals and auxiliary potential functions.
Unit 2: Radiation from Wires and Loops- Infinitesimal dipole, finite-length dipole, linear
elements near conductors, dipoles for mobile communication, small circular loop Aperture and
Reflector Antennas- Huygens' principle, radiation from rectangular and circular apertures, design
considerations, Babinet's principle, Radiation from sectoral and pyramidal horns, design
concepts, prime-focus parabolic reflector and cassegrain antennas.
Unit 3: Broadband Antennas- Log-periodic and Yagi-Uda antennas, frequency independent
antennas, broadcast antennas. Micro strip Antennas- Basic characteristics of micro strip
antennas, feeding methods, methods of analysis, design of rectangular and circular patch
antennas.
Unit 4: Antenna Arrays- Analysis of uniformly spaced arrays with uniform and non-uniform
excitation amplitudes, extension to planar arrays, synthesis of antenna arrays using Schelkunoff
polynomial method, Woodward-Lawson method.
Unit 5: Basic Concepts of Smart Antennas- Concept and benefits of smart antennas, Fixed
weight beam forming basics, Adaptive beam forming. Different modes of Radio Wave
propagation used in current practice.
Text Books
1. J.D. Kraus, Antennas, McGraw Hill, 1988.
Reference Books:
1. C.A. Balanis, Antenna Theory - Analysis and Design, John Wiley, 1982.
2. R.E. Collin, Antennas and Radio Wave Propagation, McGraw Hill, 1985.
3. R.C. Johnson and H. Jasik, Antenna Engineering Handbook, McGraw Hill, 1984.
4. I.J. Bahl and P. Bhartia, Micro Strip Antennas, Artech House,1980.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0
Embedded System

Objectives
-To teach students about embedded system Design and implementation.
- To teach AVR architecture and programming.

Unit 1: Introduction to Embedded System: An Embedded System, Embedded system


Vs General computing systems, Classification of embedded systems, Major application
areas of embedded systems, Purpose of embedded systems, Processor, Processor
selection for an Embedded systems, Characteristics of Embedded system, Quality
attributes of Embedded systems. Memory devices, memory selection for an embedded
system, RISC Vs CISC Processor, Harvard Vs Von Neumann Processors/Controllers
architecture, Big Endian Vs Little Endian Processors/Controllers.
Unit 2: sensors and actuators, I/O subsystem: LED, 7 segment LED Display, Opto-
coupler, Stepper Motor, Relay, keyboard, PPI chip.
Communication interface: onboard communication interfaces-I2C, SPI, UART, 1-wire
interface, Parallel interface. External communication interfaces: RS232C, USB, IEEE
1394, Infrared, Bluetooth, Wi-Fi, ZigBee and GPRS.
Unit 3: AVR Microcontroller architecture- registers and memory in AVR. Assembly
language programming of AVR- Addressing modes, instruction sets, Assembler
directive, Advanced programming- time delay, I/O port programming, I/O bit
manipulation programming.
Unit 4: AVR timer and counter programming, AVR interrupt programming, AVR
interfacing- Serial Ports, LCD, Keyboard, ADC, DAC, sensors, stepper motor,PWM
control, DC Motor Speed Control. Watchdog timer,Real Time Clock.
Unit 5: HARDWARE SOFTWARE CO-DESIGN: issues in hardware software co-
design, Computational models in embedded design-data flow graph/diagram model,
Control data flow graph/diagram, State Machine model, Sequential program model,
Concurrent/ Communicating process model, Object oriented model. Introduction to
unified modelling language.
Embedded Network Devices: CAN, LIN, Fail Safe SBC, Safe by wire.
Text Books:
1- Shibu K V, “Introduction to Embedded Systems”, MacGraw Hill Education
2- M.A. Mazidi, S. Naimi and S. Naimi, “The AVR Microcontroller and Embedded
Systems using assembly and C”, Prentice Hall
References: -
1- Raj Kamal, “Embedded systems - architecture, programming and design” , Tata
McGraw Hill, 2007.
2- Daniel Pack, Mitchell Thornton, Steven F. Barrett , “Atmel AVR microcontroller
primer: programming and interfacing”, Morgan & Claypool Publishers
3- Dhananjay Gadre, “Programming and Customizing the AVR Microcontroller”,
McGraw-Hill Companies
4- Mitchell Thornton , Steven Barrett “Embedded Systems Design with the
Atmel AVR Microcontroller”, Morgan & Claypool Publishers.
5- Frank Vahid, Tony D. Givargis , “Embedded System Design: A Unified Hardware
Software Introduction”, Wiley publications.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0

Radar and Satellite Communication(BEE042A)

Course Objectives:

Satellite communication is most popular mode of transmission and reception of information at


very long distance points. TV , Radio, Voice Channels, Mobile Communication, GPS , Weather
forecasting , all are sub parts of this subjects.We study ,how to decide the location and operating
bandwidth of satellite, what factors decide life, performance, cost of satellite link.

Unit 1: Radar Block diagram, Frequencies and Applications. Radar range Equation. Continuous
Wave (CW) & FM radar.
Unit 2: Delay line Cancellers, Blind velocity Pulse Doppler Radar. Tracking radar sequential
lobbing, Conical scan and Mono-pulse radar, Types of display, Radar receivers, Noise figure.
Introduction.
Unit 3: Orbital mechanics and launching, Earth station and satellite sub systems, Satellite link,
Design and Analysis.
Unit 4: Multiple accesses for satellite links: FDMA, TDMA, CDMA & DAMA. Propagation
effects.
Unit 5: Network architecture, Access control protocol & Link Analysis.

Text Book:
Fundamentals Of Satellite Communications ,K.N. Raja Rao, ,Phi
Wireless Broadband Networks,David T. Wong, Peng-Yong Kong,John Wiley & Sons
Reference Books:
1. Radar Principles, By Peyton Z. Peebles, Oxford
2. Radar HandOBOOK, By Merrill I. Skolnik, Oxford
5. Satellite Communications ,Timothy Pratt, Charles Bostian And, John Wiley & Sons.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0

Algorithms(BEE043A)

Unit 1: Principles – Computational problem, worst case and average size complexity, big oh
notation. Basic Design Techniques – Incremental design - case studies, decremental design - case
studies, pruning - binary search, order statistics

Unit 2: Divide and Conquer – Merge sort, Strassen matrix multiplication, finding maxmin,
recurrence equations. Dynamic Programming and Greedy Methods – Chain products,
optimization problems, Huffman tree construction

Unit 3: Backtrack, Branch and Bound, Transformations; Graph algorithms – Matching and flow
problems.

Unit 4: Computational Geometry – Convex hull, closest pair, voronoi diagrams; Number Theory
Problems – CRT, GCD algorithms, modular arithmetic, discrete log algorithms

Unit 5: Lower Bound Theory; Approximate Algorithms – Set cover, vertex cover, bin packing
problems. Randomized Algorithms – Las Vegas and Monte Carlo methods, Chernoff bounds -
case studies.

Textbooks:
1.Introduction to Design and Analysis of Algorithms, Anny Levitin, Person Education Press.
2007.
2. Gilles Brassard & Paul Bratley, Fundamental Algorithms, Prentice-Hall. 1998
Reference Books:
1. Cormen, Leizerson & Rivest, Introduction to algorithms, Prentice-Hall. (2002 .
2. Aho, HopCroft, Ullman, The Design and Analysis of Computer Algorithms, Addison-Wesley.
2001.
3. Horowitz & Sahni, Fundamentals of Computer Algorithms, Galgotia Publication. 1999
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VI
Contact Hours (L-T-P): 3-1-0

Digital Hardware Design (BEE044A)

Course Objective:
The objective of the course is to introduce the basic concepts of asynchronous and synchronous
state machines and their implementation, hazards faced in today’s world.

Unit 1: Memory element: Latch, R-S, J-K, D –flip flops, Master Slave arrangement, edge
triggered flip flops, shift registers, asynchronous and synchronous counters.
Unit 2: Analysis and Design of Synchronous Sequential Finite state machines: ASM charts,
synchronous analysis process, design approaches, state reduction, design of next state decoder
and output decoder, design of counters and decoders, code sequence detectors, sequential code
generators.
Unit 3: Linked state mechanics: Introduction to system controller design: System controller
state specification (MDS diagram) timing and frequency considerations, synchronizing system,
state assignments, implementation using ROM, PAL, PLA multiplexers.
Unit 4: Analysis and design of Asynchronous Sequential finite state machines: Need for
asynchronous circuits, analysis, cycles and races, Hazards, Map entered variable approaches to
asynchronous design.
References:
1. William J. Fletcher- An Engineering approach to Digital Design – PHI 1993.
2. F.P.Frosser and D.E. Winkel – The Art of Digital Design.
3. D.H. Green – Modern Logic Design.
4. Morant M.J. Integrated Circuit Design and Technology, champion and Hall, 1990.
5. Wakerly – Digital Design: Principles and Practices, PHI 1994.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 2-1-0

Linear Algebra (BAS009A)

Unit 1: Vector spaces, linear dependence, basis; Representation of linear transformations with
respect to a basis.Inner product spaces, Hilbert spaces, linear functions; Riesz representation
theorem and adjoints.

Unit 2: Orthogonal projections, products of projections, orthogonal direct sums; Unitary and
orthogonal transformations, complete orthonormal sets and Parseval's identity; Closed subspaces
and the projection theorem for Hilbert spaces.

Unit 3: Polynomials: The algebra of polynomials, matrix polynomials, annihilating polynomials


and invariant subspaces, Jordan forms.

Unit 4: Applications: Complementary orthogonal spaces in networks, properties of graphs and


their relation to vector space properties of their matrix representations; Solution of state
equations in linear system theory; Relation between the rational and Jordan forms.

Unit 5: Numerical linear algebra: Direct and iterative methods of solutions of linear equations;
Matrices, norms, complete metric spaces and complete normal linear spaces (Banach spaces);
Least squares problems (constrained and unconstrained); Eigenvalue problem.

Text Books:
1. K. Hoffman and R. Kunze, Linear Algebra, Prentice-Hall (India), (1986).

Reference
1. G.H. Golub and C.F. Van Loan, Matrix Computations, North Oxford Academic, 1983.
2. G. Bachman and L. Narici, Functional Analysis, Academic Press, 1966.
3. E.Kreyszig, Introductory functional analysis with applications John Wiley, 1978.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:36
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-0-0

Communication Networks (BEE049A)

Course Objective:
To acquire a foundational understanding of communication network technologies. Networking
concepts will be illustrated using the TCP/IP and ATM networks.

Unit 1: Overview of networking principles and of analytical networking. Outline of the course.
Networking practice. A brief overview of networking technologies and the development of a
functional view.
Analysis of packet multiplexed stream traffic; Introduction to Deterministic Network Calculus
and packet scheduling algorithms and their analysis.

Unit 2: Stochastic analysis of packet multiplexed stream traffic. Overview of queueing


models, Little's theorem, Brumelle's theorem, M/G/1 queue formulae, development of
equivalent bandwidth of a stream source.

Unit 3: Circuit multiplexing. Blocking probability calculations and the Kaufman Roberts
recursion. Application to a simple analysis of cellular network. Stochastic analysis of packet
multiplexing of elastic sources. Window flow/congestion control algorithms, detailed
description of TCP and a detailed analysis of the TCP protocol.

Unit 4: Introduction to multiple access channels. Description and analysis of the Aloha,
Ethernet, and CSMA/CA protocols. Brief overview of ad hoc networks and issues in sensor
networks.
Unit 5: Packet Switching and Architecture of routers and packet switches. Queueing issues in
packets switches, input and output queueing, virtual-output-queueing, maximum and maximal
matching algorithms, stable matching algorithm

Texts/Reference Books:
1.R G Gallager and D Bertsekas, Data Networks, Prentice Hall of India, 1992.
2.J F Hayes, Modelling and Analysis of Computer Communication Networks, Plenum
Publishers, NY,1984. 3.W Stallings, Data and Computer Communications, Prentice Hall of
India, 1997.
4.R Rom and M Sidi, Multiple Access Protocols, Springer Verlag, 1990.
5.M DePrycker, ATM-solutions for Broadband ISDN, Prentice Hall of USA, 1995.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 36
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-0-0

Fiber Optic Communication(BEE050A)

Course Objectives:
1. To be able analyze the performance of both digital and analog optical fibre.
2. To calculate the system bandwidth, noise, probability of error and maximum usable bit
rate of a digital fiber system.
3. To be able to calculate the system link loss, distortion and dynamic range of an RF
photonic link.

Unit 1: OPTICAL FIBERS - Basic optical laws and definitions, Principles of light
propagation in fibers, Ray theory, Optical fiber modes and configurations, Step index and
graded index fibers. Monomode and multimode fibers, Fiber materials, fiber fabrication, Fiber
optic cables. Attenuation, signal distortion in optical fibers, Dispersionintra modal & inter
modal, Dispersion shifted and flattened fiber.

Unit 2: OPTICAL SOURCES - LED‟s- Structure, Materials, Characteristics, Modulation,


Power & efficiency, Laser Diodes - Basic concept, Hetro Structure, properties and modulation.

Unit 3: OPTICAL DETECTORS - PIN and Avalanche photo diodes, photo detector noise,
detector response time, Avalanche multiplication noise. Photo diode materials. Fundamental of
Optical Receiver Operation.

Unit 4: OPTICAL FIBER COMMUNICATION SYSTEMS- Source to fiber coupling, fiber


to fiber joints, fiber splicing, fiber connectors. Principal components. Link design calculation,
Applications, Wavelength division multiplexing.

Unit 5: OPTICAL FIBER MEASUREMENTS: Measurements of Fiber attenuation,


Dispersion, refractive index profile, Numerical aperture & diameter.
Text Book:
1. Optical Fiber Communication: Principles And Practice:John M Senior, Pearson

Reference Books:
1. Opto Electronics And Fibre Optics Communication, Sarkar, D.C,
2. Optical Fiber Communication: Principles And Systems, Selvarajan, A, TMH
3. Optical Communication System, Johan Gowar, PHI
4. Introduction To Optical Fiber Communications Systems, William B. Jones, Oxford
5. Optical WDM Networks - Principles and Practice, Biswanath Mukherjee, Oxford
6. Optical Fiber Communications, Keiser, Gerd, TMH
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 2-1-0

Mobile Communication(BEE051A)

Course Objective:
To familiarize students with various technologies traversed in the complete evolution path from
2G to 4G and beyond. Also providing sound understanding to the students about the various
technologies from mathematical perspective.

Unit 1: Cellular concepts- Cell structure, frequency reuse, cell splitting, channel assignment,
handoff, interference, capacity, power control; Wireless Standards: Overview of 2G and 3G
cellular standards.

Unit 2: Signal propagation- Propagation mechanism- reflection, refraction, diffraction and


scattering, large scale signal propagation and lognormal shadowing. Fading channels Multipath
and small scale fading- Doppler shift, statistical multipath channel models, narrowband and
wideband fading models, power delay profile, average and rms delay spread, coherence
bandwidth and coherence time, flat and frequency selective fading, slow and fast fading,
average fade duration and level crossing rate.

Unit 3: Capacity of flat and frequency selective channels. Antennas- Antennas for mobile
terminal- monopole antennas, PIFA, base station antennas and arrays. Multiple access
schemes- FDMA, TDMA, CDMA and SDMA. Modulation schemes- BPSK, QPSK and
variants, QAM, MSK and GMSK, multicarrier modulation, OFDM.

Unit 4: Receiver structure- Diversity receivers- selection and MRC receivers, RAKE receiver,
equalization: linear-ZFE and adaptive, DFE. Transmit diversity-Alamouti scheme. MIMO and
space time signal processing, spatial multiplexing, diversity/ multiplexing tradeoff.

Unit 5: Performance measures- Outage, average snr, average symbol/bit error rate. System
examples- GSM, EDGE, GPRS, IS-95, CDMA 2000 and WCDMA.

Text Book
1.WCY Lee, Mobile Cellular Telecommunications Systems, McGraw Hill, 1990.

Reference Books:
1.Raymond Steele, Mobile Radio Communications, IEEE Press, New York, 1992.
2.AJ Viterbi, CDMA: Principles of Spread Spectrum Communications, Addison Wesley, 1995.
3.VK Garg &JE Wilkes, Wireless & Personal Communication Systems, Prentice Hall, 1996.
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech. Electronics and communications Engineering Semester VII Hours: 24
Contact Hours (L-T-P): 0-0-2

Communication Network Lab(BEE052A)

1. To design and simulate CDMA transmitter and receiver with BPSK modulation scheme and
measuring the BER in AWGN and Rayleigh channel on SIMULINK.

2. To design and simulate CDMA transmitter and receiver with QPSK modulation scheme and
measuring the BER in AWGN and Rayleigh channel on MATLAB SIMULINK.

3. To design and simulate CDMA transmitter and receiver with QAM modulation scheme and
measuring the BER in AWGN and Rayleigh channel on MATLAB SIMULINK.

4. To analyze and reduce the effect of co-channel interference in a wireless communication


system on MATLAB SIMULINK.

5. To design and simulate the transmitter and receiver of IEEE 802.15 standard on
MATLAB SIMULINK.

6. To design and simulate the transmitter and receiver of GSM system using GMSK
modulation scheme and analyze the performance in terms of SNR and BER as well as
throughput.

7. To design and simulate the CDMA transmitter and receiver with a specific modulation
scheme and measuring the BER in AWGN and Rayleigh channel for different coding
schemes on MATLAB SIMULINK.

8. To analyze the ADSL by observing the effect of varying SNR on the received signal
constellation.

9. To analyze the effect of a specific modulation scheme on the bit rate of OFDM system
using MATLAB.

10. To implement MIMO OFDM using MATLAB.

11. To analyze the effect of adaptive modulation and coding on the bit rate of OFDM
system using MATLAB.
12. To study various spectrum sensing techniques in wireless communication.

13. To implement OFDMA scheme using MATLAB.

14. To analyze the performance of OFDMA transmission scheme in flat fading as well
frequency selective fading.

15. To analyze the performance of OFDMA transmission scheme in fast fading channel.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Adaptive Signal Processing(BEE054A)

1. This course focuses on problems algorithms and solutions for processing signals in an
manner that is responsive to a changing environment Adaptive signal processing systems
are developed which take advantage of the statistical properties of the received signals.
2. The course analyzes the performance of adaptive filters and considers the application of
the theory to a variety of practical problems such as interference and echo cancellation
signal and system identification and channel equalization.
3. The class is designed as an advanced statistical signal processing course in which
students will build a strong foundation in approaching problems in such diverse areas as
acoustic sonarradar geophysical biomedical and communications signal processing
Understanding of the theoretical foundations of adaptive signal processing theory will be
achieved through a combination of theoretical and computer based homework
assignments Detail.

Unit 1: General concept of adaptive filtering and estimation, applications and motivation.
Review of probability, random variables and stationary random processes; Correlation structures,
properties of correlation matrices.
Unit 2: Optimal FIR (Wiener) filter, Method of steepest descent, extension to complex valued
signals.
Unit 3: The LMS algorithm (real, complex), convergence analysis, weight error correlation
matrix, excess mean square error and mis-adjustment. Variants of the LMS algorithm : the sign
LMS family, normalized LMS algorithm, block LMS and FFT based realization, frequency
domain adaptive filters, Sub-band adaptive filtering.
Unit 4: Signal space concepts - introduction to finite dimensional vector space theory, subspace,
basis, dimension, linear operators, rank and nullity, inner product space orthogonality, Gram-
Schmidt orthogonalization, concepts of orthogonal projection, orthogonal decomposition of
vector spaces ,Vector space of random variables, correlation as inner product, forward and
backward projections, Stochastic lattice filters, recursive updating of forward and backward
prediction errors, relationship with AR modeling, joint process estimator, gradient adaptive
lattice.
Unit 5: Introduction to recursive least squares (RLS), vector space formulation of RLS
estimation, pseudo-inverse of a matrix, time updating of inner products, development of RLS
lattice filters, RLS transversal adaptive filters. Advanced topic: affine projection and subspace
based adaptive filters, partial update algorithms, QR decomposition and systolic array.
Text Books:
. 1. S. Haykin, Adaptive filter theory, Prentice Hall, 1986.
2. B. Widrow and S.D. Stearns, Adaptive signal processing, Prentice Hall,1984.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Speech and Audio Processing(BEE055A)

Course Objectives:
1. This course will give students a foundation in current audio and recognition
technologies.
2. One objective is to build up a familiarity with the perceptually-salient aspects of the
audio signal, and how they can be extracted and manipulated through signal processing.
3. Objective is to obtain a thorough understanding of the statistical pattern recognition
technology at the core of contemporary speech and audio recognition systems.
4. The course aims to deepen each student's familiarity with the practical application of
signal processing in general, through the study of specific instances, and through the
experience of the term project.

Unit 1: Introduction- Speech production and modeling - Human Auditory System; General
structure of speech coders; Classification of speech coding techniques – parametric, waveform
and hybrid ; Requirements of speech codecs –quality, coding delays, robustness.

Unit 2: Speech Signal Processing- Pitch-period estimation, all-pole and all-zero filters,
convolution; Power spectral density, periodogram, autoregressive model, autocorrelation
estimation. Linear Prediction of Speech- Basic concepts of linear prediction; Linear Prediction
Analysis of non-stationary signals –prediction gain, examples; Levinson-Durbin algorithm; Long
term and short-term linear prediction models; Moving average prediction.

Unit 3: Speech Quantization- Scalar quantization – uniform quantizer, optimum quantizer,


logarithmic quantizer, adaptive quantizer, differential quantizers; Vector quantization –
distortion measures, codebook design, codebook types.

Unit 4: Scalar Quantization of LPC- Spectral distortion measures, Quantization based on


reflection coefficient and log area ratio, bit allocation; Line spectral frequency – LPC to LSF
conversions, quantization based on LSF. Linear Prediction Coding- LPC model of speech
production; Structures of LPC encoders and decoders; Voicing detection; Limitations of the LPC
model.

Unit 5: Code Excited Linear Prediction-CELP speech production model; Analysis-by-synthesis;


Generic CELP encoders and decoders; Excitation codebook search – state-save method, zero-
input zero-state method; CELP based on adaptive codebook, Adaptive Codebook search; Low
Delay CELP and algebraic CELP. Speech Coding Standards-An overview of ITU-T G.726,
G.728 and G.729 standards

Text/Reference Books:

1. “Digital Speech” by A.M.Kondoz, Second Edition (Wiley Students‟ Edition), 2004.


2. “Speech Coding Algorithms: Foundation and Evolution of Standardized Coders”, W.C.
Chu, Wiley Inter science, 2003.
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

ASIC & FPGA(BEE056A)

Course Objectives:

To introduce students to the process of designing application specific hardware implementations


of algorithms for ASICs and FPGAs. Students will work with commercial computer aided design
tools to synthesize designs described in hardware description languages. Topics covered will
include differences between hardware description languages for synthesis and simulation,
behavioral synthesis, gate-level design, register transfer level design, design methodologies,
finite state machines, design reuse and intellectual property cores, and optimization.

Unit 1: Introduction to Asics, Cmos Logic And Asic Library Design : Types of ASICs -
Design flow - CMOS transistors, CMOS Design rules - Combinational Logic Cell – Sequential
logic cell - Data path logic cell - Transistors as Resistors - Transistor Parasitic Capacitance-
Logical effort –Library cell design - Library architecture.
Unit 2: Programmable Asics, Logic Cells And I/O Cells : Anti fuse - static RAM - EPROM
and EEPROM technology - PREP benchmarks - Actel ACT - Xilinx LCA –Altera FLEX - Altera
MAX DC & AC inputs and outputs - Clock & Power inputs - Xilinx I/O blocks.
Unit 3: Programmable Asic Interconnect, Design Software And Low Level Design Entry :
Actel ACT -Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and 7000 - Altera MAX 9000 -
Altera FLEX –Design systems - Logic Synthesis - Half gate ASIC - Schematic entry - Low level
design language - PLA tools -EDIF- CFI design representation.
Unit 4: Logic Synthesis, Simulation And Testing : Verilog and logic synthesis –VHDL and
logic synthesis - types of simulation -boundary scan test - fault simulation - automatic test
pattern generation, Introduction to JTAG.
Unit 5: ASIC Construction, Floor Planning, Placement & Routing : System partition - FPGA
partitioning - partitioning methods - floor planning - placement – physical design flow –global
routing - detailed routing - special routing - circuit extraction - DRC.
Text Book:
1.S. Y. Kung, H. J. White House, T. Kailath, "VLSI and Modern Signal Processing ",Prentice
Hall, 1985.
Reference Books:
1. M.J.S .Smith, "Application Specific Integrated Circuits ", Addison -Wesley
LongmanInc.,1997
2. Andrew Brown, "VLSI Circuits and Systems in Silicon", McGraw Hill, 1991
3. S.D. Brown, R.J. Francis, J. Rox, Z.G. Vranesic, “Field Programmable Gate Arrays”, Kluwer
Academic Publishers, 1992.
4. Mohammed Ismail and Terri Fiez, "Analog VLSI Signal and Information Processing",
McGraw Hill, 1994.
5. Jose E. France, Yannis Tsividis, "Design of Analog & Digital VLSI Circuits for
Telecommunication and SignalProcessing", Prentice Hall, 1994
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Micro Electro Mechanical Systems(BEE057A)

Course Objectives:
1. In this course you will learn about microelectromechanical systems (MEMS). You will learn
about elasticity and the static and dynamic behavior of beams and membranes.

2. The Laplace transformation is introduced and used to translate both mechanical and other
systems to electrical components ("lumped elements"), which are then analyzed by use of the
tools available for systems of electrical components. Transducers (sensors and actuators) are
treated with focus on electrostatic, electromagnetic and piezo-resistive/electric transducers.

3. It is central here that you learn to calculate the electrical and mechanical response of simple
MEMS-transducers. Related simple electronic circuits are introduced and noise (electrical and
in other domains) is introduced. Applications in e.g. accelerometers, microfabricated
microphones and pressure sensors are illustrated via examples and problems as well as
company visits.

4. The final part of the course is a desktop project, where you will work in groups on an open
MEMS-related problem defined by a research group at DTU Nanotech or a company.

Unit 1: INTRODUCTION TO MEMS:MEMS and Microsystems, Miniaturization, Typical


products, Micro Sensors,Micro actuation, MEMS with micro actuators, Microaccelorometers and
Micro fluidics, MEMS materials, Micro Fabrication.
Unit 2: MECHANICS FOR MEMS DESIGN: Elasticity, Stress, strain and material properties,
Bending of thin plates, Spring configurations,torsional deflection, Mechanical vibration,
Resonance, Thermo mechanics – actuators, force and response time, Fracture and thin film
mechanics, material, physical vapor deposition (PVD),chemical mechanical polishing (CMP).
Unit 3: ELECTRO STATIC DESIGN: Electrostatics: basic theory, electro static instability,
Surface tension, gap and finger pull up, Electro static actuators, Comb generators, gap closers,
rotary motors, inch worms, Electromagnetic actuators, bistable actuators.
Unit 4: CIRCUIT AND SYSTEM ISSUES: Electronic interfaces, Feed back systems, Noise,
Circuit and system issues, Case studies –Capacitive accelerometer, Peizo electric pressure
sensor, Thermal sensors, radiation sensors, mechanical sensors, bio-chemical sensors Modeling
of MEMS systems, CAD for MEMS.
Unit 5: INTRODUCTION TO OPTICAL AND RF MEMS: Optical MEMS, system design
basics – Gaussian optics, matrix operations, Resolution, Case studies, MEMS scanners and
retinal scanning, display, Digital Micro mirror devices, RF Mems – design basics, case study –
Capacitive RF MEMS switch, Performance issues.

Text Books:
1. Stephen Santeria, “Microsystems Design “, Kluwer publishers, 2000.
2. Tai Ran Hsu, “MEMS & Micro systems Design and Manufacture” Tata McGraw Hill, New
Delhi, 2002.
References:
1. Mohamed Gad-el-Hak, editor, “ The MEMS Handbook”, CRC press Baco Raton, 2000
2. Nadim Maluf, “ An introduction to Micro electro mechanical system design”, Artech House,
2000.
3. Julian w. Gardner, Vijay k. varadan, Osama O.Awadelkarim,micro sensors mems and smart
devices, John Wiley & son LTD,2002
4. James J.Allen, micro electro mechanical system design, CRC Press published in 2005
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Optimization Techniques

Course Objective:
To learn fundamental principles of Multi objective Optimization (MOP) and survey different
Multi objective Optimization algorithms. The linear, non linear and dynamic programming is
covered in detail and various design issues are discussed.

Unit 1: Introduction -Historical development, engineering applications of optimization,


Formulation of design problems for a mathematical programming problem, Classification of
optimization problems.
Unit 2: Linear Programming-Simplex methods, Revised simplex method, Duality in linear
programming, Post optimality analysis.
Unit 3: Applications Of Linear Programming- Transportation and Assignment problems.
Unit 4: Nonlinear Programming - Unconstrained optimization techniques, Direct search
methods, Descent methods, Constrained optimization, Direct and Indirect methods.
Unit 5: Dynamic Programming: Introduction, multi-decision processes, computational
procedures.

Text Books:
1. Gillet B.E: Introduction to Operation Research, Computer Oriented Algorithmic approach -
Tata McGraw Hill Publishing Co. Ltd. New Delhi.

Reference Books
1. P.K. Gupta & D.S. Hira, “Operations Research”, S.Chand & Co.
2. J.K. Sharma, “Operations Research: Theory and Applications”, Mac Millan.
3. S.D. Sharma, “Operations Research”, Kedar Nath Ram Nath, Meerut (UP).
4. S.S. Rao “Optimization Theory and Application”, Wesley Eastern.
5. Tata Handy, A “Operations Research - An Introduction”, Fifth Edition, Prentice Hall of India
Pvt. Ltd., New Delhi.
6. Taha H.A. “Operations Research an Introduction” McMillan Publication
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Broad Band Communication(BEE058A)

Course Objectives:
1. study-unit aims to familiarize students with current and future broadband This systems be
they interface systems in the PAN, interconnection schemes in Data centers or networked
systems in the Local, Metropolitan and Wide Area Network.
2. udents will familiarize with various broadband systems and their components, and shall
familiarize with the critical design parameters of each of the covered broadband system.

Unit 1: X.25, Frame relay, X.25 v/s Frame relaying, Frame mode protocol architecture, Frame
relay and Frame switching, Frame mode call control, Call control protocol, DLCI, Bearer
capability, Link layer core parameters, LAPF.
ISDN – Integration of Transmission and Switching, Analog and Digital switching, Principles of
ISDN.
Unit 2: User interface, Architecture, ISDN standards, I-series recommendations. ISDN interface
and Functions – Transmission structure, User network interface, ISDN protocol architecture, ISDN
connections, Addressing, Interworking, B-ISDN architecture and standards.
Unit 3: B-ISDN Services and protocols – Conversational, Messaging, Retrieval, Distribution,
Business and Residential requirements. User plane, Control plane, Physical layer, Line coding,
Transmission structure, Signal Hierarchy, System Hierarchy.
Unit 4: ATM – Overview, Virtual channels, Virtual paths, VP and VC switching, ATM cells,
Header format, Generic flow control, Header error control, Transmission of ATM cells,
Adaptation layer, AAL services and protocols, ATM service categories, ATM Traffic related
Attributes QOS.
Unit 5: ATM switching – ATM switching building blocks, ATM cell processing in a switch,
Matrix type switch, Input, Output buffering, Central buffering, Performance aspects of buffering
switching networks.

Text Books:
1. ISDN and Broadband ISDN with Frame Relay and ATM, William Satllings –PHI

Reference Books:
1. Broadband Communications, Balaji kumar, Mac-Graw Hill
2. Broadband Bible - Wiley India Publication
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering VII
Contact Hours (L-T-P): 3-1-0

Image and Video Processing(BEE059A)

Course Objectives:
1. To introduce students to both the fundamentals and emerging techniques in image and
video processing.
2. Concepts and applications in image and video processing; introduction to
multidimensional signal processing: sampling, Fourier transform, filtering, interpolation,
and decimation; human visual perception; scanning and display of images and video;
image enhancement, restoration and segmentation; digital image and video compression;
image analysis.

Unit 1: Digital Image Fundamentals-Elements of visual perception, image sensing and


acquisition, image sampling and quantization, basic relationships between pixels –
neighborhood, adjacency, connectivity, distance measures.

Unit 2: Image Enhancements and Filtering-Gray level transformations, histogram equalization


and specifications, pixel-domain smoothing filters – linear and order-statistics, pixel-domain
sharpening filters – first and second derivative, two-dimensional DFT and its inverse, frequency
domain filters – low-pass and high-pass.

Unit 3: Color Image Processing-Color models – RGB, YUV, HSI; Color transformations –
formulation, colr complements, color slicing, tone and color corrections; Color image smoothing
and sharpening; Color Segmentation.mage Segmentation- Detection of discontinuities, edge
linking and boundary detection, thresholding – global and adaptive, region-based segmentation.

Unit 4: Wavelets and Multi-resolution image processing- Uncertainty principles of Fourier


Transform, Time-frequency localization, continuous wavelet transforms, wavelet bases and
multi-resolution analysis, wavelets and Subband filter banks, wavelet packets. Image
Compression-Redundancy – inter-pixel and psycho-visual; Lossless compression – predictive,
entropy; Lossy compression- predictive and transform coding; Discrete Cosine Transform; Still
image compression standards – JPEG and JPEG-2000.

Unit 5: Fundamentals of Video Coding- Inter-frame redundancy, motion estimation techniques –


full-search, fast search strategies, forward and backward motion prediction, frame classification
– I, P and B; Video sequence hierarchy – Group of pictures, frames, slices, macro-blocks and
blocks; Elements of a video encoder and decoder; Video coding standards – MPEG and H.26X.
Video Segmentation- Temporal segmentation – shot boundary detection, hard-cuts and soft-cuts;
spatial segmentation – motion-based; Video object detection and tracking.

Text/Reference Books:
1. “Digital Image Processing”, by R.C.Gonzalez and R.E. Woods, Second Edition, Pearson
Education.
2. “Fundamentals of Digital Image Processing”, by Anil Kumar Jain. Prentice Hall of India.
3. “Video Processing” by Murat Tekalp.
JECRC University
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0
Artificial Neural Networks(BEE060A)
Course Objective:
1. The objective of the course is to study basics of biological Neural Network and artificial Neural
Network.
2. The students will learn about the applications of ANN and different pattern recognition tasks
using ANN.

Unit I Fundamentals: Introduction & Motivation, Biological Neural Networks and simple models, The
Artificial Neuron Model; Hopfield Nets; Energy Functions and Optimization; Neural Network Learning
Rules: Hebbian Learning Rule, Perceptron Learning Rule, Delta Learning Rule Widrow-Hoff Rule,
Correlation Learning Rule, Winner –Take-All Learning rule, Out Star Learning Rule, summary of
Learning rules.

Unit II Single layer perceptron classifiers: Classification model, features and decision regions,
discriminant functions, linear machine and minimum distance classification, nonparametric training
concept training and classification using the discrete perceptron: algorithm and example, single layer
continuous perceptron network for linearly separable classifications, multicategory

Unit III Multilayer feed forward networks: Linearly nonseparable pattern classification delta learning
rule for multiperceptron layer. Generalized Delta Learning rule. Feed forward Recall and Error Back
Propagation Training; Examples of Error Back-Propagation. Training errors: Learning Factors; Initial
weights, Cumulative Weight Adjustment versus Incremental Updating, steepness of activation function,
learning constant, momentum method, network architecture Versus Data Representation, Necessary
number of Hidden Neurons. application of Back propagation Networks in pattern recognition & Image
processing, Madaunes: Architecture & Algorithms.

Unit IV Single Layer Feedback Network: Basic concepts of dynamical systems, mathematical
foundation of discrete-time hop field networks, mathematical foundation of Gradient-Type Hopfield
networks, transient response of continuous time networks. example solution of optimization problems:
summing networks with digital outputs, minimization of the traveling salesman tour length, solving
simultaneous linear equations.

Unit V Associative Memories I: Basic concepts, linear associator basic concepts of recurrent auto
associative memory, retrieval algorithm, storage algorithm, storage algorithms performance
considerations, performance concepts of recurrent auto associative memory, energy function reduction
capacity of recurrent auto associative memory, memory convergence versus corruption, fixed point
concept, modified memory convergence towards fixed points, advantages and limitations.

Text Books:
1. Introduction to Artificial Neural Systems, J.M.Zurada: Jaico Publishers

References
1. Artificial Neural Networks, Dr. B. Yagananarayana, PHI, New Delhi.
2. Elements of Artificial Neural Networks, Kishan Mehrotra, Chelkuri K. Mohan,
Sanjay Ranka: Penram International
3. Introduction Neural Networks Using MATLAB 6.0 - by S.N. Shivanandam, S. Sumati,
S. N. Deepa,1/e, TMH, New Delhi.
4. Fundamental of Neural Networks – By Laurene Faus
JECRC UNIVERSITY
Faculty of Engineering & Technology Hours:48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-1-0

Mixed Signal Design(BEE061A)

Course Objective:
The objective of the course is make students learn to design core mixed-signal IC blocks: comparators
and data converters, filters and their usage. The course will also take into account frequency synthesizers
and usage to various tools for the complete IC design process.

Unit 1: Analog and discrete-time signal processing, introduction to sampling theory; Analog
continuous-time filters: passive and active filters; Basics of analog discrete-time filters and Z-
transform.

Unit 2: Switched-capacitor filters, Non-idealities in switched-capacitor filters; Switched-


capacitor filter architectures; Switched-capacitor filter applications.

Unit 3: Basics of data converters; Successive approximation ADCs, Dual slope ADCs, Flash
ADCs, Pipeline ADCs, Hybrid ADC structures, High-resolution ADCs, DACs.

Unit 4: Mixed-signal layout, Interconnects and data transmission; Voltage-mode signaling and
data transmission; Current-mode signaling and data transmission.

Unit 5: Introduction to frequency synthesizers and synchronization; Basics of PLL, Analog


PLLs; Digital PLLs; DLLs.
JECRC University
Faculty of Engineering & Technology Hours: 48
B.Tech in Electronics and Communication Engineering Semester VII
Contact Hours (L-T-P): 3-0-0
DSP Processors and Applications(BEE062A)

Course Objective:
The main aim of the course is to make students learn the concepts and usage of DSP. The student would
learn architecture of a Real time Signal Processing Platform; different errors introduced during A-D and
D-A converter stage, Digital Signal Processor Architecture, ADSP family, FIR/IIR filtering and fixed point
and Floating point implementations.

Unit 1: Introduction: Architecture overview, Fixed and Floating point digital signal processors.
TMS320C54X Architecture and Assembly language instructions :
Introduction, Bus structure, CALU, ARAU, index register, ARCR, BMAR, Block repeat
registers, Parallel Logic Unit (PLU), Memory mapped registers, Program controller, On chip
memory & peripherals, Addressing modes & instructions.

Unit 2: ADSP family :Analog 21061 series sharc block diagram, Interrupt Hardware, memory
quantization, central arithmetic logic unit, system control , memory addressing modes,
instruction set, Software applications – Process initialization , interrupts etc.

Unit 3: An overview of TMS320C6X DSPs : Introduction, TMS320C6X architecture, functional


units, Fetch & Execute packets, pipelining, registers,addressing modes, instruction set, assembly
directives, timers, interrupts, Memory considerations, code improvement, constraints.

Unit 4: DSP Application I: FIR/IIR filtering; Fixed point and Floating point implementation
using TMS320C54X Fast Fourier Transform ; Fixed point and Floating point implementation
using TMS320C54X.

Unit 5: DSP Applications II: FIR/IIR filtering, Adaptive filtering, FFT Analysis, Spectral
Analysis etc. Implementation using TMS320C62X / TMS320C67x.

Text books:
1. Programming with DSP processors – Texas Instruments.
2. Digital Signal Processors Architectures, Implementations & Applications – Sen Kuo,
Woon-Seng S. Gen . Pearson Publicatios.

References
1. Digital Signal Processors – Venkataramani / Bhaskar.
2. Digital Signal Processing and applications with C6713 and C6416 DSK by Rulph
Chassaing. A JOHN WILEY & SONS, INC., PUBLICATION
3. DSP Processor fundamentals Architectures and Features by Phil Lapsley, Jeff Bier, Amit
Shoham. Wiley India.
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech in Electronics and Communication Engineering Semester VII
Open Elective
Contact Hours (L-T-P): 3-0-0
hours: 36
Artificial Intelligence and Robotics

Course Objective:

This course is an introduction and survey of survey of artificial intelligence methods for mobile
robotsfor undergraduate students. It covers both the theory and the practice of unmanned
systems, focusing on biological and cognitive principles that are often different from control
theory formulations. This course emphasizes software organization and algorithms.

Unit 1: Scope of AI -Games, theorem proving, natural language processing, vision and speech
processing, robotics, expert systems, AI techniques- search knowledge, abstraction.

Unit 2: Problem solving - State space search; Production systems, search space control: depth-
first, breadth-first search, heuristic search - Hill climbing, best-first search, branch and bound.
Problem Reduction, Constraint Satisfaction End, Means-End Analysis

Unit 3: Knowledge Representation- Predicate Logic: Unification, modus pones, resolution,


dependency directed backtracking. Rule based Systems : Forward reasoning: conflict resolution,
backward reasoning: use of no backtrack. Structured Knowledge Representation: Semantic Nets:
slots, exceptions and default frames, conceptual dependency, scripts.

Unit 4: Handling uncertainty and learning- Non-Monotonic Reasoning, Probablistic reasoning,


use of certainty factors, fuzzy logic. Concept of learning, learning automation, genetic algorithm,
learning by inductions, neural nets.

Unit 5: Robotics : Robot Classification, Robot Specification, notation; Direct and Inverse
Kinematics: Co-ordinates Frames, Rotations, Homogeneous Coordinates, Arm Equation of four
Axis SCARA Robot, TCV, Inverse Kinematics of Four Axis SCARA Robot.

Text Books:
1. E. Rich and K. Knight,“Artificial intelligence”, TMH, 2nd ed., 1992.
2. N.J. Nilsson, “Principles of AI”, Narosa Publ. House, 2000.
3. Robin R Murphy, Introduction to AI Robotics PHI Publication, 2000

Reference Books:
1. D.W. Patterson, “Introduction to AI and Expert Systems”, PHI, 1992.
2. R.J. Schalkoff, “Artificial Intelligence - an Engineering Approach”, McGraw Hill Int. Ed.,
Singapore, 1992.
3. George Lugar, .Al-Structures and Strategies for and Strategies for Complex Problem solving.,
4/e, 2002, Pearson Educations.
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech in Electronics and Communication Engineering VII
Open Elective
Contact Hours (L-T-P): 3-0-0
hours: 36
Digital Communication(BEE070A)

Course Objectives:

Subject deals with different features of digitized mode of communication. All the real time
signals are analog, still we are using digital TV, Radio, Telephone Channel or Satellite
Communication, so how we can convert a real time signal in digitized form, make it ready for
transmission and again converting it in original signal is covered in this subject. Speed of
transmission, Error control techniques, bandwidth utilization, limits of resources are different
aspects we study.

Unit 1: Pulse Modulation-Sampling process –PAM- other forms of pulse modulation –


Bandwidth –Noise trade off –Quantization –PCM- Noise considerations in PCM Systems-TDM-
Digital multiplexers-Virtues, Limitation and modification of PCM-Delta modulation – Linear
prediction –differential pulse code modulation – Adaptive Delta Modulation

Unit 2: Baseband Pulse Transmission- Matched Filter- Error Rate due to noise –Inter-symbol
Interference- Nyquist‟s criterion for Distortion-less Base band Binary Transmission-Correlative
level coding –Baseb and M-ary PAM transmission –Adaptive Equalization –Eye patterns

Unit 3: Passband Data Transmission-Introduction – Pass band Transmission model-Generation,


Detection, Signal space diagram, bit error probability and Power spectra of BPSK, QPSK, FSK
and MSK schemes –Differential phase shift keying – Comparison of Digital modulation systems
using a single carrier – Carrier and symbol synchronization.

Unit 4: Errorr Control Coding- Discrete memory-less channels – Linear block codes - Cyclic
codes - Convolutional codes –Maximum likelihood decoding of convolutional codes-Viterbi
Algorithm, Trellis coded Modulation, Turbo codes.

Unit 5: Spread Spectrum Modulation- Pseudo- noise sequences –a notion of spread spectrum –
Direct sequence spread spectrum with coherent binary phase shift keying – Signal space
Dimensionality and processing gain – Probability of error – Frequency –hop spread spectrum –
Maximum length and Gold codes.

Text Books:
1. Simon Haykins, “Communication Systems” John Wiley, 4th Edition, 2001
2. Taub & Schilling , “Principles of Digital Communication “ Tata McGraw-Hill” 28th reprint,
2003

Reference Books:
1.Sam K.Shanmugam “Analog & Digital Communication” John Wiley
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech in Electronics and Communication Engineering VII
Open Elective
Contact Hours (L-T-P): 3-0-0
hours: 36
Digital Signal Processing(BEE033A)

Course Objectives:
1. To Introduce Discrete time signals and systems, FIR and IIR filters. Methods for
computing FFT. Characterization & classification of signals.
2. To study Time-Domain characterization of LTI Discrete-Time systems, state-space
representation of LTI Discrete-Time systems, random signals.
3. To study DFT properties, computation of the DFT of real sequences. Sampling, Filter
Design, Sample-and Hold circuits, A/D & D/A converter

Unit 1: Introduction to signals and systems Discrete time signals and systems, Z-transforms,
structures for digital filters, design procedures for FIR and IIR filters. Frequency
transformations: linear phase design; DFT. Methods for computing FFT. Noise analysis of
digital filters, power spectrum estimation. Signals and signal Processing: characterization &
classification of signals, typical Signal Processing operations, example of typical Signals, typical
Signal Processing applications.

Unit 2: Time Domain Representation of Signals & Systems- Discrete Time Signals, Operations
on Sequences, the sampling process, Discrete-Time systems, Time-Domain characterization of
LTI Discrete-Time systems, state-space representation of LTI Discrete-Time systems, random
signals.

Unit 3: Transform-Domain Representation of Signals-The Discrete-Time Fourier Transform,


Discrete Fourier Transform, DFT properties, computation of the DFT of real sequences, Linear
Convolution using the DFT. Z-transforms, Inverse ztransform, properties of z-transform,
transform domain representations of random signals. Transform-Domain Representation of LTI
Systems: the frequency response, the transfer function, types of transfer function, minimum-
phase and maximum-Phase transfer functions, complementary transfer functions, Discrete-Time
processing of random signals.

Unit 4: Digital Processing of Continuous-Time Signals - sampling of Continuous Signals,


Analog Filter Design, Anti-aliasing Filter Design, Sample-and Hold circuits, A/D & D/A
converter, Reconstruction Filter Design.

Unit 5: Digital Filter Structure and Design- Block Diagram representation, Signal Flow Graph
Representation, Equivalent Structures, bone FIR Digital Filter Structures, IIR Filter Structures,
State-space structure, all pass filters, tunable IIR Digital filters. cascaded Lattice realization of
IIR and FIR filters, Parallel all pass realization of IIR transfer function, Digital Sine-Cosine
generator. Digital Filter Design: Impulse invariance method of IIR filter design, Bilinear
Transform method of IIR Filter Design, Design of Digital IIR notch filters, FIR filter Design
based on truncated fonner sens, FIR filter design based on Frequency Sampling approach.

Text Books:
1. Proakis J.G., and Manolakis, Introduction to DSP, PHI, 2007
2. Sanjit K. Mitra, “Applications DSP a Computer based approach”, TMH, 2006

Reference Books:
1. Allan Y. Oppenhein & Ronald W. Schater , "Applications DSP”,.
2. C.Sydney Burrus (Eds), DSP and Digital Filter Design
JECRC UNIVERSITY
Faculty of Engineering & Technology
B.Tech in Electronics and Communication Engineering VII
Open Elective
Contact Hours (L-T-P): 3-0-0
hours: 36
Engineering System Modeling and Simulation(BEE071)

Course Objectives:
1. To understand what is a model, types of models, purpose of models.
2. To understand the need for quantification and understand the limits of quantification.
3. To transform loose facts into an insightful model, to be used as input for requirements
discussions and system design and verification
4. To use scenario analysis as a means to cope with multiple alternative specifications and
or designs.
5. To apply problem-driven light-weight simulations and understand their value and
purpose in early design decisions.
6. To analyze dependability qualities, such as reliability, safety and security
7. To analyze the impact of changes; change and variation cases
8. To understand the value of rapid prototyping for: requirements, potential design issues,
modeling inputs

Unit 1: Introduction-Systems, System types, System Modeling, Types of system modelling,


Classification and comparison of simulation models, attributes of modelling, Comparison of
physical and computer experiments, Application areas and Examples

Unit 2: Mathematical and Statistical Models- Probability concepts, Queuing Models, Methods
for generating random variables and Validation of random numbers.

Unit 3: Language-System modelling, programming languages, comparison of languages,


Identifying and selection of programming language, feasibility study of programming language
for the given application.

Unit 4: Experiments-Simulation of different systems, Analysis, validation and verification of


input and output simulated data, study of alternate techniques.

Unit 5: Case study-Developing simulation model for information centers, inventory systems and
analysis of maintenance systems.

Text Books:
1. Geoffrey Gordon, “System Simulation”, Second edition, Prentice Hall, India, 2002.
2.Jerry Banks and John S.Carson, Barry L.Nelson, David M.Nicol, “Discrete Event System
Simulation”, Third edition, Prentice Hall, India, 2002.

Reference Books:
1. Robert E. Shannon, “System Simulation The art and science”, , Prentice Hall, New Jersey,
1995.
2. D.S. Hira, “System Simulation”, S.Chand and company Ltd, New Delhi, 2001.
School of Engineering and Technology
Course Structure and Syllabus

M. Tech.
Communication Systems

Academic Programs
July, 2015
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems
Teaching Scheme
Semester I

Subject Subject Contact Credits


Code Hours
L-T-P
MEE001A Information Theory & Coding 3-1-0 4 C
MEE002A Antenna Theory & Technologies 3-1-0 4 C
MEE003A Digital Communications Techniques 3-1-0 4 C
MEE004A Advanced Optical Communications 4-0-0 4 C
Systems
MEE005A Communications Lab –I 0-0-2 2 C
MEE006A Communications Lab –II 0-0-2 2 C
MEE069A Seminar 0-0-2 2 C
Total 13-3-6 22

Semester II

Subject Subject Contact Credits


Code Hours
L-T-P
MEE007A Wireless Sensor Networks 3-1-0 4 C
MEE008A Digital Image Processing 3-1-0 4 C
MEE009A Advanced Digital Signal Processing 4-0-0 4 C
MES001A Research Methodology 3-0-0 3 C
MEE010A Advanced Digital Signal Processing Lab 0-0-2 2 C
MEE011A Advanced Image Processing Lab 0-0-2 2 C
MEE070A Seminar 0-0-2 2 C
MES002A Quantitative Techniques & Computer 0-0-1 1 C
Applications Lab
Total 13-2-7 22
Semester III

Subject Subject Contact Hour Credits


Code L-T-P
Switching in Communication Systems
MEE012A
Microwave Devices and Circuits Elective-I 4-0-0 4 S
MEE013A
MEE014A Electromagnetic Interference, Compatibility
MEE015A Wireless and Mobile Ad-hoc Networks
MEE016A RF Systems & Design Elective-II 4-0-0 4 S
MEE056A Data Compression Techniques
MEE017A Advance Artificial Neural Networks
MEE018A Satellite Communications Elective–III 4-0-0 4 S
MEE019A Mathematics for Communication Systems
MEE020A Nonlinear Fiber Optics Communication
MEE021A Advance Mobile Communications Elective–IV 4-0-0 4 S
MEE066A Nanotechnology
MEE067A Dissertation Part – I 12 12 C
Total 28-0-0 28
Semester IV

Subject Subject Contact Hours Credits


Code L-T-P
MEE068A Dissertation Part – II 0-0-0 28
Total 0-0-0 28
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems Semester I
Contact Hours (L-T-P): 3-1-0
Information Theory & Coding

Course Objectives:
1. To impart the basic knowledge of Information Theory & Coding.
2. To understand the different kind of codes and various coding techniques used in
communication system.
3. To find the different entropies, channel capacity & rate of information.

Unit I: Introduction to detection and estimation problems in communications. Binary hypothesis


testing: Bayes, Neyman -Pearson, maximum likelihood, MAP and minimum probability of error
criteria; Bayes, ML and MAP estimation.

Unit II: Information, entropy, source coding theorem, Markov sources; Channel capacity
theorems for discrete and continuous ensembles; Introduction to rate distortion function.

Unit III: Measures of Information, Information contents of discrete sources, the entropy
function, Communication channel .Models, Source coding: Prefix codes, Block codes and Tree
codes for data compaction,

Unit IV: Discrete-time Channels and their capacity, the Random Coding Band, Block Codes and
tree for data transmission. Algebraic codes; Hamming, BCH, Reed-Solomon and Reed-Muller
Codes.

Unit V: Algebraic Geometric Codes: Goppa codes and Codes over elliptic curves, signaling with
and without bandwidth constraint, combined coding and Modulation: Trellis Coded. Modulation
(TCM, One and two dimensional modulations for TCM, Multidimensional TCM, Lattice Codes.

Suggested Books:

1. Papoulis, A. and Pillai, S.U., “Probability, Random Variables and Stochastic Processes”,Tata
McGraw-Hill.
2. Cover, T.M. and Thomas, J.A., “Elements of Information Theory”, 2nd Ed., Wiley Interscience.
3. Van Trees, H.L., “Detection, Estimation and Modulation Theory”, Part I, Wiley Interscience.
4. Bose, R., “Information Theory, Coding and Cryptography”, Tata McGraw-Hill.
5. Sayood, K., “Data Compression”, Harcourt India. 2000
6. Lafrance, P., “Fundamental Concepts in Communication”, Prentice-Hall of India.
7. Lin, S. and Costello Jr., D.J., “Error Control Coding”, 2nd Ed., Pearson Prentice-Hall.
8. Blahut, R.E., “Algebraic Codes for Data Transmission”, 2nd Ed. Cambridge University Press.
9. Vucetic, B. and Yuan, J., “Turbo Codes: Principles and Applications”, Springer.
10. McEliece, R., “Theory of Information and Coding”, 2nd Ed. Cambridge University Press.
11. Huffman, W.C. and Pless, V., “Fundamentals of Error Correcting Codes”, Cambridge University
Press.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in Communication Systems Semester - I
Contact Hours (L-T-P): 3-1-0

Antenna Theory & Technologies

Course Objectives:
1. Introduction of fundamental antenna parameters.
2. To introduce the basic concepts of radiation phenomenon.
3. Study of various existing antennas for the better understanding of their use for futuristic
ones.
4. Analysis and design of antennas depending on need and application.
5. To be able to pick a particular class of antenna for given specifications.

Unit I: Review of the theory of electromagnetic radiation: Introduction to various antenna


types; Wire, loop and helix antennas.
Unit II: Analysis using assumed current distribution. Aperture antennas: slot, waveguide
.Horn, reflector and printed antennas
Unit III: Analysis using field equivalence principle and Fourier transform methods: Linear
arrays, Broadband antennas,
Unit IV: Measurements of Parameters for different types of Antenna.

Unit V: Recent advancement in Antenna Technologies.

Suggested Books:

1. Antennas, John Kraus, Ronald Marhefka,Tmh


2. Electromagnetic Waves And Radiating Systems, E.C. Jordan And K.G. Balmain, ,Phi
3. Antenna Theory: Analysis And Design, Constantine A. Balanis , John Wiley & Sons
4. Antenna Theory & Design, Robert S. Elliott, John Wiley & Sons
5. Antennas And Wave Propagation,G. S. N. Raju , Pearson
6. Antennas And Wave Propagation,A.R. Harish, M. Sachidananda, Oxford
7. Antenna Handbook: Antenna Theory. T. Lo, S. W. Lee, Springer
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester I
Contact Hours (L-T-P): 3-1-0

Digital Communication Techniques

Course Objective:
Subject deals with different features of digitized mode of communication. All the real time
signals are analog, still we are using digital TV, Radio, Telephone Channel or Satellite
Communication, so how we can convert a real time signal in digitized form, make it ready for
transmission and again converting it in original signal is covered in this subject. Speed of
transmission, Error control techniques, bandwidth utilization, limits of resources are different
aspects we study.

Unit I: Block diagram and sub-system description of a digital communication system. Sampling
of low-pass and band-pass signals, analysis of instantaneous, natural and flat-top sampling,
signal reconstruction; PAM and bandwidth considerations.

Unit II: PCM, signal to quantization noise ratio analysis of linear and non-linear quantizes; Line
codes and bandwidth considerations; PCM - TDM hierarchies, frame structures, frame
synchronization and bit stuffing .Quantization noise analysis of DM and ADM; DPCM and
ADPCM; Low bit rate coding of speech and video signals.

Unit III: Baseband transmission, matched filter, performance in additive Gaussian noise; Inter
symbol interference (ISI), Nyquist criterion for zero ISI, sinusoidal roll-off filtering, correlative
coding, equalizers and adaptive equalizers; Digital subscriber lines.

Unit IV: Geometric representation of signals, generations, detection and probability of error
analysis of OOK, BPSK, coherent and non-coherent FSK, QPSK and DPSK; QAM, MSK and
multicarrier modulation; Comparison of bandwidth and bit rate of digital modulation schemes.
Maximum likelihood decoding; Correlation receiver, equivalence with matched filter.

Unit V: Recent advancement in Digital Communication.


Suggested Books :

1. Haykin, S., “Communication Systems”, 4th Ed., John Wiley & Sons.
2. Lathi, B.P. and Ding, Z., “Modern Digital and Analog Communication Systems”, Intl. 4th Ed., Oxford
University Press.
3. Roden, M.S., “Analog and Digital Communication Systems”, 5th Ed., Discovery Press.
4. Sklar, B., and Ray, P.K., “Digital Communication: Fundamentals and Applications”, 2nd Ed., Dorling
Kindersley (India).
5. Roddy, D., and Coolen, J., “Electronic Communication”,4th Ed., Dorling Kindersley (India
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester I
Contact Hours (L-T-P): 4-0-0

Advanced Optical Communications Systems

Course Objectives:

1. To introduce the concept of light propagation through optical fiber.

2. To provide the knowledge of various optical sources, couplers, photo detectors, optical

fiber sensors and multiplexing techniques.

3. To equip the knowledge of splicing, coupling between fibers.


4. To develop the fiber optic links.
5. To study the fundamentals of fiber optics and applications.

Unit I: Optical fibers: review of fundamentals, Signal distortion and attenuation, Intermodal
and intramodal dispersion, dispersion flattened and dispersion compensated fibers, Profile
dispersion, and study of PMD.

Unit II: Laser diode and photodiode, Photo detector noise analysis, Analog and Digital
communication link design. WDM, DWDM, optical couplers.

Unit III: Mach-Zehnder interferometer multiplexer, optical add/drop multiplexers, isolators,


circulators, optical filters, tunable sources and tunable filters, arrayed waveguide grating,
diffraction grating, optical amplifiers, optical integrated circuits. Characterization of optical
fibers, OTDR

Unit IV: SONET: frame format, overhead channels, payload pointer, Virtual tributaries,
multiplexing hierarchy.SDH: Standards, frame structure and features.

Unit V: Optical switching, WDM networks, Classification of optical sensors. Intensity


modulated, phase modulated and spectrally modulated sensors.

Suggested Books

1. Optical Fiber Communications, Keiser, Gerd, TMH


2. Optical Communication System, Johan Gowar, Phi
3. Optical Fiber Communication: Principles And Practice,: John M Senior, Pearson
4. Optical Fiber Communication: Principles and Systems, Selvarajan, A, TMH
5. Fiber Optics and Optoelectronics, Khare, Oxford
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester I
Contact Hours per week: 2 hrs

Communication Systems Lab- I

List of Experiments

Tools : Numerical Computing Environments –MATLAB or any other equivalent tool.

S. No. Experiment
1. Implementation of digital modulation schemes – BASK, BFSK.
Plot BER vs Eb / N0 in AWGN channels.
Implementation of digital modulation schemes – BPSK. Plot BER vs Eb / N0 in AWGN
2. channels.
3. Performance comparison of QPSK & DPSK.
4. Performance comparison of MSK & GMSK.
5. Communication over fading channels – Rayleigh fading channels.
6. Communication over fading channels – Rician fading channels.
7. Comparison of diversity combining techniques – SC, EGC & MRC.
8. Simulation of CDMA systems.
9. Implementation of Matched filter, Correlation receiver.
10. Implementation of Matched filter, Equalizer.
11. Gram Schmidt Orthogonalization of waveforms.
12. Carrier recovery and bit synchronization.
13. Implementation of multicarrier communication.
14. Plotting Eye pattern.
15. Constellation diagram of various digital modulation schemes.
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester I
Contact Hours per week: 2 hrs

Communication Systems Lab- II

List of Experiments

Tools : Numerical Computing Environments – IE3D and Optiwave.

S. No. Experiment
1. Design and study the characteristics and radiation pattern of dipole and folded dipole
antenna.
2. Design and study the characteristics and radiation pattern of loop antenna and Yagi-
Uda antenna..
3. Design and study the characteristics and radiation pattern of two element array antenna
and cut paraboloid antenna.
4. Design and study the characteristics and radiation pattern of slot antenna and Helix
antenna.
5. Design and study the characteristics and radiation pattern of Hertz antenna and
Rhombus antenna
6. Design and study the characteristics and radiation pattern of log periodic antenna.
7. Design of single mode and multimode optical fiber and study its dispersion and loss
characteristics.
8. Design of Bragg fiber and study its dispersion and loss characteristics.
9. Design and analysis of an optical coupler.
10. Design and analysis of an optical switch.
11. Design and study the characteristics and performance of a fiber optic communication
link.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester II
Contact Hours (L-T-P): 3-1-0
Wireless Sensor Networks

Course Objectives:
1. Develop an understanding of architect sensor networks for various application setups.
2. To get students acquainted with suitable medium access protocols and radio hardware.

Unit I: Challenges for Wireless Sensor Networks-Characteristics requirements-required


mechanisms, Difference between mobile ad-hoc and sensor networks, Applications of sensor
networks-Enabling Technologies for Wireless Sensor Networks.
Unit II: Single-Node Architecture - Hardware Components, Energy Consumption of Sensor
Nodes , Operating Systems and Execution Environments, Network Architecture - Sensor
Network Scenarios, Optimization Goals and Figures of Merit, Gateway Concepts.

Unit III: Physical Layer and Transceiver Design Considerations, MAC Protocols for Wireless
Sensor Networks, Low Duty Cycle Protocols And Wakeup Concepts - S-MAC , The Mediation
Device Protocol, Wakeup Radio Concepts, Address and Name Management,

Unit IV: Assignment of MAC Addresses, Routing Protocols- Energy-Efficient Routing,


Geographic Routing. Topology Control, Clustering, Time Synchronization, Localization and
Positioning, Sensor Tasking and Control.

Unit V: Operating Systems for Wireless Sensor Networks, Sensor Node Hardware – Berkeley
Motes, Programming Challenges, Node-level software platforms, Node-level Simulators, State-
centric programming.

Suggested books:

1. Holger Karl & Andreas Willig, " Protocols And Architectures for Wireless Sensor Networks" ,
John Wiley, 2005.
2. Feng Zhao & Leonidas J. Guibas, “Wireless Sensor Networks- An Information Processing
Approach", Elsevier, 2007.
3. Kazem Sohraby, Daniel Minoli, & Taieb Znati, “Wireless Sensor Networks-Technology,
Protocols, And Applications”, John Wiley, 2007.
4. Anna Hac, “Wireless Sensor Network Designs”, John Wiley, 2003.
5. Bhaskar Krishnamachari, ”Networking Wireless Sensors”, Cambridge Press,2005.
6. Mohammad Ilyas And Imad Mahgaob,”Handbook Of Sensor Networks: Compact Wireless And
Wired Sensing Systems”, CRC Press,2005.
7. Wayne Tomasi, “Introduction To Data Communication And Networking”, Pearson Education,
2007.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester II
Contact Hours (L-T-P): 3-1-0
Digital Image Processing

Course Objectives:
1. Cover the basic theory and algorithms that are widely used in digital image processing.
2. Expose students to current technologies and issues that are specific to image processing.
3. Develop hands-on experience in using computers to process images.
4. Develop critical thinking about shortcomings of the state of the art in image processing

Unit I: FUNDAMENTALS OF IMAGE PROCESSING


Introduction – fundamental steps in digital image processing – image sensing and
acquisition – sampling and quantization – pixel relationships – color fundamentals and
models, file formats, image operations – arithmetic, geometric and morphological -
sampling and quantization.

Unit II: IMAGE ENHANCEMENT


Spatial domain - gray level transformations – histogram processing – basics of spatial
filtering – smoothing and sharpening spatial filters - frequency domain - filtering in
frequency domain – discrete fourier transform, fast fourier transform – smoothing and
sharpening filters – homomorphic filtering.

Unit III: IMAGE SEGMENTATION AND FEATURE ANALYSIS


Detection of discontinuities– edge operators– edge linking and boundary detection –
threshold– region based segmentation – morphological watersheds– motion segmentation,
feature analysis and extraction – spatial techniques.

Unit IV: MULTI RESOLUTION ANALYSIS AND COMPRESSIONS


Multi resolution analysis: image pyramids – multi resolution expansion – wavelet
transforms in one dimension - image compression: fundamentals – models – elements of
information theory – error free compression – lossy compression – image compression
standards

Unit V: APPLICATIONS OF IMAGE PROCESSING


Image classification – image recognition – image understanding – video motion analysis–
image fusion – steganography – digital compositing – mosaics – color image
processing – string matching – syntactic recognition of strings.

TEXT BOOK
1. Jain. K, Fundamentals of Digital Image Processing, Pearson Education, 2003.

REFERENCES
1. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, 2nd edition,
Pearson Education, 2003.
2. Milan Sonka et.al Image Processing, Analysis and Machine Vision, 2nd edition,
Thomson Learning, 2001.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester II
Contact Hours (L-T-P): 4-0-0
ADVANCED DIGITAL SIGNAL PROCESSING

Course Objective:
The goal of advanced digital signal processing course is to provide a comprehensive
coverage of signal processing methods and tools, including leading algorithms for various
applications.

Unit I: DIGITAL SIGNAL PROCESSING


Digital signal processing - sampling of analog signals, selection of sample frequency,
signal-processing systems, frequency response, transfer functions, signal flow graphs, filter
structures, adaptive digital signal processing algorithms, discrete fourier transform - the
discrete fourier transform, fast fourier transform - fast fourier transform algorithm, image
coding, discrete cosine transforms.
Unit II: DIGITAL FILTERS AND FINITE WORD LENGTH EFFECTS
Finite impulse response filters – finite impulse response filter structures, finite impulse
response chips, infinite impulse response filters, specifications of infinite impulse
response filters, mapping of analog transfer functions, mapping of analog filter structures.
Unit III: MULTIRATE DSP
Decimation by a factor D, interpolation by a factor i, filter design and implementation for
sampling rate conversion, multistage implementation of sampling rate conversion - sampling
rate conversion by an arbitrary factor – applications of multirate signal processing
digital filter banks - quadrature mirror filter bank.
Unit IV: DSP PROCESSORS AND DSP APPLICATIONS
General purpose Digital Signal Processors: Texas Instruments TMS320 family
Motorola DSP 56333 family – analog devices ADSP 2100 family – Instruction set of
TMS320C50 – simple programs. FFT Spectrum Analyser – musical sound processing.
Power System Applications, Image Processing Applications.
Unit V: ARITHMETIC UNITS AND INTEGRATED CIRCUIT DESIGN
Conventional number system, redundant number system, residue number system - bit-
parallel and bit-serial arithmetic, basic shift accumulator, reducing the memory size,
complex multipliers, improved shift - accumulator - layout of very large scale integrated
circuits, fast fourier transform processor, discrete cosine transform processor and
interpolator as case studies.

TEXT BOOK
1. Monson H. Hayes, Statistical Digital Signal Processing and modeling, John Wiley
and sons, 2003.
2. Sajit K. Mitra, „Digital Signal Processing – A Computer Based Approach‟, Tata
McGraw Hill Publishing Company Ltd., New Delhi, 1998
3. John G. Proakis and Dimitris G. Manolakis, „Digital Signal Processing,
Algorithms and Applications‟. PHI, New Delhi, 1995
4. Lars Wanhammer, DSP Integrated Circuits, Academic press, New York,
2002.
5. Oppenheim. A. V, Discrete-time Signal Processing Pearson education, 2000.
6. Emmanuel C. Ifeachor, Barrie W. Jervis, Digital signal processing – A
practical approach, 2nd edition, Pearson edition, Asia.
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester II
Contact Hours (L-T-P): 3-0-0 hours- 36

Research Methodology

Course Objective:

 To gain insights into how scientific research is conducted.


 To help in critical review of literature and assessing the research trends, quality and
extension potential of research and equip students to undertake research.
 To learn and understand the basic statistics involved in data presentation.
 To identify the influencing factor or determinants of research parameters.

Research Methodology-Introduction
Meaning of Research, Objectives of Research, Motivation in Research, Types of
Research, Research Approaches, Significance of Research, Research Methods
UNIT 1: versus Methodology, Research and Scientific Method, Importance of Knowing
How Research is Done, Research Process, Criteria of Good Research, Problems
Encountered by Researchers in India

Defining the Research Problem


What is a Research Problem?, Selecting the Problem, Necessity of Defining the
Problem, Technique Involved in Defining a Problem
UNIT 2: Research Design
Meaning of Research Design, Need for Research Design, Features of a Good
Design, Important Concepts Relating to Research Design, Different Research
Designs, Basic Principles of Experimental Designs
Sampling Design
Census and Sample Survey, Implications of a Sample Design, Steps in Sampling
Design, Criteria of Selecting a Sampling Procedure, Characteristics of a Good
Sample Design, Different Types of Sample Designs, How to Select a Random
Sample?, Random Sample from an Infinite Universe, Complex Random
UNIT 3: Sampling Designs
Measurement and Scaling Techniques
Measurement in Research, Measurement Scales, Sources of Error in
Measurement, Tests of Sound Measurement, Technique of Developing
Measurement Tools, Scaling, Meaning of Scaling, Scale Classification Bases,
Important Scaling Techniques, Scale Construction Techniques
Methods of Data Collection
Collection of Primary Data, Observation Method, Interview Method, Collection
of Data through Questionnaires, Collection of Data through Schedules,
Difference between Questionnaires and Schedules, Some Other Methods of Data
Collection, Collection of Secondary Data, Selection of Appropriate Method for
Data Collection, Case Study Method
UNIT 4:
Processing and Analysis of Data
Processing Operations, Some Problems in Processing, Elements/Types of
Analysis, Statistics in Research, Measures of Central Tendency, Measures of
Dispersion, Measures of Asymmetry (Skewness), Measures of Relationship,
Simple Regression Analysis, Multiple Correlation and Regression, Partial
Correlation, Association in Case of Attributes

Sampling Fundamentals
Need for Sampling, Some Fundamental Definitions, Important Sampling
Distributions, Central Limit Theorem, Sampling Theory, Sandler‟s A-test,
Concept of Standard Error, Estimation, Estimating the Population Mean (µ),
UNIT 5:
Estimating Population Proportion, Sample Size and its Determination,
Determination of Sample Size through the Approach Based on Precision Rate and
Confidence Level, Determination of Sample Size through the Approach Based on
Bayesian Statics

Outcome:
At the end of the course, the student should be able to:
 Gain insights into how scientific research is conducted.
 Help in critical review of literature and assessing the research trends, quality and extension
potential of research and equip students to undertake research.
 Learn and understand the basic statistics involved in data presentation.
 Identify the influencing factor or determinants of research parameters.

Text Book: Research Methodology – Methods & Techniques by C. R. Kothari, New age
International Publisher

Notes for Examiner / Paper Setter:

1. 1st Question shall be of 20 marks and compulsory for all. It may consist of Multiple
Choice Questions (MCQ) of one mark each and short answer questions of one or two
marks.
2. One question of 10 marks shall be set from each Unit which may have parts including
numericals. Paper setter may give internal choice, if required.
3. Students will be required to attempt all questions compulsorily.
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester II
Contact Hours (L-T-P): 2hours per week

Advance Digital Signal Processing Lab

1. TO write a MATLAB/SCILAB program to common continues time signals and discrete


time signal-
Impulse, step, ramp and sinusoidal sequences.
2. TO write a MATLAB/ SCILAB program to find the impulse response of a system
defined by a difference equation.
3. Generate a Gaussian number with mean=20 and variance=40. Also plot the PDF of generated
number.
4. Generate Gaussian number with mean=0 and variance=1.Plot the generated number and calculate
3rd moment i.e. skewness using-
1  Xj  mean 

1
Skew ( X 1 , X 2 ,..., X n )  j 0  ^3
N   
5. Plot the following Expressions of H(z) in Z plane.

2Z 1  9Z 2  183  484
1.
3Z 1  3Z  2  15Z 3  12Z 4
5Z 1  9 Z 2  16Z 3  14Z 4
2.
Z 1  2 Z  2  10Z 3  4 Z  4  64Z 5
6. Determine the factor form of following Z transform

2Z 4  7 Z 3  48Z 2  56Z
1. G ( z ) 
32Z 4  3Z 3  15Z 2  18Z  12

4Z 4  9Z 3  15Z 2  7
2. G( z) 
Z 4  2Z 3  10Z 2  6Z  64

7. TO write a MATLAB/SCILAB program to compute linear convolution and de-


convolution of two given sequences.
8. TO write a MATLAB/SCILAB program to compute circular convolution of two given
sequences.
9. TO write a MATLAB/SCILAB program to find the DFT and IDFT of a sequence.
10. TO write a MATLAB/SCILAB program to find the linear convolution of two sequence
using DFT method.
11. TO write a MATLAB/SCILAB program to find the circular convolution of two sequence
using DFT method.
12. Generate Gaussian distributed numbers and uniformly distributed numbers and find the
correlation between them.
13. TO write a MATLAB/SCILAB program to plot magnitude response and phase response
of digital Butter worth
a) Low pass filter
b) High pass filter
c) Band pass filter
d) Band stop filter
14. TO write a MATLAB/SCILAB program to plot magnitude response and phase response
of digital Chebyshev type-1
a) Low pass filter
b) High pass filter
c) Band pass filter
d) Band stop filter
15. TO write a MATLAB/SCILAB program to plot magnitude response and phase response
of digital Chebyshev type-2
a) Low pass filter
b) High pass filter
c) Band pass filter
d) Band stop filter
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester II
Contact Hours per week: 2 hrs

Advanced Image processing lab

1. Write a Program on MATLAB/SCILAB software for Zooming and Shrinking of Image.


2. Write a Program on MATLAB/SCILAB software for Gray Level Transformation.
3. Write a Program on MATLAB/SCILAB software for Histogram Processing and Equalization.
4. Write a Program on MATLAB/SCILAB software for Spatial Domain Filtering (LPF).
5. Write a Program on MATLAB/SCILAB software for Spatial Domain Filtering (HPF).
6. Write a Program on MATLAB/SCILAB software for Frequency Domain Filtering for Low
Pass.
7. Write a Program on MATLAB/SCILAB software for Frequency Domain Filtering for High
Pass.
8. Write a Program on MATLAB/SCILAB software for Morphological Image Processing.
9. Write a Program on MATLAB/SCILAB software for Line Detection.
10. Write a Program on MATLAB/SCILAB software for Edge Detection.
11. Write a Program on MATLAB/SCILAB software for JPEG Compression.
12. Write a Program on MATLAB/SCILAB software for Image Restoration.
13. Write a Program on MATLAB/SCILAB software for conversion between color spaces.
14. Write a Program on MATLAB/SCILAB software for 2-D DFT and DCT.
15. Write a Program on MATLAB/SCILAB software to to change the transform from DCT to
DFT in JPEG files.
JECRC University
Faculty of Engineering & Technology
M.Tech. in Communication Systems – Semester II

Quantitative Techniques & Computer Applications 0-0-1


Lab

Various Methods and Uses of Advance Excel Formulas: Vlookup, Hlookup, Sumif, Sumifs,
Sumproduct, Dsum, Countif, Countifs, If, Iferror, Iserror, Isna, Isnumber, Isnontext, Isblank,
Istext, Getpivotdata, Dcount, Dcounta, Or, And, Search, Index, Match Etc

Various Methods and Uses of IF Conditions: When should use the "IF" Conditions?, Creation
of Multiple IF Conditions in One Cell,Use the IF Conditions with the Other Advance Functions,
How to use nested IF statements in Excel with AND, OR Functions

ADVANCED EXCEL OPTIONS :Various Methods of Filter and Advance Filter options,
Creating and Updating Subtotals, Various Methods of Text to Column options, Uses of Data
Grouping and Consolidation options, Uses of Goal Seek and Scenarios Manager, Various
Method of Sorting Data, Creating, Formatting and Modifying Chart, Data Validation, Creating
drop down lists using different data sources, Linking Workbooks and Uses of Edit Link options,
Excel Options, Customizing the Quick Access Tool Bar, Formula Auditing features and Trace
formula error

Pivot Tables & Charts :Various Methods and Options of Pivot Table, Using the Pivot Table
Wizard, Changing the Pivot Table Layout, Subtotal and Grand total Options, Formatting,
Grouping Items, Inserting Calculated Fields, Pivot Table Options, Calculation in Pivot Table,
Display and Hide Data in Field, Select, Move & Clear Pivot Data, Creating and Modifying Pivot
Chart

Advance Use of Function: Mixing Function to get Various MIS Outputs, Creating Data Table,
Advance Data Validation, Using conditional formatting with Formulas and Function, Using
Name Manager, Array Formulas

Importing Data from External Sources: Macros, What is a Macro?, Creating Excel Macro,
Running Macros and Editing, Automating Tasks with Macro

(A) SPSS Package

An Overview of SPSS : Mouse and keyboard processing, frequently –used dialog boxes,
Editing output, Printing results, Creating and editing a data file

Managing Data: Listing cases, replacing missing values, computing new variables, recording
variables, exploring data ,selecting cases, sorting cases, merging files

Graphs: Creating and editing graphs and charts


Frequencies: Frequencies, bar charts, histograms, percentiles

Descriptive Statistics: measures of central tendency, variability, deviation from normality, size
and stability, Cross Tabulation and chi-square analyses, The means Procedure

Bivariate Correlation: Bivariate Correlation, Partial, Correlations and the correlation matrix

The T-test procedure: Independent –samples, paired samples, and one sample tests

The one way ANOVA procedure: One way analysis of variance

General Linear model: Two –way analysis of variance

General Linear model: three –way analysis of variance and the influence of covariates,
Simple Linear Regression, Multiple regression analysis, Multidimensional scaling, Factor
analysis, Cluster analysis

Text Book: Research Methodology – Methods & Techniques by C. R. Kothari, New age
International Publisher

Notes for Examiner / Paper Setter:

1. 1st Question shall be of 16 marks and compulsory for all. It may consist of Multiple
Choice Questions (MCQ) of one mark each and short answer questions of one or two
marks.
2. One question of 14 marks shall be set from each Unit which may have parts including
numericals. Paper setter may give internal choice, if required.
3. Students will be required to attempt all questions compulsorily.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 4-0-0

Switching in Communication Systems

Course objective:
To acquaint students with various mechanisms and issues related to wired as well wireless
packet switching networks.

Unit I Introduction: Basic line circuits in telephony and telegraphy; long-haul communication
circuits; principles of circuits switching, & signaling: schemes, CCS7; Review of transmission
systems - cable, radio, microwave optical, satellite, troposcatter.

Unit II Review: Strowger‟s and crossbar switches; space-time-and space time division
switching; single stage and multi-stage switching network and example, principles of large scale
switch design.
Unit III Properties of connecting networks: mathematical models of network states, rearrange
ability: wide-sense and strict sense non-blocking criteria, slepian- Duguid Theorem, Paull‟s
Theorem.

Unit IV Traffic Engineering and Teletraffic Theory: Markov processes representing traffic,
calculation of blocking probability, stationary probability measures for ergodic Markov processes,
combinatorial interpretation, and calculation of blocking probability.

Unit V Switching Network Control and management: data networks and protocols, ISDN,
Message Handling systems/intelligent networks, multi service broadband switching fabrics- ATM

Suggested Books:

1. Flood, J.E., “Telecommunication Switching, Traffic and Networks”, Pearson Education.


2. Bertsekas, D. and Gallager, R., “Data Networks”, 2nd Ed., Prentice-Hall of India.
3. Bellamy, J.C., “Digital Telephony”, 3rd Ed., John Wiley & Sons 2002
4. Bear, D., “Principles of Telecommunication Traffic Engineering”, 3rdEd. Peter Peregrinus.
5. Stallings, W., “ISDN and Broadband ISDN with Frame Relay and ATM”, 4th Ed.,
Pearson Education.
6. Black, U., “MPLS and Label Switching Networks”, Pearson Education.
7. Schwartz, M., “Telecommunication Networks: Protocols, Modeling and Analysis”, Pearson
Education.
8. Stallings, W., “Data and Computer Communication”, 8th Ed., Pearson Education.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 4-0-0

Microwave Devices and Circuits

Course Objectives:

Microwave communication starts from 2 GHz and it covers LOS Communication, Satellite
Communication, Mobile Communication, Wireless Communication. For using these mode of
communication we need amplifier, filter, mixer, transmitter unit , receiver unit that can support
this range of frequency. First requirement is operating speed should be in microseconds so we
design and develop devices accordingly: Travelling Wave Tube, Klystron, Megnetron, Wave
guides, Circulators,Isolators are some of microwave Devices.

Unit I Klystron Amplifier – Reflex Klystron Amplifier, Travelling wave tube Amplifier,
Magnetron Oscillator and Modulator, Varactor diode, Parametric amplifier and applications,
diode detector and mixer, GUNN, Tunnel IMPATT diode oscillators, Masers and lasers.

Unit II Scattering parameters- S-Matrix, Attenuator, Phase shifters, T Junctions, Hybrid T


Junctions, Directional couplers, Isolator, Properties of ferrite devices, Faraday rotation, Gyrator,
Circulator, Scattering parameter measurement.
Unit III Review of resonant circuits – principle of Microwave resonators, field analysis of
cavity resonators,

Unit IV Characteristics of filters, Narrow and wide band filters, Filter and resonant applications,
Frequency multiplier and frequency Discrimination.

Unit V Characteristics of Microwave Antennas, Half Wave Dipole, Array, Horn, Paraboloidal
Reflector, feeds, Lens and slot Antennas, Leaky and surface wave Antennas, Broad band
Antennas, Micro strip Antennas, Antenna measurements.

Suggested Books:

1. Roddy.D., “Microwave Technology” Reston Publications.1986.


2. Chatterjee R. “Microwave Engineering “East West Press. 1988.
3. Rizzi.P.”Microwave Engineering Passive circuits”. Prentice Hall.1987
4. Clock.P.N. “Microwave Principles and Systems” Prentice Hall.1986.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 4-0-0

Electromagnetic Interference & Compatibility

Course Objectives:
Wave transmission in the atmosphere, cable, wave guide or space is nothing else but generation
of electric and magnetic fields and their travelling with distance so we can say communication
waves are electromagnetic waves . Channel noise that corrupt our message is disturbance in
field generated by source and inclusion of field present in environment. So in this subject we
study different Electromagnetic fields and how they can disturb specific mode of transmission,
how we can protect our message and reduce Interference.

Unit I EMI-EMC definitions and Units of parameters; Sources and victim of EMI; Conducted
and Radiated EMI Emission and Susceptibility; Transient EMI, ESD; Radiation Hazards.

Unit II Conducted, radiated and transient coupling; Common ground impedance coupling;
Common mode and ground loop coupling; Differential mode coupling; Near field cable to cable
coupling, cross talk;
Unit III Field to cable coupling; Power mains and Power supply coupling. Shielding, Filtering,
Grounding, Bonding, Isolation transformer, Transient suppressors, Cable routing, Signal control.
Component selection and mounting; PCB trace impedance;
Unit IV Routing; Cross talk control; Power distribution decoupling; Zoning; Grounding; Vias
connection; Terminations.

Unit V Open area test site; TEM cell; EMI test shielded chamber and shielded ferrite lined
anechoic chamber; Tx /Rx Antennas, Sensors, Injectors / Couplers, and coupling factors; EMI
Rx and spectrum analyzer; Civilian standards-CISPR, FCC, IEC, EN; Military standards-
MIL461E/462.

Suggested Books

1. V.P.Kodali, “Engineering EMC Principles, Measurements and Technologies”, IEEE Press, New
York, 1996.
2. Henry W.Ott., ”Noise Reduction Techniques in Electronic Systems”, A Wiley Inter Science
Publications, John Wiley and Sons, New York, 1988.
3. Bemhard Keiser, “Principles of Electromagnetic Compatibility”, 3rd Ed, Artech hourse, Norwood,
1986.
4. C.R.Paul,”Introduction to Electromagnetic Compatibility” , John Wiley and
Sons, Inc, 1992.
5. Don R.J.White Consultant Incorporate, “Handbook of EMI/EMC”, Vol I-V, 1988.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – III Semester
Contact Hours (L-T-P) : 4-0-0

WIRELESS & MOBILE AD-HOC NETWORKS

Course Objectives:
1. Objective of this course is to study the Technical background of transmission
fundamentals, communication networks, protocols and TCP/IP Suite, antennas and
propagation signal encoding techniques, spread spectrum coding and error control.
2. We will study the basic concept of wireless networking, Satellite communications,
cellular transmission principles, cordless systems and wireless local loop mobile internet
protocol and wireless access protocol.

3. To introduce the wireless LANs (Wireless local area network technology), I E E E


s t a n d a r d s , CDMA standards, System architecture for code division multiple access
voice applications in code division multiple access system.

4. Students will be taught about RF engineering and facilities, global system for mobile
communication architecture and interfaces, radio link features in global system for
mobile communication, global system for mobile communication logical channels and
frame structure, speech coding in global system for mobile communication.

Unit I: TRANSMISSION CONCEPTS Technical background - transmission fundamentals -


communication networks –protocols and TCP/IP Suite - antennas and propagation signal -
encoding techniques - spread spectrum coding and error control.

Unit II: WIRELESS NETWORKING Satellite communications- cellular transmission


principles- cordless systems and wireless local loop mobile internet protocol and wireless access
protocol.

Unit III: WIRELESS LANs Wireless local area network technology – institute of electrical
and electronics engineering, 802 - 11 wireless local area network standard.

Unit IV: CDMA STANDARDS System architecture for code division multiple access -
network and data link layers of code division multiple access – signaling applications in code
division multiple access system - voice applications in code division multiple access system.

Unit V: RF ENGINEERING AND FACILITIES Wireless data - cellular communication


fundamentals - global system for mobile communication architecture and interfaces – radio
link features in global system for mobile communication - global system for mobile
communication logical channels and frame structure - speech coding in global system for mobile
communication.
TEXT BOOK
1. William Stallings, Wireless Communication and Networking, Pearson Education, Asia 2005.

REFERENCES
1. Garg. V. K, Smolik. K, Applications of CDMA in Wireless/Personal Communications,
Prentice Hall, 2004.
2. Garg V. K, Principles and Applications of GSM, Prentice Hall, 2002
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 4-0-0

RF Systems & Design

Course Objectives:
The objective of the course is to provide the participants the state of the art knowledge in the
field of RF circuits and systems. The course would explain various methodologies presently
prevalent in the industry for the design of RF filters, various RF active and passive circuits,
industrial microwave systems, etc. The course would start with a brief theoretical foundation of
RF circuits for the above specified applications. In addition, the participants would be exposed
to the state of the art modeling and simulation schemes currently being used for the design of RF
circuits and systems. Finally, it would be tried to provide a working demonstration of
experimental setups for various RF testing applications.

Unit I CMOS: Introduction to MOSFET Physics , Noise: Thermal, shot, flicker, popcorn noise
Transceiver Specifications: Two port Noise theory, Noise Figure, THD, IP2, IP3, Sensitivity,
SFDR, Phase noise - Specification distribution over a communication link Transceiver
Architectures: Receiver: Homodyne, Heterodyne, Image reject, Low IF Architectures –
Transmitter: Direct upconversion, Two step upconversion

Unit II S-parameters with Smith chart – Passive IC components - Impedance matching


networks Amplifiers: Common Gate, Common Source Amplifiers – OC Time constants in
bandwidth estimation and enhancement – High frequency amplifier design Low Noise
Amplifiers: Power match and Noise match – Single ended and Differential LNAs – Terminated
with Resistors and Source Degeneration LNAs.

Unit III Feedback Systems: Stability of feedback systems: Gain and phase margin, Root-locus
techniques– Time and Frequency domain considerations, Compensation Power Amplifiers:
General model – Class A, AB, B, C, D, E and F amplifiers, Linearisation Techniques –
Efficiency boosting techniques – ACPR metric – Design considerations
Unit IV PLL: Linearised Model – Noise properties, Phase detectors – Loop filters and Charge
pumps Frequency Synthesizers: Integer-N frequency synthesizers – Direct Digital Frequency
synthesizers .
Unit V Mixer: characteristics -Non-linear based mixers: Quadratic mixers – Multiplier based
mixers: Single balanced and double balanced mixers, subsampling mixers Oscillators:
Describing Functions, Colpitts oscillators, Resonators, Tuned Oscillators, Negative resistance
oscillators – Phase noise
Suggested Books:
1. T.Lee, “Design of CMOS RF Integrated Circuits”, Cambridge, 2004
2. B.Razavi, “RF Microelectronics”, Pearson Education, 1997
3. Jan Crols, Michiel Steyaert, “CMOS Wireless Transceiver Design”, Kluwer Academic
Publishers, 1997
4. B.Razavi, “Design of Analog CMOS Integrated Circuits”, McGraw Hill, 2001.
JECRC University
Faculty of Engineering & Technology Hours:36
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 3-0-0
Advanced Artificial Neural Networks

Course Objectives:

1. Understand and explain strengths and weaknesses of the neural-network algorithms


2. Determine under which circumstances neural networks are useful in real application
3. Distinguish between supervised and unsupervised learning and explain the key principles
of the corresponding algorithms
4. Efficiently and reliably implement the algorithms introduced in class on a computer,
interpret the results of computer simulations
5. Describe principles of more general optimization algorithms.
6. Write well-structured technical reports in English presenting and explaining analytical
calculations and numerical results
7. Communicate results and conclusions in a clear and logical fashion

Unit I Fundamentals: Introduction & Motivation, Biological Neural Networks and simple
models, The Artificial Neuron Model; Hopfield Nets; Energy Functions and Optimization;
Neural Network Learning Rules: Hebbian Learning Rule, Perceptron Learning Rule, Delta
Learning Rule Widrow-Hoff Rule, Correlation Learning Rule, Winner –Take-All Learning rule,
Out Star Learning Rule, summary of Learning rules.

Unit II Single layer perceptron classifiers: Classification model, features and decision regions,
discriminant functions, linear machine and minimum distance classification, nonparametric
training concept training and classification using the discrete perceptron: algorithm and example,
single layer continuous perceptron network for linearly separable classifications, multicategory

Unit III Multilayer feed forward networks: Linearly no separable pattern classification delta
learning rule for multiperceptron layer. Generalized Delta Learning rule. Feed forward Recall
and Error Back Propagation Training; Examples of Error Back-Propagation. Training errors:
Learning Factors; Initial weights, Cumulative Weight Adjustment versus Incremental Updating,
steepness of activation function, learning constant, momentum method, network architecture
Versus Data Representation, Necessary number of Hidden Neurons. application of Back
propagation Networks in pattern recognition & Image processing, Madaunes: Architecture &
Algorithms.

Unit IV Single Layer Feedback Network: Basic concepts of dynamical systems, mathematical
foundation of discrete-time hop field networks, mathematical foundation of Gradient-Type
Hopfield networks, and transient response of continuous time networks. example solution of
optimization problems: summing networks with digital outputs, minimization of the traveling
salesman tour length, solving simultaneous linear equations.
Unit V Associative Memories I: Basic concepts, linear associator basic concepts of recurrent
auto associative memory, retrieval algorithm, storage algorithm, storage algorithms performance
considerations, performance concepts of recurrent auto associative memory, energy function
reduction capacity of recurrent auto associative memory, memory convergence versus
corruption, fixed point concept, modified memory convergence towards fixed points, advantages
and limitations.

Suggested books:
1. J.M.Zurada: Introduction to Artificial Neural Systems, Jaico Publishers
2. Dr. B. Yagananarayana, Artificial Neural Networks, PHI, New Delhi.
3. Kishan Mehrotra, Chelkuri K. Mohan, Sanjay Ranka: Elements of Artificial Neural
Networks, Penram International
4. Introduction Neural Networks Using MATLAB 6.0 - by S.N. Shivanandam, S. Sumati, S. N.
Deepa,1/e, TMH, New Delhi.
5. Fundamental of Neural Networks – By Laurene Fausett
JECRC University
Faculty of Engineering & Technology Hours:36
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 3-0-0
Satellite Communications

Course Objective:
Satellite communication is most popular mode of transmission and reception of information at
very long distance points. TV, Radio, Voice Channels, Mobile Communication, GPS, Weather
forecasting , all are sub parts of this subject. We study, how to decide the location and operating
bandwidth of satellite, what factors decide life, performance, cost of satellite link.

Unit I Satellite Systems, Orbital description and Orbital mechanics of LEO, MEO and GSO,
Placement of a Satellite in a GSO, Satellite – description of different Communication
subsystems, Bandwidth allocation.
Unit II Different modulation and Multiplexing Schemes, Multiple Access Techniques – FDMA,
TDMA, CDMA, and DAMA, Coding Schemes.

Unit III Basic link analysis, Interference analysis, Rain induced attenuation and interference,
Ionosphere characteristics, Link Design with and without frequency reuse.
Unit IV Radio and Satellite Navigation, GPS Position Location Principles, GPS Receivers and
Codes, Satellite Signal Acquisition, GPS Receiver Operation and Differential GPS.

Unit V Satellite Packet Communications, Intelsat series – INSAT series –VSAT, mobile satellite
services, INMARSAT, Satellite and Cable Television, DBS (DTH), VSAT, Satellite Phones.
Suggested Books:

1. Wilbur L. Pritchard, H.G. Suyderhoud, Robert A. Nelson, Satellite Communication Systems


Engineering, Prentice Hall, New Jersey, 2006.
2. Timothy Pratt and Charles W.Bostain, Satellite Communications, John Wiley and Sons, 2003.
3. D.Roddy, Satellite Communication, McGraw-Hill, 2006.
4. Tri T Ha, Digital Satellite Communication, McGrawHill, 1990.
5. B.N.Agarwal, Design of Geosynchronous Spacecraft, Prentice Hall, 1993.
JECRC University
Faculty of Engineering & Technology Hours:36
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 3-0-0
Mathematics for Communication Systems

Course Objective:
To provide deep understanding to the students about various mathematical tools required to
analyse and develop various signal processing algorithms for the current state of art in research
in Wireless Digital Communication Systems.

Unit I Numbers and their accuracy, Computer Arithmetic, Mathematical preliminaries, Errors
and their Computation, General error formula, Error in a series approximation.
Unit II Bisection Method, Iteration method, Method of false position, Newton-Raphson method,
Methods of finding complex roots, Muller‟s method, Rate of convergence of Iterative methods,
Polynomial Equations.

Unit III Finite Differences, Difference tables Polynomial Interpolation: Newton‟s forward
and backward formula Central Difference Formulae: Gauss forward and backward formula,
Stirling‟s, Bessel‟s, Everett‟s formula. Interpolation with unequal intervals: Langrange‟s
Interpolation, Newton Divided Introduction, Numerical differentiation, Numerical Integration:
Trapezoidal rule, Simpson‟s 1/3 and 3/8 rule, Boole‟s rule, Waddle‟s rule., difference formula,
Hermite‟s Interpolation,

Unit IV Picard‟s Method, Euler‟s Method, Taylor‟s Method, Runge-Kutta Methods, Predictor
Corrector Methods, Automatic Error Monitoring and Stability of solution. Frequency chart,
Curve fitting by method of least squares, fitting of straight lines, polynomials, exponential curves
etc,
Unit V Data fitting with Cubic splines, Regression Analysis, Linear and Non linear Regression,
Multiple regression, Statistical Quality Control methods.
Suggested Books:

1. Rajaraman V, “Computer Oriented Numerical Methods”, Pearson Education


2. Gerald & Whealey, “Applied Numerical Analyses”, AW
JECRC University
Faculty of Engineering & Technology Hours:36
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 3-0-0
Nonlinear Fiber Optics Communication

Course Objective:
To familiarize students with various optical devices and mechanisms which are being used in
different kind of optical networks such as FTTx, PONs as well as RoF.
Unit I Fiber Characteristics: Material and fabrication, Fiber losses, Chromatic dispersion,
Polarization-Mode dispersion, Fiber Nonlinearities: Nonlinear refraction, Stimulated Inelastic
scattering, Importance of Nonlinear effects. Maxwell‟s equations, Fiber modes: Eigen value
equation, Characteristics of fundamental mode, Pulse propagation Equation, Numerical Methods:
Split Step Fourier method, Finite difference method.
Unit II Different Propagation Regimes, Dispersion-Induced pulse broadening , Third-order
dispersion, Dispersion management : GVD-induced Limitation, Dispersion compensation,
Comparison of third order dispersion, SPM-Induced spectral changes, Effect of group-velocity
dispersion, Higher order Nonlinear effects: self steepening, Effect of GVD on optical shocks.
Unit III Optical solitons: Modulation instability: Linear stability analysis, Gain spectrum, Ultra
short pulse generation, Fiber solitons: Inverse scattering methods, Fundamental and higher order
solitons, Dark, Dispersion-managed and bi-stable solitons, Perturbation methods, fiber losses,
solitons amplification, soliton interaction, Higher order Effects, XPM-Induced nonlinear
coupling and modulation instability, XPM-Paired solitons,Spectral and temporal effects, Spectral
and temporal effects, Application of XPM
Unit IV Four Wave Mixing: Origin of four-wave mixing, Theory of four-wave mixing, Phase
matching Techniques, Applications of four-wave mixing.
Stimulated Raman Scattering: Basic concepts, Raman-gain spectrum, threshold, Coupled
amplitude equations, Solitions effects: Raman solitons, Raman lasers.
Unit V Stimulated Brillouin Scattering: Basic concepts,SBS Dynamics: Coupled amplitude
equations,SBS with Q-switched pulses Relaxation Oscillators, Modulation instability and chaos,
Parameters: SPM-Based techniques, XPM-based techniques, FWM-based techniques, Variations
in n2 values, Fibers with silica cladding: Tappered fibers with air cladding, Micro structured
fibers, Non silica fibers, Super continuum generation: Picoseconds, CW, Femtosecond pulses
pumping ,Temporal and spectral evolution , Harmonic Generation
Suggested Books:
1. Non linear Fiber Optics, Govind P. Agrawal, Elsevier.
2. G.Kaiser, Optical Fiber Communication, MC-Graw-Hill.
3. J.M.Senior,Optical Fiber Communication Principles & Practice, PHI
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in Communication Systems – Semester III
Contact Hours (L-T-P): 3-0-0
Advance Mobile Communications

Course Objectives:
1. To familiarize students with various technologies traversed in the complete evolution
path from 2G to 4G and beyond.
2. To provide sound understanding to the students about the various technologies from
mathematical perspective.

Unit I Cellular concept. Mobile radio propagation. Co-channel interference. Diversity. Multiple accesses.
Cellular coverage planning. Wireless networking.
Unit II Wireless systems and standards. Fading channels, spreading codes, power control. WAP and
other protocols for internet access. Data transmission in GSM and UMTS, TCP in wireless environment,
multi-user detection and its performance analysis.
Unit III Blue-tooth and other wireless networks, system comparison.
Unit IV Spread spectrum concept. Basics of CDMA. Properties and generation of PN sequences.
Applications of CDMA to cellular communication systems.
Unit V Second and third generation CDMA systems/ standards. Multicarrier CDMA.
Synchronization and demodulation .Diversity techniques and rake receiver.

Suggested Books:

1. Mobile Cellular Telecommunications, W.C.Y. Lee,Tmh


2. Wireless Communication and Networking, Misra, Tmh
3. Wireless Communications, Theodore S. Rappaport, Pearson
4. Wireless Communication and Networking, William Stallings, Pearson
5. Wireless Communication, Pena Dalal, Oxford
6. Broadband Wireless Communications, Jiangzhou Wang, Springer
7. Wireless and Mobile Communication, Kumar, Sanjeev, New Age International
Dissertation
Subject Objectives:

The objective of this subject is to provide exposure to the


current technology by devoting 1year for project in the interest
area of students according to current research areas in
electronics and communication engineering. This project can
be done in any industry or in the university campus under the
guidance of faculty of Electronics and Communication
Engineering department.
JECRC University
School of Engineering and Technology
Course Structure and Syllabus

M. Tech.
VLSI & Embedded
System

Academic Programs
July, 2015
JECRC University
Faculty of Engineering and Technology
M.Tech. (VLSI & Embedded System)
Teaching Scheme

Semester-I
Code Subject Contact Credits
Hours
L-T-P
MEE022A Digital VLSI Circuit Design 4-0-0 4 C
MEE023A Computer Aided Design for VLSI Circuits 4-0-0 4 C
MEE046A Microcontroller System Design 4-0-0 4 C

MEE045A Real Time Operating System 4-0-0 4 C

MEE026A VLSI Design Lab 0-0-2 2 C


MEE048A RTOS and FPGA Lab 0-0-2 2 C

MEE069A Seminar 0-0-2 2 C


Total 16-0-6 22

Semester - II
Code Subject Contact Credits
Hours
L-T-P
MEE028A Analog and Mixed Signal ICs 4-0-0 4 C
MEE030A Advanced ASIC and FPGA Design 4-0-0 4 C
MES001A Research Methodology 3-0-0 3 C
MEE030A Embedded Systems and Applications 4-0-0 4 C

MEE032A Embedded System Lab 0-0-2 2 C


MEE027A System Modeling Lab Using Verilog / 0-0-2 2 C
VHDL
MEE059A Seminar 0-0-2 2 C
MES002A Quantitative Techniques & Computer 0-0-1 1 C
Applications Lab
Total 15-0-7 22
Semester - III
Contact
Code Subject Hours Credits
L-T-P
MEE039A Testing & Testability of VLSI Circuits

MEE040A Image Processing in VLSI Design Elective-I 4-0-0 4 S


MEE015A Wireless and Mobile Ad-hoc Networks
MEE038A Embedded Communication Software Design
MEE044A Low Power VLSI Design
MEE043A System Level Design & Modeling
MEE041A Robotics & Automation
MEE017A Advanced Artificial Neural Networks 4-0-0 4 S
MEE025A Synthesis of Digital Circuits Elective-II
MEE023A VLSI System and Subsystem
MEE053A Advanced Microprocessor
MEE054A Architecture of Digital Signal Processors
MEE055A Embedded Hardware
MEE056A Data Compression Techniques
MEE009A Advanced Digital Signal Processing Elective-III 4-0-0 4 S
MEE058A Advanced Digital System Design
MEE059A Soft Computing
MEE060A Advanced Computer Architecture
MEE061A Design of Digital Control System
MEE062A Cryptography and Network Security
MEE063A Data Communication & Computer Networks
MEE064A Mobile Computing
MEE008A Digital Image Processing Elective-IV 4-0-0 4 S
MEE066A Nanotechnology
MEE047A Embedded Networks & Protocols
MEE049A Mixed Signal Embedded Systems
MEE050A Multiprocessors Systems-On Chip
MEE067A Dissertation Part – I 12 12 C
Total 28-0-0 28
Semester - IV
Code Subject Contact Hours Credits
L-T-P
MEE068A Dissertation Part – II 0-0-0 28
Total 0-0-0 28
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester I
Contact Hours (L-T-P) : 4-0-0

Digital VLSI Circuits Design


Course Objectives:
1. This is an introductory course which covers basic theories and techniques of digital
VLSI Circuit design in CMOS technology.
2. In this course, we will study the fundamental concepts and structures of MOS
Transistor and designing digital VLSI Circuits, static and dynamic Power Dissipation,
interconnect analysis, Propagation delays, MOS Inverters and their Characteristics.
3. The course is designed to give the student an understanding of the different
Combinational circuit design, Sequential MOS Logic Gates and CMOS Dynamic
Logic Circuits including their Transient Analysis, design steps and behavior.
4. The Course also covers the study of various semiconductor Memory like ROM, RAM
with input/output Circuits and their leakage mechanism.

Unit I MOS Transistor-First Glance at the MOS device, MOS Transistor under static
conditions, threshold voltage, Resistive operation, saturation region , channel length
modulation, velocity saturation, Hot carrier effect-drain current Vs voltage charts, sub
threshold conduction , equivalent resistance, MOS structure capacitance, CMOS logic.
Unit II MOS Inverter, Switching characteristics & Interconnect Effects- Delay Time,
Interconnect Parasitic Capacitances, Resistance, RC Delays, Inductances, Gate Delays, Stage
Ratio, Power Dissipation, CMOS Logic Gate Design, Transmission Gate, BiCMOS.
Unit III Combinational Circuit Design: NAND Gate, NOR Gate, Transient Analysis of
NAND & NOR Gate. Sequential MOS Logic Gates: Behavior of Bistable element, CMOS
latches & Clocked Flip-Flops, Clock Skew, Clocking Strategies.
Unit IV CMOS Dynamic Logic Circuits: Pass Transistor, 0 and 1 transfer, Charge Storage
& Leakage, Voltage Bootstrapping, High Performance Dynamic CMOS Circuits: Domino
CMOS Logic, NORA CMOS Logic, Zipper CMOS Circuits, TSPC Dynamic CMOS.
Unit V Semiconductor Memories: ROM, DRAM, SRAM, PLA, Cell, Leakage Circuit and
Input/output Circuit.
Text Books

1. Jan.M.Rabaey., Anitha Chandrakasan Borivoje Nikolic, "Digital Integrated Circuits",


Second Edition.
2. Neil H.E Weste and Kamran Eshraghian, "Principles of CMOS VLSI Design", 2nd
Edition, Addition Wesley, 1998
3. Sung-Mo Kang, Yusuf Leblebici, "CMOS Digital IC- Analysis and Design", 3rd
Edition, Tata McGraw Hill.
JECRC University
Faculty of Engineering and Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester I

Contact Hours(L-T-P) : 4-0-0

Computer Aided Design for VLSI Circuits

Course Objective:
This course provides a survey of advanced techniques for solving computer aided design
problems for a wide range of design styles. A technology independent description is followed
to provide algorithms and techniques that are applicable for a wide range of fabrication
process.

Unit I: Introduction to VLSI Design methodologies - Review of Data structures and


algorithms, Review of VLSI Design automation tools, Algorithmic Graph Theory and
Computational Complexity, Tractable and Intractable problems, general purpose methods for
combinatorial optimization.
Unit II: Design rules: Layout Compaction - Design rules, problem formulation, algorithms
for constraint graph compaction, placement and partitioning, Circuit representation,
Placement algorithms, Partitioning
Unit III: Floor planning: Floor planning concepts, shape functions and floorplan sizing,
Types of local routing problems - Area routing, channel routing, global routing, algorithms
for global routing.
Unit IV: Simulation: Simulation, Gate-level modeling and simulation, Switch-level
modeling and simulation, Combinational Logic Synthesis, Binary Decision Diagrams, Two
Level Logic Synthesis.
Unit V: Modelling and synthesis: High level Synthesis, Hardware models, Internal
representation, Allocation assignment and scheduling, Simple scheduling algorithm,
Assignment problem, High level transformations.
Text Books

1. S.H. Gerez, "Algorithms for VLSI Design Automation", John Wiley & Sons,2002.
2. N.A. Sherwani, "Algorithms for VLSI Physical Design Automation", Kluwer
Academic Publishers, 2002.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester I
Contact Hours (L-T-P): 4-0-0
MICROCONTROLLER SYSTEM DESIGN

Course Objectives:
1. The objective of this course is to teach students design and interfacing of
microcontroller-based embedded systems.
2. The internal structure and operation of microcontrollers will be studied. The design
methodology for software and hardware applications will be developed through the
labs and design projects.
3. This course will cover the various structures of microcontroller like 8051, 8096
microcomputer, Motorola microcontroller, PIC microcontroller and arm controller.
4. High-level languages are used to interface the microcontrollers to various
applications. There are extensive hands-on labs/projects. Embedded system for sensor
applications will be introduced. Students will be expected to develop independence
and learn much of the material on their own.

Unit I: 8051 MICROCONTROLLER Architecture of 8051 - Signals - Operational


features - Memory and I/O addressing -Interrupts - Instruction set – Applications - The
software model – functional description – central processing unit pin descriptions – reduced
instruction set computer concepts – bus operations – super scalar architecture – pipelining –
branch prediction – the instruction and caches – floating point unit – protected mode
operation – segmentation – paging, protection, multitasking, exception and interrupts,
input/output .

Unit II: 8096 MICROCOMPUTER


8096 CPU Structure- 8096 Interrupts Structure- Interrupt Control - Priorities- Critical Register
- Programmable Timers- Interrupts Density and Interval Considerations- Real Time Clock.

Unit III: MOTOROLA MICROCONTROLLER Instructions and addressing modes of


68HC11 – operating modes – hardware reset, interrupt system - parallel I/O ports – flats –
real time clock – programmable timer – pulse accumulator – serial communication
interface – analog to digital converter – hardware expansion – basic assembly language
programming.

Unit IV :PIC MICROCONTROLLER: Central processing unit architecture – instruction


set – interrupts – timers – memory – I/Oport expansion – inter integrated circuit bus for
peripheral chip access – A/D converter – universal asynchronous receiver transmitter –
advanced risc machine architecture – advanced risc machine organization and
implementation, advanced risc machine instruction set, thumb instruction set, basic
advanced risc machine Assembly language program, advanced risc machine central
processing unit cores.
Unit V: ARM CONTROLLER
Architecture – Memory Organization – Pipeline and cache concepts – ARM (32 bit)
Architecture - Instruction set and Assembly Language Programming - ARM instruction set
and THUMB instruction set - Switching between ARM and THUMB instructions.

Text Books
1. John B. Peatman, Design with PIC Micro controller, Pearson Education, 2003.
2. ARM processor data book.
3. 8051 Microcontroller & Embedded systems By Madizi M.A.
4. James W.Stewart, Kai X. Miao, “8051 Microcontroller, The Hardware, Software, and
Interfacing”, Prentice-Hall Career & Technology, (1993).
5.Jonarthan W. Valvano Brooks/cole ,Embedded Micro Computer Systems, Real Time
Interfacing, Thomson Learning (2001)
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester I
Contact Hours (L-T-P): 4-0-0

REAL TIME OPERATING SYSTEM

Course Objectives:
1. The objective of the course is to teach what a real-time operating system (RTOS) is,
how real-time operating systems are useful for measurement and control applications,
and how they differ from standard general-purpose operating systems like Windows.
2. To explain the concept of a real-time system and why these systems are usually
implemented as concurrent processes.
3. To describe a design process for real-time systems.
4. To explain the role of a real-time operating system.
5. To introduce generic process architectures for monitoring and control and data
acquisition systems.
6. The Course also covers the study Distributed operating systems, Real time models and
languages, Real time kernel Principles and application domains.

Unit I Review of operating systems Basic Principles-system calls-Files-Processes - Design


and implementation of processes- Communication between processes - operating system
structures.

Unit II Distributed operating systems Topology-Network Types-Communication-RPC-


Client server model-Distributed file systems.

Unit III Real time models and languages Event based - Process based-Graph models -
Petrinet models - RTOS tasks - RT scheduling - Interrupt processing-Synchronization -
Control blocks-Memory requirements.

Unit IV Real time kernel Principles - Polled loop systems - RTOS porting to a target
Comparison and Study of RTOS - VxWorks and mCoS, Introduction to POSIX and OSEK
standards.
Unit V Rtos and application domains RTOS for image processing - Embedded RTOS for
voice over IP-RTOS for fault tolerant applications - RTOS for control systems.

Text Books
1. Hermann K, “Real time systems-design principles for distributed embedded Applications”,
kluwer academic, 1995
2. Charles Crowley “operating systems - A design oriented approach” McGraw Hill
References
1. RAJ BUHR,DL Beily, “An introduction to real time systems” PHI,1999
2. CM Krishna,Kang G. Shin, “Real time Systems”, Mc Graw Hill, 1997
3. Raymond J.A., Donald L Baily, “An introduction to real time operating systems”PHI, 1999
JECRC University
Faculty of Engineering & Technology
M.Tech. VLSI & Embedded System Semester I
Contact Hours (L-T-P): 2 hrs per week

VLSI Design Lab

List of Experiments

S. No. Experiment
VLSI based experiments using CADENCE / TANNER

1. Introduction of EDA Tools CADENCE / TANNER and analysis of design flow in


EDA tools.
2. Design and simulation of CMOS inverter with tanner tool.
3. Design and simulation of CMOS AND/OR Gate using Tanner.
4. Design and simulation of CMOS NAND/NOR Gate using Tanner.
5. Design and simulation of CMOS XOR/XNOR Gate using Tanner.
6. Design and simulation of Half Adder/ Half substractor using Tanner.
7. Design and simulation of Full Adder/Full Substractor using Tanner.
8. Design and simulation of Operational Amplifier using Tanner.
9. Design and simulation of 2*1MUX using Tanner.
10. Design and simulation of Half Adder using 2*1 MUX using Tanner.
11. Design and simulation of 3:8 Decoder using Tanner.
12. Design and simulation of CMOS D Latch using Tanner.
13. Design and simulation of flip-flop using Tanner.
14. Design and simulation of 4-Bit Shift Register using Tanner.
15. Design and simulation of 3-Bit up-Counter using Tanner.
JECRC University
Faculty of Engineering & Technology
M.Tech. in VLSI & Embedded System Semester I
Contact Hours ( L-T-P):– 2 hours per week

RTOS & FPGA Lab

1. Introduction to RTOS System solution & tools.


2. Testing RTOS Environment and System Programming using Keil Tools
ARM 7 Development Board (5004A) and perform the following experiment.
a. Study and analysis of interfacing of LED‟s
b. Study and analysis of interfacing of 10 bit Internal ADC
c. Study and analysis of interfacing of Pulse Width Modulation (PWM)
d. Study and analysis of interfacing of Universal Serial Bus (USB)
e. Study and analysis of interfacing of Four External Interrupts
f. Study and analysis of interfacing of Real Time Clock (RTC)
g. Study and analysis of interfacing of universal asynchronous receiver/ transmitter (Uart0)
for transmission of data

3. RTOS System Solutions with Tornado tools.


4. Embedded DSP based System Designing.
a) Code compressor studio (CCS) for embedded DSP using Texas tool kit.
b) Analog DSP tool kit.
5. Simulation & Synthesis of the designs made using “VHDL / VERILOG
and Mixed, of following digital circuits
Combinational circuits:
a. 8X1 MUX & 1X8 DMUX
b. 16X1 MUX using two 8X1 MUX.
c. ENCODER
d. DECODER
e. LED TO SEVEN SEGMENT DISPLAY
f. CARRY LOOK AHEAD ADDER
Sequential Circuits
g. FLIP FLOP(D, R-S, J-K & T)
h. Counters
Advance Circuit Design
4 to 6-MSI Digital blocks (Combinational Circuits)
6 to 8 MSI and 1 or 2 VLSI Circuits.(Sequential Circuits)
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester II
Contact Hours (L-T-P) : 4-0-0

Analog and Mixed Signal ICs

Course Objective:
This course adopts a strongly industrial perspective and starts with a review of the important
operating features of MOS devices. Functions addressed include primitive cells, biasing and
references, op-amp designs, sampled and continuous time filters, A/D and D/A convertors,
clock generation systems for digital and mixed signal IC.

Unit I: Introduction to analog design: Review of MOS Transistor operation models; Small
signal model and large signal model; Single-Stage Amplifiers: common source stage;
common source stage with resistive load; CS stage with diode connected load; CS stage with
current source load; CS stage with source degeneration; Source follower; Common gate stage;
Cascode Stage.
Unit II: Differential Amplifiers: single ended and Differential Operation, Basic differential
Pair, Common mode response, differential pair with MOS load Gilbert Cell, Basic current
Mirrors; Cascode Current mirror, Active Current mirrors; frequency response of amplifiers;
miller effect, frequency response of CS stage, common gate stage, Cascade stage differential
pair.
Unit III: Theory and design of MOS Operational Amplifier: performance parameters,
One-stage Op Amps, Gain Boosting, Common Mode Feedback, Input Range Limitations,
slew rate, power supply rejection Noise in Op Amps; Stability and Frequency Compensation,
multipole systems, phase margin.
Unit IV: Switched Capacitor Circuits: Sampling switches; MOSFET as Switches, Speed
considerations, Precision Considerations, Charge Injection Cancellation; Switched-Capacitor
Amplifiers; unity gain sampler/Buffer, Non-inverting Amplifier; Switched-Capacitor
Integrator; Switched-Capacitor Common-Mode Feedback.
Unit V: Nonlinear Analog circuits: Nonlinearity of different Circuits, Effect of negative
Feedback on nonlinearity, Capacitor Nonlinearity, Linearization techniques; Oscillators; Ring
Oscillators, LC Oscillators, Voltage Controlled Oscillators; PLL; Phase Detector, Basic PLL
Topology, Dynamics of simple PLL.

Text Books

1. Behzad, Razavi: Design of Analog CMOS Integrated Circuits, MGH, 2001.


2. Allen Holberg: CMOS Analog Integrated Circuit Design, Oxford University Press,
2002.
3. P. R. Gray, Hurst, Lewis and R. G. Meyer. Analysis and Design of Analog Integrated
Circuits. John Wiley, 4th Ed. 2001.
4. A. B. Grebene, Bipolar and MOS analog integrated circuits design. John Wiley, 1984.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester II
Contact Hours (L-T-P) : 4-0-0
Advanced ASICs and FPGA Design
Course Objectives:
1. Understand the different types of programmable logic devices, their architecture, routing
and programming techniques,
2. Go through a complete ASIC design flow utilizing an FPGA and know the different CAD
tools used at each level.
Unit I Types of ASICs: Design flow, CMOS transistors CMOS Design rules, Combinational
Logic Cell, Sequential logic cell, Data path logic cell, Transistors as Resistors, Transistor
Parasitic Capacitance, Logical effort, Library cell design, Library architecture .

Unit II Programmable Asics, programmable ASIC logic cells and programmable


ASIC i/o cells : Anti fuse, static RAM, EPROM and EEPROM technology, PREP
benchmarks , Actel ACT , Xilinx LCA , Altera FLEX, Altera MAX DC & AC inputs and
outputs, Clock & Power inputs, Xilinx I/O blocks.

Unit III Programmable ASIC interconnect, programmable ASIC design software and
low level design entry: actel act, Xilinx lca, Xilinx Epld, Altera max 5000 and 7000,
Altera max 9000, Altera flex, Design systems, logic synthesis, Half gate asic, Schematic
entry, low level design language, Pla tools, Edif, Cfi design representation.

Unit IV Logic synthesis, simulation and testing: Verilog and logic synthesis, VHDL and
logic synthesis, Types of simulation, Boundary scan test, Fault simulation, Automatic test
pattern generation, Introduction to JTAG.

Unit V ASIC construction, floor planning, placement and routing: System partition,
Introduction to FPGA Architectures, FPGA design flow, Partitioning methods, Floor
planning, Placement, physical design flow, Global routing, Detailed routing, Special routing,
Circuit extraction and DRC.
Text Books
1. Brown, S. D., Francis, R. J., Rose, J. and Vranesic, Z G. Field programmable Gate
arrays. Kluwer, 1992.
2. Betz, V., Rose, J. and Marquardt, A. Architecture and CAD for Deepsubmicron
FPGAs. Kluwer, 1999.
3. Trimberger, S. M. FPGA Technology. Kluwer, 1992.
4. Oldfield, J. V. and Dorf, R. C. FPGAs: Reconfigurable logic for rapid prototyping
and implementation of digital systems. John Wiley, 1995.
JECRC University
Faculty of Engineering & Technology
M.Tech. in VLSI & Embedded System Semester II hours- 36
Contact Hours (L-T-P): 3-0-0

Research Methodology

Course Objective:

 To gain insights into how scientific research is conducted.


 To help in critical review of literature and assessing the research trends, quality and
extension potential of research and equip students to undertake research.
 To learn and understand the basic statistics involved in data presentation.
 To identify the influencing factor or determinants of research parameters.

Research Methodology-Introduction
Meaning of Research, Objectives of Research, Motivation in Research,
Types of Research, Research Approaches, Significance of Research,
UNIT 1: Research Methods versus Methodology, Research and Scientific Method,
Importance of Knowing How Research is Done, Research Process, Criteria
of Good Research, Problems Encountered by Researchers in India

Defining the Research Problem


What is a Research Problem?, Selecting the Problem, Necessity of Defining
the Problem, Technique Involved in Defining a Problem
UNIT 2: Research Design
Meaning of Research Design, Need for Research Design, Features of a Good
Design, Important Concepts Relating to Research Design, Different
Research Designs, Basic Principles of Experimental Designs
Sampling Design
Census and Sample Survey, Implications of a Sample Design, Steps in
Sampling Design, Criteria of Selecting a Sampling Procedure,
Characteristics of a Good Sample Design, Different Types of Sample
Designs, How to Select a Random Sample?, Random Sample from an
UNIT 3: Infinite Universe, Complex Random Sampling Designs
Measurement and Scaling Techniques
Measurement in Research, Measurement Scales, Sources of Error in
Measurement, Tests of Sound Measurement, Technique of Developing
Measurement Tools, Scaling, Meaning of Scaling, Scale Classification
Bases, Important Scaling Techniques, Scale Construction Techniques
Methods of Data Collection
Collection of Primary Data, Observation Method, Interview Method,
Collection of Data through Questionnaires, Collection of Data through
Schedules, Difference between Questionnaires and Schedules, Some Other
Methods of Data Collection, Collection of Secondary Data, Selection of
Appropriate Method for Data Collection, Case Study Method
UNIT 4:
Processing and Analysis of Data
Processing Operations, Some Problems in Processing, Elements/Types of
Analysis, Statistics in Research, Measures of Central Tendency, Measures of
Dispersion, Measures of Asymmetry (Skewness), Measures of Relationship,
Simple Regression Analysis, Multiple Correlation and Regression, Partial
Correlation, Association in Case of Attributes

Sampling Fundamentals
Need for Sampling, Some Fundamental Definitions, Important Sampling
Distributions, Central Limit Theorem, Sampling Theory, Sandler‟s A-test,
Concept of Standard Error, Estimation, Estimating the Population Mean (µ),
UNIT 5:
Estimating Population Proportion, Sample Size and its Determination,
Determination of Sample Size through the Approach Based on Precision
Rate and Confidence Level, Determination of Sample Size through the
Approach Based on Bayesian Statics

Outcome:
At the end of the course, the student should be able to:
 Gain insights into how scientific research is conducted.
 Help in critical review of literature and assessing the research trends, quality and
extension potential of research and equip students to undertake research.
 Learn and understand the basic statistics involved in data presentation.
 Identify the influencing factor or determinants of research parameters.

Text Book: Research Methodology – Methods & Techniques by C. R. Kothari, New age
International Publisher

Notes for Examiner / Paper Setter:

4. 1st Question shall be of 20 marks and compulsory for all. It may consist of Multiple
Choice Questions (MCQ) of one mark each and short answer questions of one or
two marks.
5. One question of 10 marks shall be set from each Unit which may have parts
including numericals. Paper setter may give internal choice, if required.
6. Students will be required to attempt all questions compulsorily.
JECRC University
Faculty of Engineering & Technology Hours:48
M.Tech. in VLSI & Embedded System Semester II
Contact Hours (L-T-P) : 4-0-0

Embedded Systems and Applications


Course Objectives:
The objective of this course is to give the students knowledge about Microcontroller &
embedded systems. This course deals with the brief knowledge of Microcontroller MSP430,
its architecture and assembly language programming for MSP430 microcontroller. The
other objective of this course is know the application of embedded systems and interfacing
with different devices like sensor, DAC, ADC, stepper motors, keyboard etc.

Unit I Basics of embedded computing- Microprocessors, embedded design process,


system description formalisms. Instruction sets- CISC and RISC; CPU fundamentals-
programming I/Os, co-processors, supervisor mode, exceptions, memory management
units and address translation, pipelining, super scalar execution, caching, CPU power
consumption.
Unit II Introduction of Microcontroller MSP 430: RISC architecture, Instruction Sets
and Addressing modes, I/O ports, counter and timers, interrupts and interrupt structure,
Assembly Language Programming and Compiler-friendly features. Clock system, Memory
subsystem. Key differentiating factors between different MSP 430 families.

Unit III Interfacing I/O Interfacing, understanding the multiplexing scheme of MSP 430
pins, LED, LCD, seven segment display, real time clock and Keyboard Interfacing. ADC,
DAC, and Sensor Interfacing, Interfacing to External Memory, Interfacing to Stepper
Motor.

Unit IV Performance Issues of an Embedded System: CPU performance–CPU Power


Consumption, Analysis and Optimization of CPU Power Consumption, program execution
time– Analysis, low-power modes (sleep modes), clock request feature, low power
programming and interrupts.
Unit V Applications of Embedded systems: Energy meters, Smoke detectors, Data
acquisition system, wired sensor network, and wireless sensor networks with Chipcon RF
interface.
Text Books
1. Wolf, W. Computers as components- Principles of embedded computing system
design. Academic Press (Indian edition available from Harcourt India Pvt. Ltd.,
27M Block market, Greater Kailash II, New Delhi-110 048.).
2. Embedded System Design, A Unified Hardware/Software Introduction, Frank
Vahid / Tony Givargis, 2006 reprint, John Wiley Student Edition.
3. An Embedded Software Primer, David .E. Simon, Fourth Impression 2007, Pearson
Education.
4. John Davies, MSP430 Microcontroller Basics, Elsevier, 2008.
5. MSP430 Teaching CD-ROM, Texas Instruments, 2008 (Includes Power Point foils
for Instructors.
JECRC University
Faculty of Engineering & Technology
M.Tech.in VLSI & Embedded System Semester II
Contact Hours(L-T-P):2 hrs per week

Embedded System Laboratory

List of Experiments
Programming and interfacing the MSP430 microcontroller

1. Introduction to general embedded system concepts. Participants will do some


research on the net and familiarize themselves with the terminology associated with
embedded systems.
2. General information regarding microcontrollers (microcontroller families,
peripherals etc). Using mspdebug and msp430-gcc. This lesson introduces the tools
you will use to program the msp430 microcontroller.
3. Writing our first msp430 program: use a GPIO pin to control an LED.
4. Understanding digital inputs. In this lesson, we learn to use a GPIO pin to read a
mechanical switch.
5. Basics of Timer-A. Timers are very useful for a number of things like generating
precise time delays, producing waveforms, counting events etc. In this lesson, we
learn to use Timer-A of the msp430 microcontroller.
6. Understanding hardware interrupts.
7. Compiler optimizations - part 1. The compiler generates better quality code when
you compile with optimizations enabled; but unless you understand what is really
happening underneath, subtle bugs may bite you! In this lesson, we learn about the
pitfalls associated with optimizations.
8. Analog to digital conversion - part 1. We learn to use the ADC on the MSP430
processor in this lesson.
9. Analog to digital conversion - part 2. An LED can be used as a light sensor! In this
lesson, you will write a very interesting program which will use the msp430's ADC
and an LED on the launchpad board to measure light intensity.
10. Running LED's - in this lesson, you will build a small running led display on a
breadboard and interface it with the launchpad board!
11. Interfacing a seven segment display.
12. Implementing Pulse Width Modulation. PWM is a common technique used for
applications like motor speed control.
13. Interfacing a potentiometer.
14. Programming the watchdog timer. The watchdog is an important part of the design
of safety critical systems; in this lesson, you will learn how to program the
msp430's watchdog timer.
JECRC University
Faculty of Engineering & Technology
M.Tech. in VLSI & Embedded System Semester II
Contact Hours (L-T-P): 2 hrs per week

System Modeling Lab Using Verilog/VHDL

Course Objective:
To develop hands on simulation tools that create a virtual environment which allows students
to design and analyse any circuit. These skills will create a better understanding and help to
implement this virtual design in the real world.

List of Experiments

1. 1. Modeling With Switch Primitives and Gate Primitives.


2. Modeling of 1-bit Full Adder.
3. Modeling of 32-bit CLA Adder.
4. Modeling of MUX.
5. Modeling of 32-bit ALU.
6. Modeling of Flip Flop and Latches.
7. Modeling of 8-bit Up and Down counter.
8. Modeling of 8-bit shift register with shift left and shift right mode of operation.
9. Modeling of ultimate CRC.
10. Modeling of First In First Out (FIFO).
11. Modeling of a serial adder with accumulator and verify using test bench.
12. Modeling of 4-bit multiplier.
13. Modeling of 1K RAM.
14. Modeling of simple UART.
15. Modeling of an 8-point FFT processor.

Text Books

1. Samir Palnitkar, Verilog HDL: A Guide to Digital Design and Synthesis, 2nd Edition,
Pearson.
2. Navabi. Introduction to VHDL. Mc Graw Hill, 2000
3. Bhaskar. VHDL Primer. Prentice Hall India, 2001
4. Navabi. Verilog digital systems. Mc Graw Hill, 2000
JECRC University
Faculty of Engineering & Technology

M.Tech. in VLSI & EMBEDDED SYSTEM Semester II

Quantitative Techniques & Computer Applications 0-0-1


Lab

Various Methods and Uses of Advance Excel Formulas: Vlookup, Hlookup, Sumif, Sumifs,
Sumproduct, Dsum, Countif, Countifs, If, Iferror, Iserror, Isna, Isnumber, Isnontext, Isblank,
Istext, Getpivotdata, Dcount, Dcounta, Or, And, Search, Index, Match Etc

Various Methods and Uses of IF Conditions: When should use the "IF" Conditions?,
Creation of Multiple IF Conditions in One Cell,Use the IF Conditions with the Other Advance
Functions, How to use nested IF statements in Excel with AND, OR Functions

ADVANCED EXCEL OPTIONS :Various Methods of Filter and Advance Filter options,
Creating and Updating Subtotals, Various Methods of Text to Column options, Uses of Data
Grouping and Consolidation options, Uses of Goal Seek and Scenarios Manager, Various
Method of Sorting Data, Creating, Formatting and Modifying Chart, Data Validation, Creating
drop down lists using different data sources, Linking Workbooks and Uses of Edit Link
options, Excel Options, Customizing the Quick Access Tool Bar, Formula Auditing features
and Trace formula error

Pivot Tables & Charts :Various Methods and Options of Pivot Table, Using the Pivot Table
Wizard, Changing the Pivot Table Layout, Subtotal and Grand total Options, Formatting,
Grouping Items, Inserting Calculated Fields, Pivot Table Options, Calculation in Pivot Table,
Display and Hide Data in Field, Select, Move & Clear Pivot Data, Creating and Modifying
Pivot Chart

Advance Use of Function: Mixing Function to get Various MIS Outputs, Creating Data Table,
Advance Data Validation, Using conditional formatting with Formulas and Function, Using
Name Manager, Array Formulas

Importing Data from External Sources: Macros, What is a Macro?, Creating Excel Macro,
Running Macros and Editing, Automating Tasks with Macro

(B) SPSS Package

An Overview of SPSS : Mouse and keyboard processing, frequently –used dialog boxes,
Editing output, Printing results, Creating and editing a data file

Managing Data: Listing cases, replacing missing values, computing new variables,
recording variables, exploring data ,selecting cases, sorting cases, merging files

Graphs: Creating and editing graphs and charts


Frequencies: Frequencies, bar charts, histograms, percentiles

Descriptive Statistics: measures of central tendency, variability, deviation from normality,


size and stability, Cross Tabulation and chi-square analyses, The means Procedure

Bivariate Correlation: Bivariate Correlation, Partial, Correlations and the correlation


matrix

The T-test procedure: Independent –samples, paired samples, and one sample tests

The one way ANOVA procedure: One way analysis of variance

General Linear model: Two –way analysis of variance

General Linear model: three –way analysis of variance and the influence of covariates,
Simple Linear Regression, Multiple regression analysis, Multidimensional scaling, Factor
analysis, Cluster analysis

Text Book: Research Methodology – Methods & Techniques by C. R. Kothari, New age
International Publisher

Notes for Examiner / Paper Setter:

4. 1st Question shall be of 16 marks and compulsory for all. It may consist of Multiple
Choice Questions (MCQ) of one mark each and short answer questions of one or two
marks.
5. One question of 14 marks shall be set from each Unit which may have parts including
numericals. Paper setter may give internal choice, if required.
6. Students will be required to attempt all questions compulsorily.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P) : 4-0-0

Testing and Testability of VLSI Circuits

Course Objectives:
1. Develop an understanding of test economics, failure mechanisms in VLSI circuits, and
characterization of failures at various levels of circuit hierarchy.
2. Develop an understanding of reliability issues of VLSI circuits.
3. Utilize logic and fault simulation to develop test vector generation algorithms for
combinational and sequential circuits.
4. Design testing methodologies for memory chips.
5. Utilize design for testability techniques including built-in self-test (BIST), scan design, and
boundary scan.
6. Coordinate different testing tasks (combinational testing, sequential testing, memory testing,
BIST, scan testing, etc.) so that a chip is tested as a whole entity.
7. Apply theoretical knowledge about algorithms to solve testing problems using a computer.
8. Use data structures and algorithms in C++ programs that are used to create testing
software tools.
9. Use VHDL/Verilog to specify digital circuit structure and behavior and Altera FPGA
development boards for testing.
10. Conduct literature survey on specific research topics, identify current challenges, and
develop solutions.

Unit I Physical Faults and their modeling: Stuck- at-Faults, Bridging Faults; Fault
collapsing, Fault Simulation: Deductive, Parallel, and Concurrent Fault Simulation. Critical
Path Tracing.

Unit II ATPG for Combinational Circuits: D-Algorithm, Boolean Differences, PODEM


Random, Deterministic and Weighted Random Test Pattern Generation; Aliasing and its effect
on Fault Coverage.

Unit III PLA Testing, Cross Point Fault Model and Test Generation. Memory Testing:
Permanent, Intermittent and Pattern Sensitive Faults, Marching Tests: Delay Faults.
Unit IV Unit II ATPG for Sequential Circuits: Time Frame Expansion ; Controllability and
Observability Scan Design, BILBO , Boundary Scan for Board Level Testing, BIST and
Totally self checking circuits.

Unit V System Level Diagnosis & repair: Introduction, Concept of Redundancy, Spatial
Redundancy, Time Redundancy, Error Correction Codes. Reconfiguration Techniques: Yield
Modeling, Reliability and effective area utilization.

Text Books
1. Abramovici, M., Breuer, M. A. and Friedman, A. D. Digital systems testing and testable
design. IEEE press (Indian edition available through Jayco Publishing house), 2001.
2. Bushnell and Agarwal, V. D. VLSI Testing. Kluwer.
3. Agarwal, V. D. and Seth, S. C. Test generation for VLSI chips. IEEE computer society
press.
4. Hurst, S. L. VLSI testing: Digital and mixed analog/digital techniques. INSPEC/IEE,
1999.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P) : 3-0-0

Image Processing in VLSI Design

Course Objectives:
5. Cover the basic theory and algorithms that are widely used in digital image processing.
6. Expose students to current technologies and issues that are specific to image
processing.
7. Develop hands-on experience in using computers to process images.
8. Develop critical thinking about shortcomings of the state of the art in image processing.

Unit I Introduction to Multirate systems and filter banks, 2D systems and mathematical
preliminaries, Digital Representation of Binary & Gray Scale and colour Images, Linear
operations on images.

Unit II Image sampling and quantization: 2D Sampling on rectangular and nonrectangular


sampling lattice, Aliasing, Lloyd-Max quantizer etc. Image Transforms: 2D Discrete Fourier
transform, DCT, DST and Hadamard , Harr K-L Transforms & their applications to image
processing.
Unit III Image restoration:Wiener filtering , smoothing splines and interpolation.Image
Enhancement Techniques: Gray scale transformation, Histogram matching and equalization,
Smoothening:-Noise Removal, Averagins, Median, Min/Max. Filtering, sharpening of Images
using differentiation, the laplaciam, High Emphasis filtering.
Unit IV Image analysis: Edge detection, Boundary Lines & Contours. Image representation
by Stochastic models: ARMA models, 2D linear prediction. Image Segmentation &
Thresholding: Multiband Thresholding, Thresholding from Textures, Selective histogram
Technique.
Unit V Image Compression: Compression Techniques using K-L Transform, Block
Truncation Compression. Error free Comprerssion using Huffman coding & Huffman shift
coding.

Text Books

1. Digital Signal Processing- Oppenheim A.V. & Schafer R.W. PHI.


2. Digital Signal Processing-by Mitra- (TATA McGraw Hill) Publications.
3. Digital Image Processing- by Gonzalez / Woods, (Pearson Education)
4. Digital Image Processing- by A.K. Jain
5. Digital Picture Processing- by Rosenfield & Kak
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P) : 4-0-0

Wireless & Mobile Ad-Hoc Networks


Course Objectives:

This course is an advanced research-oriented course designed for graduate students course is
an advanced research-oriented course designed for graduate students with computer and
wireless networks background. It will cover various topics relevant to a cutting-edge
technology, namely, Wireless Ad Hoc Networks, which include Mobile Ad Hoc Networks
(MANETs), Wireless Sensor Networks (WSNs) and Wireless Mesh Networks (WMNs). Through
this course, students can learn the state of art of wireless ad hoc networks research, and
enhance their potential to do research in this exciting area.

Unit I: TRANSMISSION CONCEPTS Technical background - transmission fundamentals -


communication networks –protocols and TCP/IP Suite - antennas and propagation signal -
encoding techniques - spread spectrum coding and error control.
Unit II: WIRELESS NETWORKING Satellite communications- cellular transmission
principles- cordless systems and wireless local loop mobile internet protocol and wireless
access protocol.
Unit III: WIRELESS LANs Wireless local area network technology – institute of electrical
and electronics engineering, 802 - 11 wireless local area network standard.
Unit IV: CDMA STANDARDS System architecture for code division multiple access -
network and data link layers of code division multiple access – signaling applications in
code division multiple access system - voice applications in code division multiple access
system.
Unit V: RF ENGINEERING AND FACILITIES Wireless data - cellular communication
fundamentals - global system for mobile communication architecture and interfaces – radio
link features in global system for mobile communication - global system for mobile
communication logical channels and frame structure - speech coding in global system for
mobile communication.

Text Book
1. William Stallings, Wireless Communication and Networking, Pearson Education, Asia
2005.

References
1. Garg. V. K, Smolik. K, Applications of CDMA in Wireless/Personal Communications,
Prentice Hall, 2004.
2. Garg V. K, Principles and Applications of GSM, Prentice Hall, 2002
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0

EMBEDDED COMMUNICATION SOFTWARE DESIGN

Course Objective:
The goal is adaptive wireless networking, self-organization techniques, and embedded system
design with applications in ad hoc and sensor networks

Unit I COMMUNICATION
Open system interconnect reference model – communication devices – communication
echo system – design consideration – host based communication – embedded
communication system – operating system vs real time operating system.

Unit II SOFTWARE PARTITIONING


Limitation of strict layering – tasks and modules – modules and task decomposition –
layer2 switch – layer3 switch / routers – protocol implementation – management types –
debugging protocols.

Unit III TABLES AND DATA STRUCTURES


Partitioning of structures and tables – implementation – speeding up access – table
resizing – table access routines – buffer and timer management – third party protocol
libraries.

Unit IV MANAGEMENT SOFTWARE


Device management – management schemes – router management – management of sub
system architecture – device to manage configuration – system start up and configuration.

Unit V MULTI BOARD COMMUNICATION SOFTWARE DESIGN


Multi board architecture – single control card and multiple lines card architecture –
interface for multi board software – failures and fault – tolerance in multi board systems
– hardware independent development – using a COTS board – development environment
– test tools .

Text Book
1. Sridhar T, Designing Embedded Communication Software, CMP Books, 2004.

2. Greg Utas, Robust Communication Software John, Wiley and Sons, 2005.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P) : 3-0-0
Low Power VLSI Design

Course objective:
1. To give the student an understanding of the different design steps required to carry out
a complete digital VLSI (Very-Large-Scale Integration) design in silicon.
2. To design chips used for battery-powered systems and high-performance circuits not
exceeding power limits.

Unit I Basics of MOS circuits: MOS Transistor structure and device modelling, MOS
Inverters, MOS Combinational Circuits - Different Logic Families. Sources of Power
dissipation: Dynamic Power Dissipation, Short Circuit Power, Switching Power, Gliching
Power, Static Power Dissipation, Degrees of Freedom
Unit II Supply Voltage Scaling Approaches: Device feature size scaling, Multi-Vdd Circuits,
Architectural level approaches: Parallelism, Pipelining, Voltage scaling using high-level
transformations, Dynamic voltage scaling, Power Management
Unit III Switched Capacitance Minimization Approaches: Hardware Software Tradeoff,
Bus Encoding, Two‟s complement Vs Sign Magnitude, Architectural optimization, Clock
Gating, Logic styles
Unit IV Leakage Power minimization Approaches: Variable-threshold-voltage CMOS
(VTCMOS) approach, Multi-threshold-voltage CMOS (MTCMOS) approach, Power gating,
Transistor stacking, Dual-Vt assignment approach (DTCMOS).
Unit V Special Topics: Adiabatic Switching Circuits, Battery-aware Synthesis, Variation
tolerant design, CAD tools for low power synthesis.

Text Books
1. Sung Mo Kang, Yusuf Leblebici, CMOS Digital Integrated Circuits, Tata Mcgrag Hill.
2. Neil H. E. Weste and K. Eshraghian, Principles of CMOS VLSI Design, 2nd Edition,
Addison Wesley (Indian reprint).
3. A. Bellamour, and M. I. Elmasri, Low Power VLSI CMOS Circuit Design, Kluwer
Academic Press, 1995.
4. Anantha P. Chandrakasan and Robert W. Brodersen, Low Power Digital CMOS
Design, Kluwer Academic Publishers, 1995.
5. Kaushik Roy and Sharat C. Prasad, Low-Power CMOS VLSI Design, Wiley-
Interscience, 2000.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

System Level Design & Modelling

Course Objectives:
1. To understand what is a model, types of models, purpose of models.
2. To understand the need for quantification and understand the limits of quantification.
3. To transform loose facts into an insightful model, to be used as input for requirements
discussions and system design and verification
4. To use scenario analysis as a means to cope with multiple alternative specifications and
or designs.
5. To apply problem-driven light-weight simulations and understand their value and
purpose in early design decisions.
6. To analyze dependability qualities, such as reliability, safety and security
7. To analyze the impact of changes; change and variation cases
8. To understand the value of rapid prototyping for: requirements, potential design
issues, modeling inputs.

Unit I System level design, description languages - SystemC, SDL, Spec Chart etc.
Hardware-software,
Unit II codesign- partitioning, interface synthesis, case studies. Application specific
processors,

Unit III Retargetable compilers, instruction set simulation and co-simulation.

Unit IV Architectural synthesis for DSP applications.

Unit V Formal Verification of digital hardware systems- BDD based approaches, functional
equivalence, finite state automata,-automata, FSM verification. Model checking.

Text Books
1. Gajski, Gupta and Vahid, Specifications and design of Embedded systems
2. Topics on formal verification to be covered using topics from literature.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours(L-T-P) : 3-0-0

Robotics and Automation

Course Objectives:

1. Students will identify the definition and historical impact of technology.


2. Students can understand the impact of robotics and automation within global,
economic, environmental and social needs.

Unit I Introduction: Definition, Classification of Robots, Geometric classification and control


classification.

Unit II Robot Elements: Drive systems, control systems, sensors, end effectors, Gripper
actuators and gripper design.

Unit III Robot Coordinate Systems and Manipulator Kinematics: Robot co-ordinate
system representation, transformations. Homogeneous transforms and its inverse, relating the
robot to its world, manipulators kinematics, parameters of links and joints, kinematic chains,
dynamics of kinematic chains, trajectory planning and control, advanced techniques of
kinematics and dynamics of mechanical systems, parallel actuated and closed loop
manipulators.

Unit IV Robot Control: Fundamental principles, classification, position, path and speed
control systems, adaptive. Robot Programming: Level of robot programming, language based
programming, task level programming, robot programming synthesis, robot programming for
foundry,
Unit V Press work and heat treatment, welding, machine tools, material handling, warehousing
assembly, etc., automatic storage and retrieval system, robot economics and safety, robot
integration with CAD/ CAM/CIM, collision free motion planning.

Text Books

1. Robotic Technology(Vol I-V) Phillipe Collet Prentice Hall.


2. An Introduction to Robot Technology Coiffet and Chirooza Kogan Page.
3. Robotics for Enginners Y.Koren MGH
4. Robotics K.S. Fu, R.C. Gonzalez & CSG Lee MGH
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0
Advanced Artificial Neural Networks
Course Objectives:

1. Understand and explain strengths and weaknesses of the neural-network algorithms


2. Determine under which circumstances neural networks are useful in real application
3. Distinguish between supervised and unsupervised learning and explain the key
principles of the corresponding algorithms
4. Efficiently and reliably implement the algorithms introduced in class on a computer,
interpret the results of computer simulations
5. Describe principles of more general optimization algorithms.
6. Write well-structured technical reports in English presenting and explaining analytical
calculations and numerical results
7. Communicate results and conclusions in a clear and logical fashion

Unit I Fundamentals: Introduction & Motivation, Biological Neural Networks and simple models,
The Artificial Neuron Model; Hopfield Nets; Energy Functions and Optimization; Neural Network
Learning Rules: Hebbian Learning Rule, Perceptron Learning Rule, Delta Learning Rule Widrow-Hoff
Rule, Correlation Learning Rule, Winner –Take-All Learning rule, Out Star Learning Rule, summary of
Learning rules.

Unit II Single layer perceptron classifiers: Classification model, features and decision regions,
discriminant functions, linear machine and minimum distance classification, nonparametric training
concept training and classification using the discrete perceptron: algorithm and example, single layer
continuous perceptron network for linearly separable classifications, multicategory

Unit III Multilayer feed forward networks: Linearly nonseparable pattern classification delta
learning rule for multiperceptron layer. Generalized Delta Learning rule. Feed forward Recall and Error
Back Propagation Training; Examples of Error Back-Propagation. Training errors: Learning Factors;
Initial weights, Cumulative Weight Adjustment versus Incremental Updating, steepness of activation
function, learning constant, momentum method, network architecture Versus Data Representation,
Necessary number of Hidden Neurons. application of Back propagation Networks in pattern recognition
& Image processing, Madaunes: Architecture & Algorithms.

Unit IV Single Layer Feedback Network: Basic concepts of dynamical systems, mathematical
foundation of discrete-time hop field networks, mathematical foundation of Gradient-Type Hopfield
networks, transient response of continuous time networks. example solution of optimization problems:
summing networks with digital outputs, minimization of the traveling salesman tour length, solving
simultaneous linear equations.
Unit V Associative Memories I: Basic concepts, linear associator basic concepts of recurrent auto
associative memory, retrieval algorithm, storage algorithm, storage algorithms performance
considerations, performance concepts of recurrent auto associative memory, energy function reduction
capacity of recurrent auto associative memory, memory convergence versus corruption, fixed point
concept, modified memory convergence towards fixed points, advantages and limitations.

Text Books
5. J.M.Zurada: Introduction to Artificial Neural Systems, Jaico Publishers
6. Dr. B. Yagananarayana, Artificial Neural Networks, PHI, New Delhi.
7. Kishan Mehrotra, Chelkuri K. Mohan, Sanjay Ranka: Elements of Artificial Neural
Networks, Penram International
8. Introduction Neural Networks Using MATLAB 6.0 - by S.N. Shivanandam, S.
Sumati, S. N. Deepa,1/e, TMH, New Delhi.
9. Fundamental of Neural Networks – By Laurene Faus
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P) : 4-0-0

Synthesis of Digital Systems

Course Objective:
This course addresses design of digital systems at the RT-level, the VHDL language, synthesis,
and FPGA technology. Focus is on writing efficient VHDL and on the relationship between
VHDL constructs and the corresponding synthesized hardware implementations. A sequence of
exercises supplements the lectures and provides hands on experience using VHDL and the
associated CAD tools.

Unit I Design Technologies: Motivation and perspectives Modelling Design languages and
graphic formalisms, Role of CAD in digital system design, levels of design, modelling &
description and support of languages, RTL, gate and system Level synthesis

Unit II High-level synthesis: Scheduling, Resource sharing, Data path and control synthesis

Unit III Logic synthesis: Algorithms and rule-based systems, Algebraic and Boolean methods,
Timing issues,

Unit IV Sequential synthesis and retiming: Semi custom libraries & library mapping:
Algorithms and rule-based systems, Structural and Boolean matching

Unit V Low power issues in high level synthesis and logic synthesis.

Text Books

1. G. D. Micheli. Synthesis and optimization of digital systems.


2. Dutt, N. D. and Gajski, D. D. High level synthesis, Kluwer, 2000.
3. T. H. Cormen, C. E. Leiserson and R. L. Rivest, “Introduction to Algorithms,”
McGraw-Hill, 1990.
4. N. Deo, Graph Theory, PH India.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0

VLSI System and Subsystem

Course Objectives:
To equip with an ability to apply knowledge of microelectronics devices & circuits.
2. An ability to design and conduct experiment related to VLSI
3. A knowledge and understanding of founding and fabrication processes
4. An ability to skillfully used design tools and learn different analog design based softwares.

Unit I System specification using HDL : types of HDLs, Fundamentals of VHDL and Verilog,
different type of modeling style.General VLSI Components

Unit II Arithmetic circuits in CMOS VLSI : Full adder, half adder, AOI logic, transmission
gate logic, CPL logic, mirror technique, 4-bit parallel adder, carry look ahead adder,
Manchester carry chain, high speed adder, multipliers, Array Multipliers.

Unit III Memories and Programmable logic: RAM, ROM, Row and column decoder, SRAM,
SRAM Operation, DRAM, read, write and hold operation in DRAM, PLA, Gate array logic.

Unit IV System level physical design: interconnect, floorplanning, routing, input output
circuits, power distribution and consumption

Unit V VLSI clocking and system design: Clock generation and distribution, clock
stabilization and generation, Timing circle and clock skew, clock routing.Reliability and testing
of VLSI circuits

Text Books:

1. VLSI Circuits and Systems by John P. Uyemura.


JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0

ADVANCED MICROPROCESSORS
Course Objectives:
The objective of this course is to give the students the ability to design, build and test a
microprocessor-based controller system. Students will learn how a microprocessor works, and
programming in assembly language. Other objectives of this course are to present fundamental
concept and their architecture, to make students aware of techniques of interfacing between
processor and peripheral devices.

UNIT I : 16/ 32 BIT MICROPROCESSOR Organization of 8086/ 8088 microprocessors -


Minimum maximum mode - Pipeline Architecture - Registers - Addressing modes - Memory
Registration - Memory Segmentation - Instruction set of 8086/ 8088 - Bus structure and timing
- exception handling, PIC Microcontroller.
UNIT II: ASSEMBLY LANGUAGE PROGRAMMING
Assembly language programming of 8086 microprocessor - Data transfer instruction -
Arithmetic instruction - Branch instructions - Loop instructions - NOP and HALT instructions
- Flag manipulation instructions - Logical instructions - Shift and rotate instructions - linking
and relocation - stacks procedure - Interrupts and interrupt routines - Macros - Byte and string
manipulations.

UNIT III: DIGITAL INTERFACING


Programming Parallel ports - Handshake input/output - interfacing a microprocessor to a
keyboard, interfacing to alphanumeric displays, interfacing a microcomputer to high power
devices, Optical motor shaft encoders - Sensors and Transducers - D/A converter operations,
interfacing & applications- A/D converter Specifications, types & interfacing, A 8086 based
process control system.
UNIT IV: MULTIPROCESSOR CONFIGURATIONS Queue status and lock facilities -
8086 / 8088 based multiprocessing system, 8087 numeric data processor, 8089 I/O processor,
Motorola and Texas Instruments.
UNIT V: SOFTWARE AND EXPANSION METHOD Queues- Tables and strings -
Program organization - State machines - timing consideration- UART ports - Input / Output
serial ports programmable controllers - Fuse programmable controllers.

Text Books
1. LIU.Y and GIBSON. G. A., “Microcomputer systems : The 8086/ 8088 family :
Architecture,
Programming and design”, Prentice Hall of India Pvt. Ltd, M.D. (1979)
2. HALL.D.V, “Microprocessor and Interfacing : Programming and hardware”, McGraw Hill
Book Company, New York, (1988)
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0
Architecture of Digital Signal Processors

Course Objectives:

The objective of this course is to give the students knowledge of designing digital signals
processors, the architecture of DSP processors and computational characteristics of DSP
algorithms. This course also includes the knowledge of Architecture of Texas Instruments
fixed-point and floating-point DSPs like TMS320C5x /C54x/C3x, Lucent Technologies’ DSP
16000 VLIW processors and recent processors.
Unit I: Computational characteristics of DSP algorithms and applications; their influence on
defining a generic instruction-set architecture for DSPs.

Unit II: Architectural requirement of DSPs: high throughput, low cost, low power, small code
size, embedded applications. Techniques for enhancing computational throughput: parallelism
and pipelining.

Unit III: Data-path of DSPs: multiple on-chip memories and buses, dedicated address
generator units, specialized processing units (hardware multiplier, ALU, shifter) and on-chip
peripherals for communication and control. Control-unit of DSPs: pipelined instruction
execution, specialized hardware for zero-overhead looping, interrupts.

Unit IV: Architecture of Texas Instruments fixed-point and floating-point DSPs: brief
description of TMS320C5x /C54x/C3x and recent DSPs; Programmer‟s model. Architecture of
Analog Devices fixed-point and floating-point DSPs: brief description of ADSP 218x / 2106x
and recent DSPs; Programmer‟s model;

Unit V: Advanced DSPs: TI‟s TMS 320C6x, ADI‟s Tiger-SHARC, Lucent Technologies‟ DSP
16000 VLIW processors. Applications: a few case studies of application of DSPs in
communication and multimedia.

Texts/References:

1. P. Pirsch: Architectures for Digital Signal Processing; John Wiley.


2. R. J. Higgins: Digital Signal Processing in VLSI; Prentice-Hall.
3. Texas Instruments TMSC5x, C54x and C6x Users Manuals.
4. Analog Devices ADSP 2100-family and 2106x-family Users Manuals.
5. K. Parhi: VLSI Digital Signal Processing Systems; John Wiley.
6. K. Parhi and T. Nishitani: Digital Signal Processing for Multimedia Systems; Marcel
Dekker.
7. IEEE Signal Processing Magazine: Oct 88, Jan 89, July 97, Jan 98, March 98 and
March 2000.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0

EMBEDDED HARDWARE
Course Objective:
To teach students all aspects of the design and development of an embedded system,
including hardware and embedded software development. The course utilizes and applies the
skills and knowledge students have gained throughout their prior undergraduate curriculum.
Individual (rather than team) lab assignments ensure that each student is able to apply
engineering theory to real world designs.

Unit I: EMBEDDED HARDWARE


Concept – Memory, DMA, Block diagram of embedded computer, Register, Stack,
Firmware, Schematic – component with net – Power sources – Regulator – LM78xx
Regulator, Max602/603 Regulator, Max1615 Regulator, Max724 Regulator - battery, low
power design.
Unit II: BUILDING HARDWARE
Tools – Development kit – measurement tools – Construction tools – Soldering –
soldering surface mount component using rework station – quick construction – Bread
boarding - wire wrapping - PCB – JTAG.
Unit III: ADDING PERIPHERALS AND SERIAL PORT
Adding peripherals using SPI, Serial peripheral interface - adding peripherals using I2C –
overview of I2C - adding real time clock with I2C – adding small display with I2C –
Serial port – UART – RS232 – RS422 – RS485 – IrDA – USB – device classes – device
packet – physical interface – Implementing USB interface.
Unit IV: ANALOG AND DIGITAL CONVERSION
Amplifier – ADC – Interfacing an external ADC – Temperature sensor – Light sensor –
Accelerometer – Pressure sensor – Magnetic field sensor – DAC – PWM – Motor control
– Switching big loads – MC33298.
Unit V: EMBEDDED APPLICATIONS
Motor control with PIC – PIC based environment data logger – AVR based data logger –
simple 68HC11 based computer – MAXQ overview – Simple 68000 based computer –
DSP 56805 based computer.

Text Books
1. John Catsoulis, Designing Embedded Hardware, O'Reilly Publisher, 2nd Edition,
2005.
2. Jean J. Labrosse, Embedded Systems Building Blocks: Complete and Ready-To-
Use Modules in C, CMP Books, 2005.
References
1. Ball S. R, Embedded microprocessor Systems – Real World Design, Prentice Hall, 2001.
2. Daniel W. Lewis, Fundamentals of Embedded Software where C and Assembly meet, PHI,
2002

JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0
DATA COMPRESSION TECHNIQUES

Course Objectives:
1. Understand the two major compression techniques, their merits and demerits.
2. Discuss important issues in data compression.
3. Estimate the effect and efficiency of a data compression algorithm.
4. Use lossless and lossy applications to compress data/multimedia.
5. Learn how to design and implement compression algorithms.

Unit I:COMPRESSION FEATURES


Special features of multimedia – graphics and image data representations – fundamental
concepts in video and digital audio – storage requirements for multimedia applications - need
for compression - taxonomy of compression techniques – overview of source coding,
source models, scalar and vector quantization theory – evaluation techniques – error analysis
and methodologies.

Unit II TEXT COMPRESSION


Compaction techniques – huffmann coding, adaptive huffmann coding, arithmetic coding,
shannon-fano coding, dictionary techniques, Lempel-Ziv-Welch family algorithms .
Unit III: AUDIO COMPRESSION
Audio compression techniques- µ- law and a- law companding. frequency domain and
filtering – basic sub-band coding – application to speech coding – G.722 – Application to
audio coding – moving picture expert group audio, progressive encoding for audio –
silence compression, speech compression techniques – format and CELP Vocoders.
Unit IV: IMAGE COMPRESSION
Predictive techniques – delta modulation, pulse code modulation, differential pulse code
modulation - optimal predictors and optimal quantization – contour based compression –
transform coding – joint photographic expert group standard – sub-band coding
algorithms - design of filter banks – wavelet based compression - implementation using
filters – embedded zerotree wavelet, set partitioning in hierarchical trees coders – joint
photographic expert group 2000 standards - JBIG, JBIG2 standards.
Unit V: VIDEO COMPRESSION
Video compression techniques and standards – moving picture expert group video coding I
moving picture expert group – 1 and 2 – moving picture expert group video coding II – moving
picture expert group – 4 and 7 – motion estimation and compensation techniques– H.261
Standard, digital visual interface technology – production level video performance –
digital visual interface real time compression, packet video.
Text Books
1. Peter Symes, Digital Video Compression, McGraw Hill Pub., 2004.
2. Mark S. Drew, Ze-Nian Li, Fundamentals of Multimedia, PHI, 1st Edition, 2003.
3. Khalid Sayood, Introduction to Data Compression, Morgan Kauffman Harcourt
India, 2nd Edition, 2000.
4. David Salomon, Data Compression – The Complete Reference, Springer Verlag
New York Inc., 2nd Edition, 2001.
5. Yun Q.Shi, Huifang Sun, Image and Video Compression for Multimedia Engineering
- Fundamentals, Algorithms & Standards, CRC press, 2003.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0
ADVANCED DIGITAL SIGNAL PROCESSING
Course Objective:
The goal of advanced digital signal processing course is to provide a comprehensive
coverage of signal processing methods and tools, including leading algorithms for various
applications.
Unit I: DIGITAL SIGNAL PROCESSING
Digital signal processing - sampling of analog signals, selection of sample frequency,
signal-processing systems, frequency response, transfer functions, signal flow graphs,
filter structures, adaptive digital signal processing algorithms, discrete fourier transform - the
discrete fourier transform, fast fourier transform - fast fourier transform algorithm, image
coding, discrete cosine transforms.
Unit II: DIGITAL FILTERS AND FINITE WORD LENGTH EFFECTS
Finite impulse response filters – finite impulse response filter structures, finite impulse
response chips, infinite impulse response filters, specifications of infinite impulse
response filters, mapping of analog transfer functions, mapping of analog filter structures.
Unit III: MULTIRATE DSP
Decimation by a factor D, interpolation by a factor i, filter design and implementation for
sampling rate conversion, multistage implementation of sampling rate conversion -
sampling rate conversion by an arbitrary factor – applications of multirate signal
processing digital filter banks - quadrature mirror filter bank.
Unit IV: DSP PROCESSORS AND DSP APPLICATIONS
General purpose Digital Signal Processors: Texas Instruments TMS320 family
Motorola DSP 56333 family – analog devices ADSP 2100 family – Instruction set of
TMS320C50 – simple programs. FFT Spectrum Analyser – musical sound processing.
Power System Applications, Image Processing Applications.
Unit V: ARITHMETIC UNITS AND INTEGRATED CIRCUIT DESIGN
Conventional number system, redundant number system, residue number system - bit-
parallel and bit-serial arithmetic, basic shift accumulator, reducing the memory size,
complex multipliers, improved shift - accumulator - layout of very large scale integrated
circuits, fast fourier transform processor, discrete cosine transform processor and
interpolator as case studies.

Text Book
1. Monson H. Hayes, Statistical Digital Signal Processing and modeling, John Wiley
and sons, 2003.
2. Sajit K. Mitra, „Digital Signal Processing – A Computer Based Approach‟, Tata
McGraw Hill Publishing Company Ltd., New Delhi, 1998
3. John G. Proakis and Dimitris G. Manolakis, „Digital Signal Processing,
Algorithms and Applications‟. PHI, New Delhi, 1995
4. Lars Wanhammer, DSP Integrated Circuits, Academic press, New York, 2002.
5. Oppenheim. A. V, Discrete-time Signal Processing Pearson education, 2000.
6. Emmanuel C. Ifeachor, Barrie W. Jervis, Digital signal processing – A
practical approach, 2nd edition, Pearson edition, Asia.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

Advanced Digital System Design

Course Objectives:
1. To introduce methods to analyze and design synchronous and asynchronous sequential
circuits
2. To introduce variable entered maps and techniques to simplify the Boolean expressions
using these maps.
3. To explain the design procedures for developing complex system controllers using
digital ICs.
4. Become familiar with digital system concept and designing steps/methods.
5. Understand and design sequential digital circuits with various aspects.
6. Learn advanced methods for digital system design.
7. Understand designing using VHDL in digital systems.
8. Study various case studies in digital system design

Unit I: Sequential circuit design: Analysis of Clocked Synchronous Sequential Networks


(CSSN) modelling of CSSN, state stable assignment and reduction, Design of CSSN, design of
Iterative Circuits, ASM Chart , ASM Realization, Design of Arithmetic circuits for Fast adder,
array Multiplier.

Unit II: Asynchronous sequential circuit design: Analysis of Asynchronous Sequential


Circuit (ASC) Flow Table Reduction, Races in ASC, state assignment problem and the
transition table design of ASC, static and dynamic hazards, essential hazards, data
synchronizers, designing vending machine controller, mixed operating mode asynchronous
circuits.

Unit III: Fault diagnosis and testability algorithms: fault table ,method, path sensitization
method, boolean difference method, Kohavi algorithm, tolerance techniques, The Compact
Algorithm, practical PLAs, fault in PLA, test generation, masking cycle , DFT Schemes, built-
in self Test.

Unit IV: Synchronous design using programmable devices: Programming techniques, Re-
programmable devices architecture, function blocks, I/O blocks, interconnects, realize
combinational, arithmetic, Sequential circuit with programmable array logic; architecture and
application of Field Programmable Logic Array.
Unit V: New generation programmable logic devices: Foldback architecture with GAL,
EPLD, EPLA, PEEL, PML; PROM – Realization State machine using PLD , FPGA , Xilinx
FPGA , Xilinx 2000 , Xilinx 3000.

Text Books:
1. Donald G. Givone, “Digital principles and Design”, Tata McGraw Hill 2002.
2. Stephen Brown and Zvonk Vranesic, “Fundamentals of Digital Logic with VHDL
Deisgn”, Tata McGraw Hill, 2002.
3. Mark Zwolinski, “Digital System Design with VHDL”, Pearson Education, 2004.
4. Parag K Lala, “Digital System design using PLD”, BS Publications, 2003. 5. John M
Yarbrough, “Digital Logic applications and Design”, Thomson Learning, 2001.
5. Nripendra N Biswas, “Logic Design Theory”, Prentice Hall of India, 2001. 7. Charles
H. Roth Jr., “Fundamentals of Logic design”, Thomson Learning, 2004.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours(L-T-P) : 3-0-0
SOFT COMPUTING

Course Objectives:
1. Soft computing refers to principle components like fuzzy logic, neural networks and
genetic algorithm, which have their roots in Artificial Intelligence.
2. Healthy integration of all these techniques has resulted in extending the capabilities of
the technologies to more effective and efficient problem solving methodologies
3. Identify and describe soft computing techniques and their roles in building intelligent
machines.
4. Recognize the feasibility of applying a soft computing methodology for a particular
problem.
5. Apply logic and reasoning to handle uncertainty and solve engineering problems.
6. Apply genetic algorithms to combinatorial optimization problems.
7. Apply neural networks to pattern classification and regression problems effectively use
existing software tools to solve real problems using a soft computing approach.
8. Evaluate and compare solutions by various soft computing approaches for a given
problem.

Unit I: ARTIFICIAL INTELLIGENCE (AI)


Intelligent search – Predicate Calculus – Learning Systems - Knowledge Representation
and Reasoning – Semantic Networks – Frames - Knowledge Acquisition - Expert
Systems - Intelligent Control.
Unit II: ARTIFICIAL NEURAL NETWORKS (ANN) Biological Neural Networks -
Artificial Neural Networks - Topology of ANN – Learning rules – Supervised,
Unsupervised, and Reinforcement Learning – Single Layer and Multilayer Perceptrons -
Feed forward neural networks-The Back-propagation Training Algorithm - Binary and
Continuous Hopfield Network - Associative Memory – Self Organizing Maps.

Unit III: FUZZY SYSTEMS: Classical Set – Fuzzy Set – Linguistic Variables Membership
Functions - Fuzzy relations – Fuzzy rules and Reasoning – Fuzzy Inference Systems-
Defuzzification methods – Mamdani, Sugeno and Tsukamoto Fuzzy models – Fuzzy
Decision Making – Fuzzy logic control.

Unit IV: GENETIC ALGORITHMS (GA): Survival of Fittest – GA Terminologies


Working Principle of Binary GA – Genetic Operators – Reproduction, Cross over and
Mutation – Similarities and Differences with traditional methods – Schema and
Schemata – GA theorem – Real Coded GA - Advantages and Limitations of GA –
Applications.
Unit V: CASE STUDIES/APPLICATIONS: Case studies in neural networks -
Applications of fuzzy logic control - Hybrid system- Neuro fuzzy system-ANFIS
applications.
Text Book:
1. J.S.R. Jang., et al., “Neuro-Fuzzy and Soft Computing: A Computational Approach
to Learning and Machine Intelligence”, PHI, 2010.
2. Amit Konar, “Artificial Intelligence and Soft Computing: Behavioral and Cognitive
modeling of the Human Brain”, CRC Press, 2008.
3. Simon Haykin, “Neural Networks and Learning Machines”, 3rd Edition, Pearson,
rd
2009.
4. Timothy J. Ross, “Fuzzy Logic with Engineering Applications”, 3 Edition, Wiley,
2010.
5. Kalyanmoy Deb, “Multi-Objective Optimization Using Evolutionary Algorithms”, 3rd
Edition, Wiley, 2010.
6. David E. Goldberg, “Genetic Algorithms in Search, Optimization and Machine
Learning”, Pearson, 2009.
7. N.P.Padhy, “Artificial Intelligence and Intelligent Systems”, Oxford University Press,
2008.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0
ADVANCED COMPUTER ARCHITECTURE

Course Objectives:
1. Understand the advanced concept of computer architecture.
2. Understand the concept of complete system consisting of asynchronous interactions
between concurrently executing hardware components & device driver software in
order to illustrate the behaviour of a computer system as a whole.

Unit I: UNIPROCESSOR AND PARALLEL COMPUTER STRUCTURE


Basic Uniprocessor Architecture - Parallel processing Mechanisms- Balancing of Subsystem
band width- Parallel Computer structure- Architectural classifications- Parallel processing
applications.
Unit II:PARALLEL COMPUTER MODELS AND PERFORMANCE
Parallel Computer Models - Multi processing and Multi computers- Multi vector and
SIMD Computers - PRAM and VLSI Models. Principles of Scalable Performance -
Performance Metrics and measures- Speedup. Performance laws- Scalability Analysis
and Approaches.

Unit III: MEMORY Processors and memory Hierarchy- Advanced Processor


Technology-Super scalar and Vector processors- Memory hierarchy technology- Virtual
memory Technology. Bus- cache- and shared memory- Backplane Bus Structure-
Cache memory organizations- Shared memory organizations.

Unit IV: DESIGN AND PIPELINING: Pipelining and super scalar techniques-
Linear pipe line Processors- Non linear pipeline processors- Instruction pipeline design-
Arithmetic pipeline design- Super scalar and super pipeline design.

Unit V:MULTIPROCESSOR AND COMPOUND VECTOR PROCESSING: Multi


processors and Multi computers- Multi processor system interconnects- Cache coherence and
Synchronization Mechanism- Message passing mechanisms. Multi vector and SIMD
Computers- Vector processing principles- Compound vector processing- SIMD Computer
organizations.

Text Books
1. A Kai Hwang, Advanced Computer Architecture - Kai Hwang, McGraw-Hill, Inc - 1987
2. Kai Hwang and Faye A. Briggs Computer Architecture and Parallel Processing, McGraw -
Hill(1989.)
3. Rafiquzzaman, and Chandra -Modern computer Architecture-West Publishing Company,
1989
4. Morris Mano -Computer system Organization- Prentice Hall of India, 3rd ed,(1993.)
5. Andrew s. Tannenbaum -Computer Organization -Prentice (1991)
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

DESIGN OF DIGITAL CONTROL SYSTEM


Course Objectives:

1. Knowledge about principles and techniques of A/D and D/A conversions and basics of
Z-transforms.
2. Knowledge in stability analysis of digital control systems.
3. Knowledge about the design of digital control system for different engineering model.

UNIT I - Sampling And Reconstruction- Transform Analysis Of Sample Data Systems

Effects of sampling- Sampled data control systems- A/D & D/A conversion- Fourier transform-
z-transform- Pulse transform function- Stability analysis- Time and frequency response.
UNIT II - DESIGN USING TRANSFORM AND STATE SPACE TECHNIQUES
Digital PID controller- Multivariable controller- Discrete time state equation- Cayley-
Hamilton
theorem- Concepts of controllability and observability- Lyapunav stability analysis.

UNIT III - SELF TUNING CONTROL


Introduction- Principle of least square- Recursive least square algorithm- minimum- Variable
prediction- Minimum-Variance control- Self-tuning regulators.

UNIT IV - MICROPROCEESOR BASED CONTROL & ASYNCHRONOUS SERIAL


COMMUNICATION
General description of microcontrollers- Digital quantization- Position control- Process model-
Control algorithm- hard ware mechanization- System software. Asynchronous serial
communication - RS 232 - RS 485 - Sending and receiving data - Serial ports on PC - Low
level PC serial I/O module - Buffered serial I/O.
UNIT V- STEPPING MOTORS AND THEIR INTERFACING TO
MICROPROCESSOR
Introduction- Constructional & operational features of stepping motors-important parameters
of stepping motors-Stepping motor drive circuits-Interfacing to microprocessor.
Text Books
1. GOPAL M , “ Digital Control Engineering “, New age international .1996
2. Digital Control Systems: B.C.Kuo.(Holt - saunders Japan Ltd.)-1991
References
1. K. Ogata , “Discrete Time control systems”, PHI 1987
2. Forsytheand W.Goodall R.N. “Digital Control” Mc Millan, 1991
3. Design control system design : Samita, Stubberud Hostelier(Hercourt brace college
publisher)- 1988.

JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0
CRYPTOGRAPHY AND NETWORK SECURITY
Course Objectives:
1. To provide an introduction to the fundamental principles of cryptography and its
applications on the network security domain.
2. To study various approaches to encryption techniques, strengths of traffic
confidentiality, message authentication codes.
3. To illustrate how network security and management mechanism employ cryptography
to prevent, detect, and mitigate security threats against the network.

UNIT I: SECURITY PROBLEM


Security problem in computing - Characteristics of computers in intrusion- Kinds of
security breaches - Points of security vulnerability - Methods of defence - Controls -
Effectiveness of controls- Plan of attack encryption.
UNIT II: CRYPTOGRAPHY
Basic encryption and decryption - Mono alphabetic ciphers- Polyphabetic substitution-
Transpositions - Fractional morse - Stream and block ciphers- Characteristics of good ciphers-
Secure encryption systems - Public key system- Single key system - Data encryption
standard- Rivest - Shamir - Adelman (RSA) encryption.
UNIT III: ROLE OF OPERATING SYSTEM
Security involving programs and operating systems- Information access problems- program
development controls - Operating system controls - Operating system control in use of
programs administration control -Protection services for users operating system- Protected
objects and method of protection - File protection mechanism - User authentication.
UNIT IV: DATABASE AND NETWORK SECURITY
Database network security - Security requirements for data base - Reliability and integrity -
sensitive data - Interference problem - Multilevel data base - Network security issues-
Encryption in networking - Access control - User authentication - Local area networks-
multilevel security of network.
UNIT V: COMMUNICATION AND SYSTEM SECURITY
Communication and system security - Communication characteristics - Communication media-
loss of integrity - Wire tapping - electronics mail security - IP security – WEB security -
intruders - Viruses - Worms firewalls – Standards
Text Books
1. William stalling Cryptography and networks security principles and practice, PHI,1998
2. Charles, P Pleeger, Security in computing PHI, 1989
References
1. Hans, Information and communication security, springer verlag, 1998
2. Simonds, Network security, McGraw Hill, 1998
3. Derek Atkins Internet Security , Techmedia, 1998
4. Kernal Texplan, Communication Network Management, PHI, 1992
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0
DATA COMMUNICATION AND COMPUTER NETWORKS
Course Objectives:
1. Students can understand about the hardware & software commonly used in data
communication and networking
2. They can gain knowledge about seven layers of the OSI reference model
3. Students can compare and contrast WAN and LAN protocols & topologies and their
applications.
4. Introduction of an advanced element of learning in the field of wireless communication.
5. To introduce wireless communication and networking principles, that support the
connectivity to cellular networks, wireless networks and sensor devices.
6. To understand the use of transaction and e-commerce principles over such devices to
support mobile business concepts.
Unit I: Introduction
Signal transmission: Transmission media, signal transmission in channels: Attenuation,
distortion and noise source, signal types, signal propagation delay, physical layer interface
standards.
Unit II: Data Transmission
Data transmission basics, Binary transmission: Parallel transmission, serial transmission,
asynchronous transmission, synchronous transmission, transmission control
circuits, communication control devices, Error detection and correction methods: Parity,
block check sum, CRC error detection schemes for burst errors, Data Compression: Packed
decimal, relative encoding, character suppression, Huffman coding, Facsimile compression.
Unit III: Local Area Network
LAN topologies, LAN access techniques, bridges, routers.
Unit IV: Wide Area Network
Circuit switching, packet switching, frame relay, ISDN fundamentals.
Unit V: UPPER LAYER PROTOCOLS
OSI Model, TCP/IP protocols suite, Internet protocol, routing, IP V6, ICMP V6, Transport
protocol and application protocols.
Text Books
1. F.Halsal, “Data Communications, Computer Networks and Open Systems”, Addison -
Wesley
Publication, Third edition, 1994
2. William Stallings, “Data and Computer Communications”, 5th Edition, Prentice Hall of
India, New Delhi, 1997
References
1. Jean Walrand, “Communication Networks a first course”, 2nd Edition, McGraw Hill, 1998
2. Lewis Mackenzie, “Communication and Networks”, The McGraw Hill Companies ,1998
3. Andrew.S.Tanenbaum, “Computer Networks”, Prentice Hall of India, 1977
4. Gerd E Keiser “Local Area Networks”, McGraw Hill International Edition

JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

MOBILE COMPUTING

Course objectives:
1. Introduction of an advanced element of learning in the field of wireless communication.
2. To introduce wireless communication and networking principles, that support the
connectivity to cellular networks, wireless networks and sensor devices.
3. To understand the use of transaction and e-commerce principles over such devices to
support mobile business concepts.

Unit I: Introduction
Introduction to mobile computing - Wireless transmission: propogation, modulation
multiplexing, switching, spread spectrum and error control coding.
Unit II: Wireless Lan
Medium access control and physical layer specifications - IEEE 802.11 - HIPERLAN –
Bluetooth.
Unit III: Wireless Networks
Satellite systems - Cellular networks - Cordless systems - Wireless in local loop - IEEE
802.16.
Unit IV: Mobile Tcp/Ip And Wap
TCP/IP protocol suite - mobile IP - DHCP - Mobile transport layer - Wireless application
protocol.
Unit V: Mobile Ad-Hoc Networks
Characteristics - Performance issues - Routing algorithms: proactive and reactive - DSDV,
AODV, DSR and Hierarchical algorithms.

Text Books
1. J.Schiller, Mobile communications, Addison Wesley, 2000
2. William Stallings,Wireless Communications and Networks, Pearson Education , 2002
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

DIGITAL IMAGE PROCESSING


Course Objectives:
1. Cover the basic theory and algorithms that are widely used in digital image processing.
2. Expose students to current technologies and issues that are specific to image
processing.
3. Develop hands-on experience in using computers to process images.
4. Develop critical thinking about shortcomings of the state of the art in image processing.
Unit I: FUNDAMENTALS OF IMAGE PROCESSING
Introduction – fundamental steps in digital image processing – image sensing and
acquisition – sampling and quantization – pixel relationships – color fundamentals and
models, file formats, image operations – arithmetic, geometric and morphological -
sampling and quantization.

Unit II: IMAGE ENHANCEMENT


Spatial domain - gray level transformations – histogram processing – basics of spatial
filtering – smoothing and sharpening spatial filters - frequency domain - filtering in
frequency domain – discrete fourier transform, fast fourier transform – smoothing and
sharpening filters – homomorphic filtering.

Unit III: IMAGE SEGMENTATION AND FEATURE ANALYSIS


Detection of discontinuities– edge operators– edge linking and boundary detection –
threshold– region based segmentation – morphological watersheds– motion
segmentation, feature analysis and extraction – spatial techniques.

Unit IV: MULTI RESOLUTION ANALYSIS AND COMPRESSIONS


Multi resolution analysis: image pyramids – multi resolution expansion – wavelet
transforms in one dimension - image compression: fundamentals – models – elements of
information theory – error free compression – lossy compression – image compression
standards

Unit V: APPLICATIONS OF IMAGE PROCESSING


Image classification – image recognition – image understanding – video motion analysis–
image fusion – steganography – digital compositing – mosaics – color image
processing – string matching – syntactic recognition of strings.
Text Book
1. Jain. K, Fundamentals of Digital Image Processing, Pearson Education, 2003.
References
1. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, 2nd edition,
Pearson Education, 2003.
2. Milan Sonka et.al Image Processing, Analysis and Machine Vision, 2nd edition,
Thomson Learning, 2001.
JECRC University
Faculty of Engineering & Technology Hours: 36
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 3-0-0

Nanotechnology
Course Objectives:
This course will introduce the basic concepts of nanotechnology to Engineers . This course
covets the unique opportunities provided by the nano-scale and focuses on the engineering
issues of fabricating and applying structures designed to take advantage of these opportunities.
The course begins with defining nanotechnology and nanofabrication. It then moves to the
unique features available in nano-scale structures such as large surface-to-volume ratios,
quantum size effects, unique chemical bonding opportunities, dominance of physical optics,
surface control of reactions and transport, and the creation of structures on the same size scale
as basic features in living cells. With this understanding of the uniqueness of the nano-scale,
the course progresses into the fabrication methods used in nanotechnology and then into
nanostructure applications. The various nanofabrication approaches found in top-down,
bottom-up, and hybrid fabrication approaches are explained and discussed in the lecture
format. The principles behind the application of structures fabricated at the nano-scale are
then addressed in more depth.

Unit I: Atomic structure


Basic crystallography, Crystals and their imperfections, Diffusion, Nucleation and
crystallization, Metals, Semiconductors and Insulators, Phase transformations , Ceramic
materials.
Unit II: Physical Properties of Materials
Electrical and Thermal properties, Optical properties of materials, Magnetic properties of
materials, Density of states, Coulomb blockade, Kondo effect, Hall effect,Quantum Hall Effect.
Unit III: Nanostructures
Introduction to Nanotechnology, Zero dimensional nanostructures - Nano particles, One
dimensional nanostructures - Nano wires and Nano rods.
Unit IV: Two dimensional nanostructures - Films, Special nano materials, Nano stuctures
fabricated by Physical Techniques, Properties of Nano-materials, Applications of Nano
structures, Basics of Nano-Electronics.
Unit V: Characterization of Nanomaterials
SPM Techniques - Scanning Tunneling Microscopy, Atomic Force Microscopy, Magnetic
Force Microscopy, Electron Microscopy - Scanning Electron Microscope, Transmission
Electron Microscope.

Text Books

1.Introduction to solid state Physics: C.Kittel


2. Introduction to theory of solids: H.M. Roenberg
3. Physics and Chemistry of materials: Joel I. Gersten
4.Handbook of Nanotechnology: Bharat Bhushan(springer)
JECRC University
Faculty of Engineering & Technology
M.Tech in VLSI & Embedded System Semester III Hours: 48
Contact Hours (L-T-P): 4-0-0

EMBEDDED NETWORKS AND PROTOCOLS

Course Objectives:
1. The objective of this course is to introduce CAN, Concepts of bus access and
arbitration, Error processing and management.
2. Familiarization of Ethernet basics with embedded Ethernet exchanging messages using
UDP and TCP.
3. To give brief overview of various Industrial Networking Protocol like LIN – local
interconnect network, IEEE 1394 etc.
4. To introduce Radio-frequency communication, their internal and external Remote
control of opening parts, PKE (passive keyless entry) and passive go, TPMS (tyre
pressure monitoring systems).
To study various Wireless networks like GSM, Bluetooth, IEEE 802.11x, NFC (near-field
communication).

Unit I INTRODUCTION TO CAN: The CAN bus - General - Concepts of bus access and
arbitration - Error processing and management - From concept to reality -Patents,
licenses and certification - CAN protocol: „ISO 11898-1‟-Content of the different
ISO/OSI layers of the CAN bus- Compatibility of CAN 2.0A and CAN 2.0B.

Unit II ETHERNET BASICS Elements of a network – Inside Ethernet – Building a Network:


Hardware options – Cables, Connections and network speed – Design choices: Selecting
components – Ethernet Controllers – Using the internet in local and internet communications –
Inside the Internet protocol.

Unit III EMBEDDED ETHERNET Exchanging messages using UDP and TCP- Serving web
pages with Dynamic Data – Serving web pages that respond to user Input -Email for Embedded
Systems – Using FTP – Keeping Devices and Network secure.

Unit IV INDUSTRIAL NETWORKING PROTOCOL LIN – Local Interconnect Network


- Basic concept of the LIN 2.0 protocol - Fail-safe SBC – Gateways - Managing the
application layers - Safe-by-Wire - Safe-by-Wire Plus - Audio-video buses - I2C Bus - D2B
(Domestic digital) bus - MOST (Media oriented systems transport) bus - IEEE 1394 bus or
„FireWire‟- profi bus.

Unit V RF COMMUNICATION Radio-frequency communication: internal and external -


Remote control of opening parts - PKE (passive keyless entry) and passive go- TPMS (tyre
pressure monitoring systems) - Wireless networks- GSM-Bluetooth - IEEE 802.11x - NFC
(near-field communication).
Text Books:
1. Dominique Paret , “Multiplexed Networks for Embedded Systems- CAN, LIN,
Flexray, Safe-by-Wire...” John Wiley & Sons Ltd- 2007.
2. Jan Axelson „Embedded Ethernet and Internet Complete‟, Penram publications
3. Glaf P.Feiffer, Andrew Ayre and Christian Keyold, “Embedded networking with
CAN and CAN open”. Embedded System Academy 2005.
4. Gregory J. Pottie, William J. Kaiser “Principles of Embedded Networked Systems
Design”, Cambridge University Press, Second Edition, 2005.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0

MIXED SIGNAL EMBEDDED SYSTEMS

Course Objectives:
This course includes the knowledge to students different circuits like- analog circuits, mixed
signal circuits. It includes knowledge of MOSFET, OPAMP, CMOS amplifier and their
different applications. This course also includes the knowledge of ADC, DAC, Phase locked
loop and switched capacitor and their applications. In the last unit it gives knowledge of
embedded phase locked loop test and synthesizer.

Unit I: ANALOG AND MIXED SIGNAL CIRCUITS Design and verification –


applications challenges - market perspective - analog complementary metal oxide
semiconductor circuits - current mirrors - current and voltage references - bandgap references.

Unit II: CMOS AMPLIFIERS Opamps - high performance complementary metal oxide
semiconductor amplifiers – comparators – characterization - two stage open loop
comparators - discrete time comparators - high-speed comparators.

Unit III: SWITCHED CAPACITOR CIRCUITS Switched capacitor (SC) introduction -


offset cancellation - clock feed - through - switched capacitor amplifiers - switched
capacitor integrators - switched capacitor filters.

Unit IV: DAC AND ADC Introduction - Nyqusist rate converters – over sampling
converters - pipelined/parallel converters - high speed analog to digital converter design,
high speed digital to analog converter design and mixed signal design for radar
application - analog to digital converter and digital to analog converter modules used for
LIGO.
Unit V: PHASE LOCKED LOOP Frequency synthesizers - design of phase locked loop and
frequency synthesizers – phase locked loop with voltage driven oscillator – phase locked
loop with current driven oscillator – embedded phase locked loop test - phase locked loop
synthesizer oscillator by MC14046B.

Text Books
1. Allen, CMOS Analog Circuit Design, Oxford, 2005.
2. Behzad Razavi, Design of Analog CMOS integrated circuit, Tata McGraw Hill,
2004.
References
1. Breems, Continuous-Time Sigma Delta Modulations for A/D Conversion,.
Kluwer, 2002.
2. Michelle Steyaert, Analog Circuit Design, Kluwer, 2003.
3. Gray and Meyer, Analysis and Design of Analog Integrated Circuits, Wiley, 2004.
4. Baker, CMOS Mixed-Signal Circuit Design, Wiley, 2004.
JECRC University
Faculty of Engineering & Technology Hours: 48
M.Tech. in VLSI & Embedded System Semester III
Contact Hours (L-T-P): 4-0-0
MULTIPROCESSOR SYSTEMS-ON-CHIPS
Course Objectives:
This course includes knowledge of system on chip (SOP), challenges in the future, networking
on chip (communication using chips) i.e. transmission on chip, architecture of embedded
microprocessor, pipelining techniques, performance analysis and modeling of MPSOC
architecture and RTOS for MPSOC. This course also includes different applications of
MPSOC like Memory Wrapper Generation etc.

Unit I: FUNDAMENTALS OF MPSoC


Introduction to SoC - MPSoCs - Challenges - Design Methodologies - Hardware
Architectures - Software - Energy-Aware Processor Design - Energy-Aware Memory
System Design - Energy-Aware On-Chip Communication System Design - Energy-
Aware Software.
Unit II: NETWORKS ON CHIP
Technology Trends - Signal Transmission on Chip - Micro network Architecture and
Control - Software Layers - Architecture of Embedded Microprocessors – Embedded
Versus High-Performance Processors A Common Foundation - Pipelining Techniques -
Survey of General-purpose 32-bit Embedded Microprocessors - Virtual Simple
Architecture (VISA): Integrating Non-Determinism Without Undermining Safety.
Unit III: PERFORMANCE MODELING AND ANALYSIS FOR MPSoC DESIGN The
Limitations of Traditional ASIC Design - Extensible Processors as an Alternative to RTL -
Toward Multiple - Processor SoCs - Processors and Disruptive Technology - Complex
Heterogeneous Architectures - Design Challenges - State of the Practice - Chapter
Objectives - Structuring Performance Analysis - Architecture Component Performance
Modeling and Analysis - Process Execution Modeling - Modeling Shared Resources -
Global Performance Analysis.
Unit IV: ARCHITECTURES AND RTOS FOR MPSoC On-Chip Communication
Architectures - System-Level Analysis for Designing Communication Architectures – Design
Space Exploration for Customizing Communication Architectures – Adaptive Communication
Architectures– Communication Architectures for Energy/Battery Efficient Systems - Platform
Architecture - Tasks - Basics of Scheduling - Basic System Model – Uni-processor
Systems - Multiprocessor Systems.
Unit V: APPLICATIONS BASED DESIGN FOR MPSoC ASIC to System and
Network on Chip - Basics for MPSoC Design Models for Component Abstraction
Component - Based Design Environment Memory Wrapper Generation - Component-
Based Design of a VDSL Application.
Text Book
1. Wayne Wolf, “Multiprocessor Systems-on-Chips”, Morgan Kaufmann Publishers,
2005.
Reference
1. Joseph A. Fisher, Paolo Faraboschi and Cliff Young, “Embedded Computing”
Morgan Kaufmann Publishers, 2005.
Dissertation
Subject Objectives:

The objective of this subject is to provide exposure to the current technology by devoting 1year
for project in the interest area of students according to current research areas in electronics and
communication engineering. This project can be done in any industry or in the university
campus under the guidance of faculty of Electronics and Communication Engineering
department.

You might also like