Download as pdf or txt
Download as pdf or txt
You are on page 1of 29

Reducing Design Margins Using

PrimeTime Advanced OCV

James Chuang
December 12, 2012

©Synopsys 2012 1
Why the Interest in Advanced OCV ?
• More accurately model
process variation
– “Removes extra pessimism in long
paths” “Covers margin gaps for
shorter paths, more robust design
closure”

Flat derate (OCV)


• Speeds design closure by
eliminating overly
pessimistic violations
– “Reduce OCV pessimism based on
Depth 1 2 3 4 5 15 stage based cancellation” “Built on
top of STA engine, easy to adopt in
Derate 1.2 1.16 1.14 1.13 1.12 1.08
flow”
Source: TSMC 32 nm lib
– “AOCV reduces the number of paths
and the TNS by 20%-50%” “Major
• Advanced OCV Modeling benefit for us in timing closure”
– Derate based on cell type and path depth
– Improved accuracy Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 2
Agenda
• Variation-Aware Chip Derate
– Approaches to Modeling
– Trade-Offs

• Advanced On-Chip Variation

• Deploying Advanced OCV in PrimeTime

• Customer Feedback

©Synopsys 2012 3
On-Chip Timing Variability

12 12 12

min=1 max=2 min=2 max=4 min=3 max=6


faster arrival time slower

Flat derating values bound delays with one global delay

min =1 max=2 min=2.45 max=3 .65 min=3.75 max=5 .25


faster arrival time slower

Real silicon timing is statistical in nature


Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 4
On-Chip Timing Variability

Source: Synopsys PrimeTime 2011 Webinar


©Synopsys 2012 5
Modeling Impact on Path Delay
Standard STA with
Global derate for OCV Margin
Path Delay

Advanced OCV:
Practical intermediate step to
statistical using table-based
derate factors

# Stages in a path Source: Synopsys PrimeTime 2012 Webinar

• Single-value global derating value


On-Chip Variation • Easy to set-up
• Conservative approach

• Table based derate look-up


• Use logic path depth and path distance
Advanced OCV • Use of variable derate data improves accuracy,
reduces pessimism
©Synopsys 2012 6
ALU Test Case
Min Path Arrival Comparison
Global OCV vs. HSPICE Monte Carlo vs. Advanced OCV

0.35
Close correlation
between AOCV &
0.3
HSPICE
0.25
Path Delay

0.2 PrimeTime OCV Global

HSPICE Monte Carlo


0.15
PrimeTime AOCV

0.1
40 nm Technology
0.05
0 20 40 60 80 100
Path #

Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 7
Agenda
• Variation-Aware Chip Derate

• Advanced On-Chip Variation


– Models Logic Depth and Distance Variation
– Uses Tables to Provide Variable Derates
– Benefits

• Deploying Advanced OCV in PrimeTime

• Customer Feedback

©Synopsys 2012 8
PrimeTime Advanced OCV Modeling

• Applies variable derate factors to more accurately


model process variation

• Supports path depth-based and distance-based


modeling

• Uses variable derate table-based solution to


reduce pessimism of global OCV derates

SolvNet Article # 019530


Advanced On-Chip Variation in PrimeTime

©Synopsys 2012 9
Advanced OCV Logic Depth Modeling
Clock & Data Path Depth Derates Both Supported

Stage = 5

Common Path

CRPR Stage = 3
Common
Point
Depth = 0

Depth 1 2 3 4 5 15
Derate 1.2 1.16 1.14 1.13 1.12 1.08

Source: Synopsys PrimeTime 2012 Webinar


Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 10
Advanced OCV Distance-Based Modeling
Uses Distance to Calculate Derates

Stage = 5

Common Path

CRPR Stage = 3
Common
Point
Depth = 0 Depth

2-D Table
1 2 3 4 5 15
500 1.203 1.165 1.141 1.130 1.123 1.082
1000 1.204 1.166 1.142 1.131 1.124 1.084
Distance 1500 1.205 1.167 1.144 1.132 1.126 1.086
2000 1.206 1.169 1.145 1.133 1.128 1.089

Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 11
Additional Advanced OCV Capabilities

2 1 6 Stages
A
Z
3
B
1 1
5 Stages

Cell-Based Depth Coefficients


version: 2.0 version: 2.0
object_type: lib_cell object_type: lib_cell
object_spec: LIB/BUF1X object_spec: LIB/BUF1X
rf_type: rise fall rf_type: rise fall
Depth
1 2 3 4 5 10 50 100
delay_type: cell delay_type: cell
1000 1.130 1.099 1.085 1.078 1.074 1.063 1.055 1.053
derate_type: late derate_type: late
2000 1.130 1.099 1.086 1.079 1.074 1.063 1.055 1.054 path_type: clock // Optional field path_type: data // Optional field
3000 1.131 1.100 1.086 1.079 1.075 1.064 1.056 1.054 depth: 1 2 3 4 5 depth: 1 2 3 4 5
Distance

4000 1.131 1.100 1.087 1.080 1.076 1.065 1.057 1.056 distance: 500 1000 1500 2000 distance: 500 1000 1500 2000
1 e f 9 0A 0B 0C 5 7 8 0D
5000 1.133 1.102 1.089 1.082 1.078 1.068 1.061 1.059
0E 3 2 1 0F 10 h 0 5 6 7 2 1
table: \ table: \
6000 1.135 1.105 1.092 1.086 1.082 1.072 1.065 1.063
0F 10 h a b 3 6 7 8 9 0A 0B 1.123 1.090 1.075 1.067 1.062 \ 1.174 1.133 1.113 1.098 1.091 \
8000 1.137 1.108 1.095 1.089 1.085 1.076 1.070 1.068 0C 5 7 8 0D 0E 3 2 1 0F 10
10000 1.140 1.112 1.100 1.094 1.090 1.082 1.075 1.074 h 0 1 2 3 4 5 6 7 8 91 e f 5 6
1.124 1.091 1.076 1.068 1.063 \ 1.176 1.135 1.115 1.100 1.093 \
15000 1.147 1.120 1.110 1.104 1.101 1.093 1.088 1.087
7 0 1 2 3 4 5 6 7 8 0D 0E 9 1.125 1.092 1.077 1.070 1.065 \ 1.179 1.137 1.118 1.102 1.095 \
0A 0B 0C 5 7 8 0D 0E 3 2 1
0F 10 h 0 3 a b 3 6 7 c 2 3 d
1.126 1.094 1.079 1.072 1.067 1.181 1.140 1.121 1.105 1.098
2 0A 0B 0C 5 7 c 2 3 d 2 0D
0E 3 2 1 0F 10 h 0 1 2 3 4 5
6 7 8 91 . . . . . . . . . . . . .
.. ... ... ... ... .
.. ... ... .. Path_type: clock Path_type: data

Table Data Encryption Separate Clock and Data Tables


Source: Synopsys PrimeTime 2012 Webinar
©Synopsys 2012 12
Advanced OCV Benefits

Setup Slack Hold Slack


Setup Slack

Hold Slack
0 0

Endpoint Endpoint

OCV AOCV Clock & Data AOCV Clock Only OCV AOCV Clock & Data AOCV Clock Only

OCV Method # Violations OCV Method # Violations


Global Derate 1778 Global Derate 1704
Advanced OCV Clock Only 1551 Advanced OCV Clock Only 850
Advanced OCV Clock & Data 595 Advanced OCV Clock & Data 176

Source: Synopsys PrimeTime 2012 Webinar


©Synopsys 2012 13
Agenda

• Variation-Aware Chip Derate

• Advanced On-Chip Variation

• Deploying Advanced OCV in IC Compiler and


PrimeTime
– Recommended Methodology

• Customer Feedback

©Synopsys 2012 14
Recommended Deployment Phases

Ease Of
Depth-Based Deployment
• Depth-based Modeling Clock Only
– Clock-Only First
– Clock + Data next
Depth-Based
Clock & Data

• Extend with distance-


based for additional Distance-Based
accuracy Variation Increased
Accuracy
Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 15
PrimeTime Design Flow Using Advanced
OCV read_verilog ...
Read & Link link_design
Design

Back-annotate
set read_parasitics_load_locations true Retain Coordinate Data *
read_parasitics ...
Parasitics
** only for distance based derates
read_sdc …..create_clock ...

Apply Design set_timing_derate –early 0.80 Read in Advanced


Constraints set_timing_derate –late 1.20 OCV Derate Tables
read_aocvm ... Report mapping of
report_aocvm ... tables to design

Delay Calc & set timing_aocvm_enable_analysis true


Timing Analysis Enable Advanced OCV
update_timing
Analysis

Generate report_constraint ...


Reports report_analysis_coverage ... Report paths with
report_clock_timing –derate ...
derates
report_timing –derate …

Copyright © Synopsys 2012

©Synopsys 2012 16
IC Compiler Support for AOCV
Reduces Pessimism & Overdesign At 32/28 nm

• G-2012.06 supports AOCV on


clock and data paths
– Same setup and derating tables
as PrimeTime
– Supported pre and post-route

• Decreases pessimism and


improves correlation with
PrimeTime and silicon Depth 1 2 3 4 5 6
Derate 1.2 1.2 1.15 1.15 1.08 1.08

SolvNet Article # 030365


Advanced On-Chip Variation in IC Compiler Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 17
Customer Results
IC Compiler AOCV Support
Post-CTS design
Results Summary
Turn on AOCV
• Better signoff correlation
• Improved QoR
Read AOCV tables, apply
optional settings
28 nm, 950 MHz,
375K cells, IC Compiler PrimeTime IC Compiler PrimeTime
75% Util, Fixed Data- Data- Data-
Report AOCV timing before derate AOCV AOCV AOCV
optimization 8 Scenarios
(Setup)
WNS -0.04 -0.11 -0.04 -0.09
Optimize design
TNS -7.57 -53.28 -16.06 -19.98
NVP 781 2781 1085 1165
Report AOCV timing after
optimization

Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 18 Confidential And Proprietary


SiliconSmart AOCV support
Accurate AOCV table generation to
minimize pessimistic “padded” margins

Key Features
• Derating based on random
variation  Combinatorial and sequential
cells
• Same easy setup as SiliconSmart  Customizable test circuits:
Library characterization RC, Circuit Depth, Load, etc.

 Flexible modeling for skew


• Fast throughput with highly derating
scalable distributed processing
 100% compatible with PrimeTime

Source: Synopsys PrimeTime 2012 Webinar

©Synopsys 2012 19
SiliconSmart AOCV flow
statistical SPICE
Liberty
SPICE netlist of
(.lib)
model .lib cells

Prepare SPICE netlist &


stimulus

Generates 4 tables for


SPICE Monte Carlo each cell
simulations
(early rise, early fall,
late rise, late fall)
Read SPICE measurements,
Create AOCV tables

Validate AOCV tables Source: Synopsys PrimeTime 2012 Webinar

20 ©Synopsys 2012 20
TSMC Reference Flow and Table Support

TSMC provides data and


clock AOCV tables

Reference Flow includes


PrimeTime AOCV since
D-2010.06
Source: Synopsys PrimeTime 2011 Webinar
Note: SBOCV is equivalent to depth-based AOCV
©Synopsys 2012 21
GLOBALFOUNDRIES Reference Flow
and Table Support
65 nm and below, OCV
derating is difficult to
determine

Reference Flows support


AOCV table generation
and AOCV based STA
©Synopsys 2012 22
Agenda

• Variation-Aware Chip Derate

• Advanced On-Chip Variation

• Deploying Advanced OCV in IC Compiler and


PrimeTime

• Customer Feedback

©Synopsys 2012 23
Customer Feedback on AOCV Benefits

Source: Synopsys SNUG Taiwan 2012


©Synopsys 2012 24
Customer Feedback on AOCV Benefits
Failing paths and TNS
reduced by 20%- 50%

More Slack
improvement on
longer paths

Accuracy improved,
pessimism removed
SolvNet Article # 031743
PrimeTime SIG Events on Advanced OCV
©Synopsys 2012 25
Advanced OCV SNUG Papers
Title SNUG Event
AAA usage of AOCV: Adaptive table plus Accurate implementation with Taiwan 2012
All-round signoff flow
28 nm设计中AOCV的实际应用 China 2012
Efficient Common Derating for Synopsys Implementation Tools Germany 2012
Timing Sign-off with Statistical Variability: Advanced On-Chip-Variation UK 2012
Modeling (AOCVM) – the theory and the practice
Analyzing AOCV GBA Pessimism Reduction and AOCV Block Based Silicon Valley
Derate in 2011.12 PrimeTime 2012
Enabling Variation-Aware Timing Analysis using PrimeTime Cell-Specific Canada 2011
AOCV Margining
Advanced OCV Timing Derating Experience San Jose 2011
PrimeTime Advanced OCV - Another Way to Performance Confidence Singapore 2011
Timing Analysis on a Large High Performance 40 nm Video SoC India 2010
An Effective Approach for Better STA Sign-off with AOCVM Flow India 2010
AOCV技术在45 nm中的应用 China 2010
Source: synopsys.com > Community > Synopsys Users Group
©Synopsys 2012 26
Summary
• Use of single-value OCV global derates alone are not
practical for smaller technology nodes

• Advanced OCV technology


– Improves accuracy by modeling logic depth and
distance variations
– Speeds design closure by eliminating overly
pessimistic violations

• PrimeTime Advanced OCV is production proven and


ready for 28/20 nm

©Synopsys 2012 27
PrimeTime Advanced OCV Technology
References, Slides and SIG Events

• PrimeTime Advanced OCV Technology: Video and White Paper


http://www.synopsys.com/Tools/Implementation/SignOff/PrimeTime/Pages/PT-OCVTech.aspx

• Advanced On-Chip Variation in PrimeTime: SolvNet Article # 019530

• Advanced On-Chip Variation in IC Compiler: SolvNet Article # 030365

• PrimeTime SIG Events on Advanced OCV


DAC, Anaheim; India SNUG, Bangalore: SolvNet Article # 031743

• PrimeTime SIG Event at DATE 2012, Europe: SolvNet Article # 035289

• Today’s Webinar Slides: SolvNet Article # 037727

Email your questions or comments to:


PrimeTimeWebinars@synopsys.com

©Synopsys 2012 28
Thank You

©Synopsys 2012 29

You might also like