Download as xlsx, pdf, or txt
Download as xlsx, pdf, or txt
You are on page 1of 59

CIS

RDN
ManagedElement(1)
ManagedElement(1),GNBCUCPFunction(1)
ManagedElement(1),GNBCUCPFunction(1).gNBCUCPFunctionId
ManagedElement(1),GNBCUCPFunction(1).endpointResDepHEnabled
ManagedElement(1),GNBCUCPFunction(1).endpointResourceRef
ManagedElement(1),GNBCUCPFunction(1).gNBIdLength
ManagedElement(1),GNBCUCPFunction(1).maxCommonProcTime
ManagedElement(1),GNBCUCPFunction(1).maxNgRetryTime
ManagedElement(1),GNBCUCPFunction(1).nasInactivityTime
ManagedElement(1),GNBCUCPFunction(1).ngcDedProcTime
ManagedElement(1),GNBCUCPFunction(1).ribTmDepHEnabled
ManagedElement(1),GNBCUCPFunction(1).tDcOverall
ManagedElement(1),GNBCUCPFunction(1).xnIpAddrViaNgActive
ManagedElement(1),GNBCUCPFunction(1).pLMNId(1)
ManagedElement(1),GNBCUCPFunction(1).pLMNId(1).mcc
ManagedElement(1),GNBCUCPFunction(1).pLMNId(1).mnc
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1)
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1).endpointResourceId
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(1)
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(1).localSctpEndpointId
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(1).interfaceUsed
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(1).sctpEndpointRef
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(2)
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(2).localSctpEndpointId
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(2).interfaceUsed
ManagedElement(1),GNBCUCPFunction(1),EndpointResource(1),LocalSctpEndpoint(2).sctpEndpointRef
ManagedElement(1),GNBCUCPFunction(1),EUtraNetwork(1)
ManagedElement(1),GNBCUCPFunction(1),EUtraNetwork(1).eUtraNetworkId
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1).intraFreqMCId
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1).intraFreqMCCellProfileUsage
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).intraFreqMCCellProfileId
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).betterSpCellTriggerQuantity
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).endcActionEvalFail
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).sCellCoverageTriggerQuantity
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSCell(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSCell(1).hysteresis
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSCell(1).offset
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSCell(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSpCell(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSpCell(1).hysteresis
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSpCell(1).offset
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpBetterSpCell(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpSCellCoverage(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpSCellCoverage(1).hysteresis
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpSCellCoverage(1).threshold
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpSCellCoverage(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrpSCellCoverage(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqBetterSpCell(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqBetterSpCell(1).hysteresis
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqBetterSpCell(1).offset
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqBetterSpCell(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqSCellCoverage(1)
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqSCellCoverage(1).hysteresis
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqSCellCoverage(1).threshold
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqSCellCoverage(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),IntraFreqMC(1),IntraFreqMCCellProfile(1).rsrqSCellCoverage(1).timeToTrigger
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).cellLocalId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).hiPrioDetEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).mcpcNrdcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).mcpcPCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).mcpcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).pmUeIntraFreqEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).qHyst
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).rrcReconfMsgSizeRed
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).sNonIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).threshServingLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).transmitSib2
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).transmitSib4
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).transmitSib5
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).absFrameStartOffset(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).absFrameStartOffset(1).absSubFrameOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).absFrameStartOffset(1).absTimeOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).primaryPLMNId(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).primaryPLMNId(1).mcc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1).primaryPLMNId(1).mnc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).nRFreqRelationId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).anrMeasOn
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).cellReselectionPriority
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).plmnRestriction
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).pMax
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).qOffsetFreq
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).qRxLevMin
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).sIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).threshXHighP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).threshXLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(1),NRFreqRelation(1).tReselectionNR
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).cellLocalId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).hiPrioDetEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).mcpcNrdcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).mcpcPCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).mcpcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).pmUeIntraFreqEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).qHyst
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).rrcReconfMsgSizeRed
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).sNonIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).threshServingLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).transmitSib2
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).transmitSib4
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).transmitSib5
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).absFrameStartOffset(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).absFrameStartOffset(1).absSubFrameOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).absFrameStartOffset(1).absTimeOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).primaryPLMNId(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).primaryPLMNId(1).mcc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2).primaryPLMNId(1).mnc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).nRFreqRelationId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).anrMeasOn
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).cellReselectionPriority
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).plmnRestriction
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).pMax
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).qOffsetFreq
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).qRxLevMin
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).sIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).threshXHighP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).threshXLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(2),NRFreqRelation(1).tReselectionNR
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).cellLocalId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).hiPrioDetEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).mcpcNrdcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).mcpcPCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).mcpcPSCellEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).pmUeIntraFreqEnabled
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).qHyst
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).rrcReconfMsgSizeRed
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).sNonIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).threshServingLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).transmitSib2
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).transmitSib4
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).transmitSib5
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).absFrameStartOffset(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).absFrameStartOffset(1).absSubFrameOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).absFrameStartOffset(1).absTimeOffset
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).primaryPLMNId(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).primaryPLMNId(1).mcc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3).primaryPLMNId(1).mnc
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1)
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).nRFreqRelationId
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).anrMeasOn
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).cellReselectionPriority
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).nRFrequencyRef
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).plmnRestriction
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).pMax
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).qOffsetFreq
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).qRxLevMin
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).sIntraSearchP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).threshXHighP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).threshXLowP
ManagedElement(1),GNBCUCPFunction(1),NRCellCU(3),NRFreqRelation(1).tReselectionNR
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1)
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1).nRNetworkId
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1).simpleNRFreqSmtc
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1)
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).nRFrequencyId
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).arfcnValueNRDl
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).smtcDuration
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).smtcOffset
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).smtcPeriodicity
ManagedElement(1),GNBCUCPFunction(1),NRNetwork(1),NRFrequency(1).smtcScs
ManagedElement(1),GNBCUCPFunction(1),QciProfileEndcConfigExt(1)
ManagedElement(1),GNBCUCPFunction(1),QciProfileEndcConfigExt(1).qciProfileEndcConfigExtId
ManagedElement(1),GNBCUCPFunction(1),QciProfileEndcConfigExt(1).initialUplinkConf
ManagedElement(1),GNBCUCPFunction(1),QciProfileEndcConfigExt(1).ulDataSplitThreshold
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1)
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).securityHandlingId
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).cipheringAlgoPrio
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).featCtrlIntegProtUserPlane
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).integProtUserPlaneLowRate
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).integrityProtectAlgoPrio
ManagedElement(1),GNBCUCPFunction(1),SecurityHandling(1).personalDataProtectionEnabled
ManagedElement(1),GNBCUUPFunction(1)
ManagedElement(1),GNBCUUPFunction(1).gNBCUUPFunctionId
ManagedElement(1),GNBCUUPFunction(1).dataFwdRateTo5Gs
ManagedElement(1),GNBCUUPFunction(1).dataFwdRateToEps
ManagedElement(1),GNBCUUPFunction(1).dcDlAggActTime
ManagedElement(1),GNBCUUPFunction(1).dcDlAggExpiryTimer
ManagedElement(1),GNBCUUPFunction(1).dcDlPdcpInitialMcgRate
ManagedElement(1),GNBCUUPFunction(1).dcDlPdcpInitialScgRate
ManagedElement(1),GNBCUUPFunction(1).dlPdcpSpsTargetTimeLTE
ManagedElement(1),GNBCUUPFunction(1).dlPdcpSpsTargetTimeNR
ManagedElement(1),GNBCUUPFunction(1).endcDataUsageReportEnabled
ManagedElement(1),GNBCUUPFunction(1).endcDlNrRetProhibTimer
ManagedElement(1),GNBCUUPFunction(1).endcUlNrRetProhibTimer
ManagedElement(1),GNBCUUPFunction(1).endpointResDepHEnabled
ManagedElement(1),GNBCUUPFunction(1).endpointResourceRef
ManagedElement(1),GNBCUUPFunction(1).gNBIdLength
ManagedElement(1),GNBCUUPFunction(1).gtpuErrorIndDscp
ManagedElement(1),GNBCUUPFunction(1).s1GtpuEchoDscp
ManagedElement(1),GNBCUUPFunction(1).s1GtpuEchoEnable
ManagedElement(1),GNBCUUPFunction(1).x2EndcGtpuEchoDscp
ManagedElement(1),GNBCUUPFunction(1).x2EndcGtpuEchoEnable
ManagedElement(1),GNBCUUPFunction(1).pLMNIdList(1)
ManagedElement(1),GNBCUUPFunction(1).pLMNIdList(1).mcc
ManagedElement(1),GNBCUUPFunction(1).pLMNIdList(1).mnc
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1)
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1).endpointResourceId
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1),LocalIpEndpoint(1)
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1),LocalIpEndpoint(1).localIpEndpointId
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1),LocalIpEndpoint(1).addressRef
ManagedElement(1),GNBCUUPFunction(1),EndpointResource(1),LocalIpEndpoint(1).interfaceList
ManagedElement(1),GNBDUFunction(1)
ManagedElement(1),GNBDUFunction(1).gNBDUFunctionId
ManagedElement(1),GNBDUFunction(1).endpointResDepHEnabled
ManagedElement(1),GNBDUFunction(1).endpointResourceRef
ManagedElement(1),GNBDUFunction(1).gNBDUId
ManagedElement(1),GNBDUFunction(1).gNBIdLength
ManagedElement(1),GNBDUFunction(1).pwsEtwsPrimaryInd
ManagedElement(1),GNBDUFunction(1),EndpointResource(1)
ManagedElement(1),GNBDUFunction(1),EndpointResource(1).endpointResourceId
ManagedElement(1),GNBDUFunction(1),EndpointResource(1),LocalSctpEndpoint(1)
ManagedElement(1),GNBDUFunction(1),EndpointResource(1),LocalSctpEndpoint(1).localSctpEndpointId
ManagedElement(1),GNBDUFunction(1),EndpointResource(1),LocalSctpEndpoint(1).interfaceUsed
ManagedElement(1),GNBDUFunction(1),EndpointResource(1),LocalSctpEndpoint(1).sctpEndpointRef
ManagedElement(1),GNBDUFunction(1),NRCellDU(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).additionalPucchForCaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).administrativeState
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).advancedDlSuMimoEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ailgDlPrbLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ailgModType
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ailgPdcchLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).bandListManual
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).bfrEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).cellBarred
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).cellRange
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).cellReservedForOperator
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiReportFormat
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsShiftingPrimary
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsShiftingSecondary
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dftSOfdmMsg3Enabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dftSOfdmPuschEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dftSOfdmPuschStartRsrpThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dl256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dlChannelScramblingIdentity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dlMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dlRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dlScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).dlStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).drxEnable
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).drxInactivityTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).drxLongCycle
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).drxOnDurationTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).drxProfileEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcDlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcDlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcDlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcUlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcUlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).endcUlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).fixWideBeamsForPeriodicSr
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).imsEmSupportEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).maxUeSpeed
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).maxUsersRachSchedPusch
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).nbIotCellDeployed
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).nrLteCoexistence
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pdschAllowedInDmrsSym
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pdschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).puschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pZeroNomPucch
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pZeroNomPuschGrant
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pZeroNomSrs
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pZeroUePuschOffset256Qam
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).qRxLevMin
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).qRxLevMinOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).rachPreambleRecTargetPower
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).rachPreambleTransMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).secondaryCellOnly
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sfnOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).siWindowLength
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).srsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbDuration
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbFrequency
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbPowerBoost
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ssbSubCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).subCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).tddSpecialSlotPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).tddUlDlPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).trsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).trsPowerBoosting
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).trsResourceShifting
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).typeISinglePanelRiRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ul256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ulMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ulRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ulScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).ulStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig16P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig16P(1).csiRsControl16Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig16P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig16P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig2P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig2P(1).aRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig2P(1).csiRsControl2Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig8P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig8P(1).csiRsControl8Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig8P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).csiRsConfig8P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pLMNIdList(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pLMNIdList(1).mcc
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).pLMNIdList(1).mnc
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType2(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType2(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType2(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType4(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType4(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType4(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType5(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType5(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType5(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType6(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType6(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType6(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType7(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType7(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType7(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType8(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType8(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(1).sibType8(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).additionalPucchForCaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).administrativeState
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).advancedDlSuMimoEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ailgDlPrbLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ailgModType
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ailgPdcchLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).bandListManual
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).bfrEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).cellBarred
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).cellRange
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).cellReservedForOperator
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiReportFormat
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsShiftingPrimary
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsShiftingSecondary
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dftSOfdmMsg3Enabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dftSOfdmPuschEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dftSOfdmPuschStartRsrpThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dl256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dlChannelScramblingIdentity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dlMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dlRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dlScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).dlStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).drxEnable
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).drxInactivityTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).drxLongCycle
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).drxOnDurationTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).drxProfileEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcDlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcDlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcDlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcUlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcUlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).endcUlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).fixWideBeamsForPeriodicSr
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).imsEmSupportEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).maxUeSpeed
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).maxUsersRachSchedPusch
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).nbIotCellDeployed
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).nrLteCoexistence
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pdschAllowedInDmrsSym
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pdschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).puschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pZeroNomPucch
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pZeroNomPuschGrant
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pZeroNomSrs
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pZeroUePuschOffset256Qam
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).qRxLevMin
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).qRxLevMinOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).rachPreambleRecTargetPower
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).rachPreambleTransMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).secondaryCellOnly
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sfnOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).siWindowLength
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).srsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbDuration
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbFrequency
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbPowerBoost
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ssbSubCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).subCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).tddSpecialSlotPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).tddUlDlPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).trsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).trsPowerBoosting
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).trsResourceShifting
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).typeISinglePanelRiRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ul256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ulMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ulRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ulScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).ulStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig16P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig16P(1).csiRsControl16Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig16P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig16P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig2P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig2P(1).aRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig2P(1).csiRsControl2Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig8P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig8P(1).csiRsControl8Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig8P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).csiRsConfig8P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pLMNIdList(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pLMNIdList(1).mcc
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).pLMNIdList(1).mnc
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType2(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType2(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType2(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType4(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType4(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType4(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType5(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType5(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType5(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType6(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType6(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType6(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType7(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType7(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType7(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType8(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType8(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(2).sibType8(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).additionalPucchForCaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).administrativeState
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).advancedDlSuMimoEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ailgDlPrbLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ailgModType
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ailgPdcchLoadLevel
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).bandListManual
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).bfrEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).cellBarred
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).cellRange
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).cellReservedForOperator
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiReportFormat
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsShiftingPrimary
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsShiftingSecondary
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dftSOfdmMsg3Enabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dftSOfdmPuschEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dftSOfdmPuschStartRsrpThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dl256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dlChannelScramblingIdentity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dlMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dlRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dlScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).dlStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).drxEnable
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).drxInactivityTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).drxLongCycle
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).drxOnDurationTimer
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).drxProfileEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcDlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcDlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcDlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcUlLegSwitchEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcUlNrLowQualThresh
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).endcUlNrQualHyst
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).fixWideBeamsForPeriodicSr
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).imsEmSupportEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).maxUeSpeed
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).maxUsersRachSchedPusch
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).nbIotCellDeployed
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).nrLteCoexistence
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pdschAllowedInDmrsSym
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pdschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).puschStartPrbStrategy
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pZeroNomPucch
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pZeroNomPuschGrant
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pZeroNomSrs
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pZeroUePuschOffset256Qam
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).qRxLevMin
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).qRxLevMinOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).rachPreambleRecTargetPower
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).rachPreambleTransMax
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).secondaryCellOnly
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sfnOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).siWindowLength
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).srsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbDuration
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbFrequency
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbOffset
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbPowerBoost
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ssbSubCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).subCarrierSpacing
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).tddSpecialSlotPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).tddUlDlPattern
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).trsPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).trsPowerBoosting
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).trsResourceShifting
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).typeISinglePanelRiRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ul256QamEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ulMaxMuMimoLayers
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ulRobustLaEnabled
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ulScSpillover
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).ulStartCrb
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig16P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig16P(1).csiRsControl16Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig16P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig16P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig2P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig2P(1).aRestriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig2P(1).csiRsControl2Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig8P(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig8P(1).csiRsControl8Ports
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig8P(1).i11Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).csiRsConfig8P(1).i12Restriction
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pLMNIdList(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pLMNIdList(1).mcc
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).pLMNIdList(1).mnc
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType2(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType2(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType2(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType4(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType4(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType4(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType5(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType5(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType5(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType6(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType6(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType6(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType7(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType7(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType7(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType8(1)
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType8(1).siBroadcastStatus
ManagedElement(1),GNBDUFunction(1),NRCellDU(3).sibType8(1).siPeriodicity
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).administrativeState
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).arfcnDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).arfcnUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).bSChannelBwDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).bSChannelBwUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).configuredMaxTxPower
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).frameStartOffset
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).noOfRxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).noOfTxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).nRMicroSleepTxEnabled
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).nullSteeringMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).radioTransmitPerfMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).sectorEquipmentFunctionRef
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).txDirection
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).txPowerChangeRate
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).txPowerPersistentLock
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1).txPowerRatio
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1),CommonBeamforming(1)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1),CommonBeamforming(1).commonBeamformingId
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1),CommonBeamforming(1).cbfMacroTaperType
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(1),CommonBeamforming(1).coverageShape
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).administrativeState
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).arfcnDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).arfcnUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).bSChannelBwDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).bSChannelBwUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).configuredMaxTxPower
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).frameStartOffset
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).noOfRxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).noOfTxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).nRMicroSleepTxEnabled
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).nullSteeringMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).radioTransmitPerfMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).sectorEquipmentFunctionRef
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).txDirection
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).txPowerChangeRate
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).txPowerPersistentLock
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2).txPowerRatio
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2),CommonBeamforming(1)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2),CommonBeamforming(1).commonBeamformingId
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2),CommonBeamforming(1).cbfMacroTaperType
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(2),CommonBeamforming(1).coverageShape
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).administrativeState
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).arfcnDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).arfcnUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).bSChannelBwDL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).bSChannelBwUL
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).configuredMaxTxPower
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).frameStartOffset
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).noOfRxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).noOfTxAntennas
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).nRMicroSleepTxEnabled
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).nullSteeringMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).radioTransmitPerfMode
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).sectorEquipmentFunctionRef
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).txDirection
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).txPowerChangeRate
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).txPowerPersistentLock
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3).txPowerRatio
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3),CommonBeamforming(1)
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3),CommonBeamforming(1).commonBeamformingId
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3),CommonBeamforming(1).cbfMacroTaperType
ManagedElement(1),GNBDUFunction(1),NRSectorCarrier(3),CommonBeamforming(1).coverageShape
ManagedElement(1),GNBDUFunction(1),Paging(1)
ManagedElement(1),GNBDUFunction(1),Paging(1).pagingId
ManagedElement(1),GNBDUFunction(1),Paging(1).defaultPagingCycle
ManagedElement(1),GNBDUFunction(1),Paging(1).n
ManagedElement(1),GNBDUFunction(1),Paging(1).nS
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1)
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1).radioBearerTableId
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1)
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).dataRadioBearerId
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).dlMaxRetxThreshold
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).dlPollPdu
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).tPollRetransmitDl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).tPollRetransmitUl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).tStatusProhibitDl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).tStatusProhibitUl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).ulMaxRetxThreshold
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),DataRadioBearer(1).ulPollPdu
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1)
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).signalingRadioBearerId
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).dlMaxRetxThreshold
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).tPollRetransmitDl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).tPollRetransmitUl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).tReassemblyDl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).tReassemblyUl
ManagedElement(1),GNBDUFunction(1),RadioBearerTable(1),SignalingRadioBearer(1).ulMaxRetxThreshold
ManagedElement(1),GNBDUFunction(1),Rrc(1)
ManagedElement(1),GNBDUFunction(1),Rrc(1).rrcId
ManagedElement(1),GNBDUFunction(1),Rrc(1).n310
ManagedElement(1),GNBDUFunction(1),Rrc(1).n311
ManagedElement(1),GNBDUFunction(1),Rrc(1).t300
ManagedElement(1),GNBDUFunction(1),Rrc(1).t301
ManagedElement(1),GNBDUFunction(1),Rrc(1).t304
ManagedElement(1),GNBDUFunction(1),Rrc(1).t310
ManagedElement(1),GNBDUFunction(1),Rrc(1).t311
ManagedElement(1),GNBDUFunction(1),Rrc(1).t319
ManagedElement(1),GNBDUFunction(1),TermPointToGNBCUCP(1)
ManagedElement(1),GNBDUFunction(1),TermPointToGNBCUCP(1).termPointToGNBCUCPId
ManagedElement(1),GNBDUFunction(1),TermPointToGNBCUCP(1).administrativeState
ManagedElement(1),GNBDUFunction(1),TermPointToGNBCUCP(1).ipv4Address
ManagedElement(1),GNBDUFunction(1),TermPointToGNBCUCP(1).ipv6Address
Common Input Sheet - Template Na
NSA_5G_BB\5GBB_Radio
MO and Attribute
ManagedElement
GNBCUCPFunction
gNBCUCPFunctionId
endpointResDepHEnabled
endpointResourceRef
gNBIdLength
maxCommonProcTime
maxNgRetryTime
nasInactivityTime
ngcDedProcTime
ribTmDepHEnabled
tDcOverall
xnIpAddrViaNgActive
pLMNId
pLMNId(1).mcc
pLMNId(1).mnc
EndpointResource
endpointResourceId
LocalSctpEndpoint
localSctpEndpointId
interfaceUsed
sctpEndpointRef
LocalSctpEndpoint
localSctpEndpointId
interfaceUsed
sctpEndpointRef
EUtraNetwork
eUtraNetworkId
IntraFreqMC
intraFreqMCId
intraFreqMCCellProfileUsage
IntraFreqMCCellProfile
intraFreqMCCellProfileId
betterSpCellTriggerQuantity
endcActionEvalFail
sCellCoverageTriggerQuantity
rsrpBetterSCell
rsrpBetterSCell(1).hysteresis
rsrpBetterSCell(1).offset
rsrpBetterSCell(1).timeToTrigger
rsrpBetterSpCell
rsrpBetterSpCell(1).hysteresis
rsrpBetterSpCell(1).offset
rsrpBetterSpCell(1).timeToTrigger
rsrpSCellCoverage
rsrpSCellCoverage(1).hysteresis
rsrpSCellCoverage(1).threshold
rsrpSCellCoverage(1).timeToTrigger
rsrpSCellCoverage(1).timeToTriggerA
rsrqBetterSpCell
rsrqBetterSpCell(1).hysteresis
rsrqBetterSpCell(1).offset
rsrqBetterSpCell(1).timeToTrigger
rsrqSCellCoverage
rsrqSCellCoverage(1).hysteresis
rsrqSCellCoverage(1).threshold
rsrqSCellCoverage(1).timeToTrigger
rsrqSCellCoverage(1).timeToTriggerA
NRCellCU
cellLocalId
hiPrioDetEnabled
mcpcNrdcPSCellEnabled
mcpcPCellEnabled
mcpcPSCellEnabled
nRFrequencyRef
pmUeIntraFreqEnabled
qHyst
rrcReconfMsgSizeRed
sNonIntraSearchP
threshServingLowP
transmitSib2
transmitSib4
transmitSib5
absFrameStartOffset
absFrameStartOffset(1).absSubFrame
absFrameStartOffset(1).absTimeOffse
primaryPLMNId
primaryPLMNId(1).mcc
primaryPLMNId(1).mnc
NRFreqRelation
nRFreqRelationId
anrMeasOn
cellReselectionPriority
nRFrequencyRef
plmnRestriction
pMax
qOffsetFreq
qRxLevMin
sIntraSearchP
threshXHighP
threshXLowP
tReselectionNR
NRCellCU
cellLocalId
hiPrioDetEnabled
mcpcNrdcPSCellEnabled
mcpcPCellEnabled
mcpcPSCellEnabled
nRFrequencyRef
pmUeIntraFreqEnabled
qHyst
rrcReconfMsgSizeRed
sNonIntraSearchP
threshServingLowP
transmitSib2
transmitSib4
transmitSib5
absFrameStartOffset
absFrameStartOffset(1).absSubFrame
absFrameStartOffset(1).absTimeOffse
primaryPLMNId
primaryPLMNId(1).mcc
primaryPLMNId(1).mnc
NRFreqRelation
nRFreqRelationId
anrMeasOn
cellReselectionPriority
nRFrequencyRef
plmnRestriction
pMax
qOffsetFreq
qRxLevMin
sIntraSearchP
threshXHighP
threshXLowP
tReselectionNR
NRCellCU
cellLocalId
hiPrioDetEnabled
mcpcNrdcPSCellEnabled
mcpcPCellEnabled
mcpcPSCellEnabled
nRFrequencyRef
pmUeIntraFreqEnabled
qHyst
rrcReconfMsgSizeRed
sNonIntraSearchP
threshServingLowP
transmitSib2
transmitSib4
transmitSib5
absFrameStartOffset
absFrameStartOffset(1).absSubFrame
absFrameStartOffset(1).absTimeOffse
primaryPLMNId
primaryPLMNId(1).mcc
primaryPLMNId(1).mnc
NRFreqRelation
nRFreqRelationId
anrMeasOn
cellReselectionPriority
nRFrequencyRef
plmnRestriction
pMax
qOffsetFreq
qRxLevMin
sIntraSearchP
threshXHighP
threshXLowP
tReselectionNR
NRNetwork
nRNetworkId
simpleNRFreqSmtc
NRFrequency
nRFrequencyId
arfcnValueNRDl
smtcDuration
smtcOffset
smtcPeriodicity
smtcScs
QciProfileEndcConfigExt
qciProfileEndcConfigExtId
initialUplinkConf
ulDataSplitThreshold
SecurityHandling
securityHandlingId
cipheringAlgoPrio
featCtrlIntegProtUserPlane
integProtUserPlaneLowRate
integrityProtectAlgoPrio
personalDataProtectionEnabled
GNBCUUPFunction
gNBCUUPFunctionId
dataFwdRateTo5Gs
dataFwdRateToEps
dcDlAggActTime
dcDlAggExpiryTimer
dcDlPdcpInitialMcgRate
dcDlPdcpInitialScgRate
dlPdcpSpsTargetTimeLTE
dlPdcpSpsTargetTimeNR
endcDataUsageReportEnabled
endcDlNrRetProhibTimer
endcUlNrRetProhibTimer
endpointResDepHEnabled
endpointResourceRef
gNBIdLength
gtpuErrorIndDscp
s1GtpuEchoDscp
s1GtpuEchoEnable
x2EndcGtpuEchoDscp
x2EndcGtpuEchoEnable
pLMNIdList
pLMNIdList(1).mcc
pLMNIdList(1).mnc
EndpointResource
endpointResourceId
LocalIpEndpoint
localIpEndpointId
addressRef
interfaceList
GNBDUFunction
gNBDUFunctionId
endpointResDepHEnabled
endpointResourceRef
gNBDUId
gNBIdLength
pwsEtwsPrimaryInd
EndpointResource
endpointResourceId
LocalSctpEndpoint
localSctpEndpointId
interfaceUsed
sctpEndpointRef
NRCellDU
additionalPucchForCaEnabled
administrativeState
advancedDlSuMimoEnabled
ailgDlPrbLoadLevel
ailgModType
ailgPdcchLoadLevel
bandListManual
bfrEnabled
cellBarred
cellRange
cellReservedForOperator
csiReportFormat
csiRsPeriodicity
csiRsShiftingPrimary
csiRsShiftingSecondary
dftSOfdmMsg3Enabled
dftSOfdmPuschEnabled
dftSOfdmPuschStartRsrpThresh
dl256QamEnabled
dlChannelScramblingIdentity
dlMaxMuMimoLayers
dlRobustLaEnabled
dlScSpillover
dlStartCrb
drxEnable
drxInactivityTimer
drxLongCycle
drxOnDurationTimer
drxProfileEnabled
endcDlLegSwitchEnabled
endcDlNrLowQualThresh
endcDlNrQualHyst
endcUlLegSwitchEnabled
endcUlNrLowQualThresh
endcUlNrQualHyst
fixWideBeamsForPeriodicSr
imsEmSupportEnabled
maxUeSpeed
maxUsersRachSchedPusch
nbIotCellDeployed
nrLteCoexistence
pdschAllowedInDmrsSym
pdschStartPrbStrategy
pMax
puschStartPrbStrategy
pZeroNomPucch
pZeroNomPuschGrant
pZeroNomSrs
pZeroUePuschOffset256Qam
qRxLevMin
qRxLevMinOffset
rachPreambleRecTargetPower
rachPreambleTransMax
secondaryCellOnly
sfnOffset
siWindowLength
srsPeriodicity
ssbDuration
ssbFrequency
ssbOffset
ssbPeriodicity
ssbPowerBoost
ssbSubCarrierSpacing
subCarrierSpacing
tddSpecialSlotPattern
tddUlDlPattern
trsPeriodicity
trsPowerBoosting
trsResourceShifting
typeISinglePanelRiRestriction
ul256QamEnabled
ulMaxMuMimoLayers
ulRobustLaEnabled
ulScSpillover
ulStartCrb
csiRsConfig16P
csiRsConfig16P(1).csiRsControl16Por
csiRsConfig16P(1).i11Restriction
csiRsConfig16P(1).i12Restriction
csiRsConfig2P
csiRsConfig2P(1).aRestriction
csiRsConfig2P(1).csiRsControl2Ports
csiRsConfig8P
csiRsConfig8P(1).csiRsControl8Ports
csiRsConfig8P(1).i11Restriction
csiRsConfig8P(1).i12Restriction
pLMNIdList
pLMNIdList(1).mcc
pLMNIdList(1).mnc
sibType2
sibType2(1).siBroadcastStatus
sibType2(1).siPeriodicity
sibType4
sibType4(1).siBroadcastStatus
sibType4(1).siPeriodicity
sibType5
sibType5(1).siBroadcastStatus
sibType5(1).siPeriodicity
sibType6
sibType6(1).siBroadcastStatus
sibType6(1).siPeriodicity
sibType7
sibType7(1).siBroadcastStatus
sibType7(1).siPeriodicity
sibType8
sibType8(1).siBroadcastStatus
sibType8(1).siPeriodicity
NRCellDU
additionalPucchForCaEnabled
administrativeState
advancedDlSuMimoEnabled
ailgDlPrbLoadLevel
ailgModType
ailgPdcchLoadLevel
bandListManual
bfrEnabled
cellBarred
cellRange
cellReservedForOperator
csiReportFormat
csiRsPeriodicity
csiRsShiftingPrimary
csiRsShiftingSecondary
dftSOfdmMsg3Enabled
dftSOfdmPuschEnabled
dftSOfdmPuschStartRsrpThresh
dl256QamEnabled
dlChannelScramblingIdentity
dlMaxMuMimoLayers
dlRobustLaEnabled
dlScSpillover
dlStartCrb
drxEnable
drxInactivityTimer
drxLongCycle
drxOnDurationTimer
drxProfileEnabled
endcDlLegSwitchEnabled
endcDlNrLowQualThresh
endcDlNrQualHyst
endcUlLegSwitchEnabled
endcUlNrLowQualThresh
endcUlNrQualHyst
fixWideBeamsForPeriodicSr
imsEmSupportEnabled
maxUeSpeed
maxUsersRachSchedPusch
nbIotCellDeployed
nrLteCoexistence
pdschAllowedInDmrsSym
pdschStartPrbStrategy
pMax
puschStartPrbStrategy
pZeroNomPucch
pZeroNomPuschGrant
pZeroNomSrs
pZeroUePuschOffset256Qam
qRxLevMin
qRxLevMinOffset
rachPreambleRecTargetPower
rachPreambleTransMax
secondaryCellOnly
sfnOffset
siWindowLength
srsPeriodicity
ssbDuration
ssbFrequency
ssbOffset
ssbPeriodicity
ssbPowerBoost
ssbSubCarrierSpacing
subCarrierSpacing
tddSpecialSlotPattern
tddUlDlPattern
trsPeriodicity
trsPowerBoosting
trsResourceShifting
typeISinglePanelRiRestriction
ul256QamEnabled
ulMaxMuMimoLayers
ulRobustLaEnabled
ulScSpillover
ulStartCrb
csiRsConfig16P
csiRsConfig16P(1).csiRsControl16Por
csiRsConfig16P(1).i11Restriction
csiRsConfig16P(1).i12Restriction
csiRsConfig2P
csiRsConfig2P(1).aRestriction
csiRsConfig2P(1).csiRsControl2Ports
csiRsConfig8P
csiRsConfig8P(1).csiRsControl8Ports
csiRsConfig8P(1).i11Restriction
csiRsConfig8P(1).i12Restriction
pLMNIdList
pLMNIdList(1).mcc
pLMNIdList(1).mnc
sibType2
sibType2(1).siBroadcastStatus
sibType2(1).siPeriodicity
sibType4
sibType4(1).siBroadcastStatus
sibType4(1).siPeriodicity
sibType5
sibType5(1).siBroadcastStatus
sibType5(1).siPeriodicity
sibType6
sibType6(1).siBroadcastStatus
sibType6(1).siPeriodicity
sibType7
sibType7(1).siBroadcastStatus
sibType7(1).siPeriodicity
sibType8
sibType8(1).siBroadcastStatus
sibType8(1).siPeriodicity
NRCellDU
additionalPucchForCaEnabled
administrativeState
advancedDlSuMimoEnabled
ailgDlPrbLoadLevel
ailgModType
ailgPdcchLoadLevel
bandListManual
bfrEnabled
cellBarred
cellRange
cellReservedForOperator
csiReportFormat
csiRsPeriodicity
csiRsShiftingPrimary
csiRsShiftingSecondary
dftSOfdmMsg3Enabled
dftSOfdmPuschEnabled
dftSOfdmPuschStartRsrpThresh
dl256QamEnabled
dlChannelScramblingIdentity
dlMaxMuMimoLayers
dlRobustLaEnabled
dlScSpillover
dlStartCrb
drxEnable
drxInactivityTimer
drxLongCycle
drxOnDurationTimer
drxProfileEnabled
endcDlLegSwitchEnabled
endcDlNrLowQualThresh
endcDlNrQualHyst
endcUlLegSwitchEnabled
endcUlNrLowQualThresh
endcUlNrQualHyst
fixWideBeamsForPeriodicSr
imsEmSupportEnabled
maxUeSpeed
maxUsersRachSchedPusch
nbIotCellDeployed
nrLteCoexistence
pdschAllowedInDmrsSym
pdschStartPrbStrategy
pMax
puschStartPrbStrategy
pZeroNomPucch
pZeroNomPuschGrant
pZeroNomSrs
pZeroUePuschOffset256Qam
qRxLevMin
qRxLevMinOffset
rachPreambleRecTargetPower
rachPreambleTransMax
secondaryCellOnly
sfnOffset
siWindowLength
srsPeriodicity
ssbDuration
ssbFrequency
ssbOffset
ssbPeriodicity
ssbPowerBoost
ssbSubCarrierSpacing
subCarrierSpacing
tddSpecialSlotPattern
tddUlDlPattern
trsPeriodicity
trsPowerBoosting
trsResourceShifting
typeISinglePanelRiRestriction
ul256QamEnabled
ulMaxMuMimoLayers
ulRobustLaEnabled
ulScSpillover
ulStartCrb
csiRsConfig16P
csiRsConfig16P(1).csiRsControl16Por
csiRsConfig16P(1).i11Restriction
csiRsConfig16P(1).i12Restriction
csiRsConfig2P
csiRsConfig2P(1).aRestriction
csiRsConfig2P(1).csiRsControl2Ports
csiRsConfig8P
csiRsConfig8P(1).csiRsControl8Ports
csiRsConfig8P(1).i11Restriction
csiRsConfig8P(1).i12Restriction
pLMNIdList
pLMNIdList(1).mcc
pLMNIdList(1).mnc
sibType2
sibType2(1).siBroadcastStatus
sibType2(1).siPeriodicity
sibType4
sibType4(1).siBroadcastStatus
sibType4(1).siPeriodicity
sibType5
sibType5(1).siBroadcastStatus
sibType5(1).siPeriodicity
sibType6
sibType6(1).siBroadcastStatus
sibType6(1).siPeriodicity
sibType7
sibType7(1).siBroadcastStatus
sibType7(1).siPeriodicity
sibType8
sibType8(1).siBroadcastStatus
sibType8(1).siPeriodicity
NRSectorCarrier
administrativeState
arfcnDL
arfcnUL
bSChannelBwDL
bSChannelBwUL
configuredMaxTxPower
frameStartOffset
noOfRxAntennas
noOfTxAntennas
nRMicroSleepTxEnabled
nullSteeringMode
radioTransmitPerfMode
sectorEquipmentFunctionRef
txDirection
txPowerChangeRate
txPowerPersistentLock
txPowerRatio
CommonBeamforming
commonBeamformingId
cbfMacroTaperType
coverageShape
NRSectorCarrier
administrativeState
arfcnDL
arfcnUL
bSChannelBwDL
bSChannelBwUL
configuredMaxTxPower
frameStartOffset
noOfRxAntennas
noOfTxAntennas
nRMicroSleepTxEnabled
nullSteeringMode
radioTransmitPerfMode
sectorEquipmentFunctionRef
txDirection
txPowerChangeRate
txPowerPersistentLock
txPowerRatio
CommonBeamforming
commonBeamformingId
cbfMacroTaperType
coverageShape
NRSectorCarrier
administrativeState
arfcnDL
arfcnUL
bSChannelBwDL
bSChannelBwUL
configuredMaxTxPower
frameStartOffset
noOfRxAntennas
noOfTxAntennas
nRMicroSleepTxEnabled
nullSteeringMode
radioTransmitPerfMode
sectorEquipmentFunctionRef
txDirection
txPowerChangeRate
txPowerPersistentLock
txPowerRatio
CommonBeamforming
commonBeamformingId
cbfMacroTaperType
coverageShape
Paging
pagingId
defaultPagingCycle
n
nS
RadioBearerTable
radioBearerTableId
DataRadioBearer
dataRadioBearerId
dlMaxRetxThreshold
dlPollPdu
tPollRetransmitDl
tPollRetransmitUl
tStatusProhibitDl
tStatusProhibitUl
ulMaxRetxThreshold
ulPollPdu
SignalingRadioBearer
signalingRadioBearerId
dlMaxRetxThreshold
tPollRetransmitDl
tPollRetransmitUl
tReassemblyDl
tReassemblyUl
ulMaxRetxThreshold
Rrc
rrcId
n310
n311
t300
t301
t304
t310
t311
t319
TermPointToGNBCUCP
termPointToGNBCUCPId
administrativeState
ipv4Address
ipv6Address
Template type Node Type
Baseband
Value

1
true
GNBCUCPFunction=1,EndpointResource=1
22
30
30
5
5
true
7
true

404
22

1
X2
Transport=1,SctpEndpoint=gNB

2
F1
Transport=1,SctpEndpoint=F1_NRCUCP

1
COMPLETE_USAGE

1
RSRP
RELEASE
RSRP

10
30
160

10
30
640

10
-156
160
-1

10
30
640
10
-435
160
-1

221
false
false
false
true
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
4
HO_ESS_MSG_RED_LEVEL1
0
0
false
false
false

0
0

404
22

633696
true
7
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
23
0
-140
62
4
0
2

222
false
false
false
true
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
4
HO_ESS_MSG_RED_LEVEL1
0
0
false
false
false

0
0
404
22

633696
true
7
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
23
0
-140
62
4
0
2

223
false
false
false
true
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
4
HO_ESS_MSG_RED_LEVEL1
0
0
false
false
false

0
0

404
22

633696
true
7
GNBCUCPFunction=1,NRNetwork=1,NRFrequency=633696-30-20-0-1
false
23
0
-140
62
4
0
2

1
false

633696-30-20-0-1
633696
1
0
20
30

1
SCG
3200

1
NEA2;NEA1
OFF
REJECT
NIA2;NIA1
true

1
5000
200
10
100
20
20
50
50
true
400
1000
true
GNBCUUPFunction=1,EndpointResource=1
22
40
14
false
14
false

404
22

1
Transport=1,Router=CUP,InterfaceIPv4=1,AddressIPv4=1
NG;S1;X2;XN;E1;F1

1
true
GNBDUFunction=1,EndpointResource=1
1
22
8

1
F1
Transport=1,SctpEndpoint=F1_NRDU
false
UNLOCKED
false
0
AILG_MOD_QPSK
0
3
true
NOT_BARRED
5000
NOT_RESERVED
CQI_WB_PMI_WB
20
DEACTIVATED
DEACTIVATED
false
false
-156
true
PCI
0
false
0
0
true
INACTIVITYTIMER_100MS
LONGCYCLE_160MS
ONDURATIONTIMER_10MS
false
true
5
5
true
17
6
FIX_WB_FOR_PERIODIC_SR_NONE
false
UP_TO_100KMPH
10
false
false
false
START_LOWER_EDGE
23
START_LOWER_EDGE
-114
-100
-110
0
-128
2
-110
10
true
0
20
40
1
0
0
20
0
30
30
TDD_SPECIAL_SLOT_PATTERN_02
TDD_ULDL_PATTERN_02
40
0
DEACTIVATED
15
true
0
false
0
0

EIGHT_ONE_N1AZ
FFFFFFFF

ontrol2Ports

FOUR_ONE_N1AZ
FFFF

404
22

BROADCASTING
64

BROADCASTING
64

BROADCASTING
64

BROADCASTING
32

BROADCASTING
64

BROADCASTING
64

false
UNLOCKED
false
0
AILG_MOD_QPSK
0
3
true
NOT_BARRED
5000
NOT_RESERVED
CQI_WB_PMI_WB
20
DEACTIVATED
DEACTIVATED
false
false
-156
true
PCI
0
false
0
0
true
INACTIVITYTIMER_100MS
LONGCYCLE_160MS
ONDURATIONTIMER_10MS
false
true
5
5
true
17
6
FIX_WB_FOR_PERIODIC_SR_NONE
false
UP_TO_100KMPH
10
false
false
false
START_LOWER_EDGE
23
START_LOWER_EDGE
-114
-100
-110
0
-128
2
-110
10
true
0
20
40
1
0
0
20
0
30
30
TDD_SPECIAL_SLOT_PATTERN_02
TDD_ULDL_PATTERN_02
40
0
DEACTIVATED
15
true
0
false
0
0

EIGHT_ONE_N1AZ
FFFFFFFF

3F
ON

FOUR_ONE_N1AZ
FFFF

404
22

BROADCASTING
64

BROADCASTING
64

BROADCASTING
64

BROADCASTING
32

BROADCASTING
64

BROADCASTING
64

false
UNLOCKED
false
0
AILG_MOD_QPSK
0
3
true
NOT_BARRED
5000
NOT_RESERVED
CQI_WB_PMI_WB
20
DEACTIVATED
DEACTIVATED
false
false
-156
true
PCI
0
false
0
0
true
INACTIVITYTIMER_100MS
LONGCYCLE_160MS
ONDURATIONTIMER_10MS
false
true
5
5
true
17
6
FIX_WB_FOR_PERIODIC_SR_NONE
false
UP_TO_100KMPH
10
false
false
false
START_LOWER_EDGE
23
START_LOWER_EDGE
-114
-100
-110
0
-128
2
-110
10
true
0
20
40
1
0
0
20
0
30
30
TDD_SPECIAL_SLOT_PATTERN_02
TDD_ULDL_PATTERN_02
40
0
DEACTIVATED
15
true
0
false
0
0

EIGHT_ONE_N1AZ
FFFFFFFF

3F
ON

FOUR_ONE_N1AZ
FFFF

404
22

BROADCASTING
64

BROADCASTING
64

BROADCASTING
64

BROADCASTING
32

BROADCASTING
64

BROADCASTING
64

UNLOCKED
636666
636666
100
100
2000000
0
0
0
true
ALL
COVERAGE
NodeSupport=1,SectorEquipmentFunction=51
DL_AND_UL
1
false
100
1
STANDARD
PRODUCT_DEFAULT

UNLOCKED
636666
636666
100
100
2000000
0
0
0
true
ALL
COVERAGE
NodeSupport=1,SectorEquipmentFunction=52
DL_AND_UL
1
false
100

1
STANDARD
PRODUCT_DEFAULT

UNLOCKED
636666
636666
100
100
2000000
0
0
0
true
ALL
COVERAGE
NodeSupport=1,SectorEquipmentFunction=53
DL_AND_UL
1
false
100

1
STANDARD
PRODUCT_DEFAULT

1
128
T
1

1
16
32
80
80
10
15
32
16

1
16
45
45
35
35
32

1
20
1
1000
400
1000
2000
3000
100

1
UNLOCKED
10.0.0.1
::
Sw ReleasTemplate skeleton name
21.Q2 NSA_5G_BB\5GBB_Radio
CIS

RDN
ManagedElement(1)
ManagedElement(1),Equipment(1)
ManagedElement(1),Equipment(1).equipmentId
ManagedElement(1),Equipment(1).userLabel
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1)
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1).fieldReplaceableUnitId
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1).administrativeState
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1).isSharedWithExternalMe
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1),TnPort(1)
ManagedElement(1),Equipment(1),FieldReplaceableUnit(1),TnPort(1).tnPortId
ManagedElement(1),NodeSupport(1)
ManagedElement(1),NodeSupport(1).nodeSupportId
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1)
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).serviceDiscoveryId
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).localAddress
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).primaryGsds(1)
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).primaryGsds(1).host
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).primaryGsds(1).port
ManagedElement(1),NodeSupport(1),ServiceDiscovery(1).primaryGsds(1).serviceArea
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1)
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).serviceDiscoveryServerId
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).localAddress
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).cluster(1)
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).cluster(1).host
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).cluster(1).port
ManagedElement(1),NodeSupport(1),ServiceDiscoveryServer(1).cluster(1).serviceArea
ManagedElement(1),NodeSupport(1),TimeSettings(1)
ManagedElement(1),NodeSupport(1),TimeSettings(1).timeSettingsId
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeOffset
ManagedElement(1),NodeSupport(1),TimeSettings(1).gpsToUtcLeapSeconds
ManagedElement(1),NodeSupport(1),TimeSettings(1).timeOffset
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeEndDate(1)
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeEndDate(1).dayRule
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeEndDate(1).month
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeEndDate(1).time
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeStartDate(1)
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeStartDate(1).dayRule
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeStartDate(1).month
ManagedElement(1),NodeSupport(1),TimeSettings(1).daylightSavingTimeStartDate(1).time
ManagedElement(1),SystemFunctions(1)
ManagedElement(1),SystemFunctions(1).systemFunctionsId
ManagedElement(1),SystemFunctions(1),Lm(1)
ManagedElement(1),SystemFunctions(1),Lm(1).lmId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(1)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(1).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(1).featureState
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(2)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(2).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(2).featureState
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(3)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(3).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(3).featureState
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(4)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(4).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(4).featureState
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(5)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(5).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(5).featureState
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(6)
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(6).featureStateId
ManagedElement(1),SystemFunctions(1),Lm(1),FeatureState(6).featureState
ManagedElement(1),SystemFunctions(1),SecM(1)
ManagedElement(1),SystemFunctions(1),SecM(1).secMId
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1)
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1).userManagementId
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1).loginFailureDelay
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1).targetType
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1)
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1).userIdentityId
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1)
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1).maintenanceU
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1).userName
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1).password(1)
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1).password(1).c
ManagedElement(1),SystemFunctions(1),SecM(1),UserManagement(1),UserIdentity(1),MaintenanceUser(1).password(1).p
ManagedElement(1),SystemFunctions(1),SysM(1)
ManagedElement(1),SystemFunctions(1),SysM(1).sysMId
ManagedElement(1),SystemFunctions(1),SysM(1),CliSsh(1)
ManagedElement(1),SystemFunctions(1),SysM(1),CliSsh(1).cliSshId
ManagedElement(1),SystemFunctions(1),SysM(1),CliSsh(1).administrativeState
ManagedElement(1),SystemFunctions(1),SysM(1),CliSsh(1).port
ManagedElement(1),SystemFunctions(1),SysM(1),OamAccessPoint(1)
ManagedElement(1),SystemFunctions(1),SysM(1),OamAccessPoint(1).oamAccessPointId
ManagedElement(1),SystemFunctions(1),SysM(1),OamAccessPoint(1).accessPoint
ManagedElement(1),SystemFunctions(1),SysM(1),OamTrafficClass(1)
ManagedElement(1),SystemFunctions(1),SysM(1),OamTrafficClass(1).oamTrafficClassId
ManagedElement(1),SystemFunctions(1),SysM(1),OamTrafficClass(1).dscp
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1)
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1).snmpId
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1).administrativeState
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1).enableSourceCheckForV1V2C
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1).port
ManagedElement(1),SystemFunctions(1),SysM(1),Snmp(1).portDtls
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1)
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1).timeMId
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1)
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1).ntpId
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(1)
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(1).ntpServerId
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(1).administrativeState
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(1).serverAddress
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(1).userLabel
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(2)
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(2).ntpServerId
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(2).administrativeState
ManagedElement(1),SystemFunctions(1),SysM(1),TimeM(1),Ntp(1),NtpServer(2).serverAddress
ManagedElement(1),Transport(1)
ManagedElement(1),Transport(1).transportId
ManagedElement(1),Transport(1),EthernetPort(1)
ManagedElement(1),Transport(1),EthernetPort(1).ethernetPortId
ManagedElement(1),Transport(1),EthernetPort(1).administrativeState
ManagedElement(1),Transport(1),EthernetPort(1).admOperatingMode
ManagedElement(1),Transport(1),EthernetPort(1).autoNegEnable
ManagedElement(1),Transport(1),EthernetPort(1).encapsulation
ManagedElement(1),Transport(1),EthernetPort(1).lldpTransmit
ManagedElement(1),Transport(1),QosProfiles(1)
ManagedElement(1),Transport(1),QosProfiles(1).qosProfilesId
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1)
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).dscpPcpMapId
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).defaultPcp
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp0
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp1
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp2
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp3
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp4
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp5
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp6
ManagedElement(1),Transport(1),QosProfiles(1),DscpPcpMap(1).pcp7
ManagedElement(1),Transport(1),Router(1)
ManagedElement(1),Transport(1),Router(1).routerId
ManagedElement(1),Transport(1),Router(1).hopLimit
ManagedElement(1),Transport(1),Router(1).pathMtuExpiresIPv6
ManagedElement(1),Transport(1),Router(1).ttl
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1)
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).interfaceIPv4Id
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).arpTimeout
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).bfdStaticRoutes
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).encapsulation
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).loopback
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).mtu
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).pcpArp
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1).userLabel
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1)
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1).addressIPv4Id
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1).configurationMode
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1).dhcpClientIdentifierType
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1).primaryAddress
ManagedElement(1),Transport(1),Router(1),InterfaceIPv4(1),AddressIPv4(1).userLabel
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1)
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1).routeTableIPv4StaticId
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1)
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1).dstId
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1).dst
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1),NextHop(1)
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1),NextHop(1).nextHopId
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1),NextHop(1).adminDistance
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1),NextHop(1).bfdMonitoring
ManagedElement(1),Transport(1),Router(1),RouteTableIPv4Static(1),Dst(1),NextHop(1).discard
ManagedElement(1),Transport(1),Router(1),TwampResponder(1)
ManagedElement(1),Transport(1),Router(1),TwampResponder(1).twampResponderId
ManagedElement(1),Transport(1),Router(1),TwampResponder(1).ipAddress
ManagedElement(1),Transport(1),Router(1),TwampResponder(1).udpPort
ManagedElement(1),Transport(1),Router(1),TwampResponder(1).userLabel
ManagedElement(1),Transport(1),Router(2)
ManagedElement(1),Transport(1),Router(2).routerId
ManagedElement(1),Transport(1),Router(2).hopLimit
ManagedElement(1),Transport(1),Router(2).pathMtuExpiresIPv6
ManagedElement(1),Transport(1),Router(2).ttl
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1)
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).interfaceIPv4Id
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).arpTimeout
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).bfdStaticRoutes
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).egressQosMarking
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).encapsulation
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).loopback
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).mtu
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).pcpArp
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1).routesHoldDownTimer
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1)
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1).addressIPv4Id
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1).configurationMode
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1).dhcpClientIdentifierType
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1).primaryAddress
ManagedElement(1),Transport(1),Router(2),InterfaceIPv4(1),AddressIPv4(1).userLabel
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1)
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1).routeTableIPv4StaticId
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1)
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1).dstId
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1).dst
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1),NextHop(1)
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1),NextHop(1).nextHopId
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1),NextHop(1).adminDistance
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1),NextHop(1).bfdMonitoring
ManagedElement(1),Transport(1),Router(2),RouteTableIPv4Static(1),Dst(1),NextHop(1).discard
ManagedElement(1),Transport(1),Router(3)
ManagedElement(1),Transport(1),Router(3).routerId
ManagedElement(1),Transport(1),Router(3).hopLimit
ManagedElement(1),Transport(1),Router(3).pathMtuExpiresIPv6
ManagedElement(1),Transport(1),Router(3).ttl
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1)
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).interfaceIPv4Id
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).arpTimeout
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).bfdStaticRoutes
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).encapsulation
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).loopback
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).mtu
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).pcpArp
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1).userLabel
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1)
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1).addressIPv4Id
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1).configurationMode
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1).dhcpClientIdentifierType
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1).primaryAddress
ManagedElement(1),Transport(1),Router(3),InterfaceIPv4(1),AddressIPv4(1).userLabel
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1)
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1).routeTableIPv4StaticId
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1)
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1).dstId
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1).dst
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1),NextHop(1)
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1),NextHop(1).nextHopId
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1),NextHop(1).adminDistance
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1),NextHop(1).bfdMonitoring
ManagedElement(1),Transport(1),Router(3),RouteTableIPv4Static(1),Dst(1),NextHop(1).discard
ManagedElement(1),Transport(1),Router(4)
ManagedElement(1),Transport(1),Router(4).routerId
ManagedElement(1),Transport(1),Router(4).hopLimit
ManagedElement(1),Transport(1),Router(4).pathMtuExpiresIPv6
ManagedElement(1),Transport(1),Router(4).ttl
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1)
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).interfaceIPv4Id
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).arpTimeout
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).bfdStaticRoutes
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).egressQosMarking
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).encapsulation
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).loopback
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).mtu
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).pcpArp
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1).routesHoldDownTimer
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1)
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1).addressIPv4Id
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1).address
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1).configurationMode
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1).dhcpClientIdentifierType
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(1),AddressIPv4(1).primaryAddress
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2)
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).interfaceIPv4Id
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).arpTimeout
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).bfdStaticRoutes
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).egressQosMarking
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).encapsulation
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).loopback
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).mtu
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).pcpArp
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2).routesHoldDownTimer
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1)
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1).addressIPv4Id
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1).address
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1).configurationMode
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1).dhcpClientIdentifierType
ManagedElement(1),Transport(1),Router(4),InterfaceIPv4(2),AddressIPv4(1).primaryAddress
ManagedElement(1),Transport(1),SctpEndpoint(1)
ManagedElement(1),Transport(1),SctpEndpoint(1).sctpEndpointId
ManagedElement(1),Transport(1),SctpEndpoint(1).localIpAddress
ManagedElement(1),Transport(1),SctpEndpoint(1).portNumber
ManagedElement(1),Transport(1),SctpEndpoint(1).sctpProfile
ManagedElement(1),Transport(1),SctpEndpoint(1).userLabel
ManagedElement(1),Transport(1),SctpEndpoint(2)
ManagedElement(1),Transport(1),SctpEndpoint(2).sctpEndpointId
ManagedElement(1),Transport(1),SctpEndpoint(2).localIpAddress
ManagedElement(1),Transport(1),SctpEndpoint(2).portNumber
ManagedElement(1),Transport(1),SctpEndpoint(2).sctpProfile
ManagedElement(1),Transport(1),SctpEndpoint(3)
ManagedElement(1),Transport(1),SctpEndpoint(3).sctpEndpointId
ManagedElement(1),Transport(1),SctpEndpoint(3).localIpAddress
ManagedElement(1),Transport(1),SctpEndpoint(3).portNumber
ManagedElement(1),Transport(1),SctpEndpoint(3).sctpProfile
ManagedElement(1),Transport(1),SctpProfile(1)
ManagedElement(1),Transport(1),SctpProfile(1).sctpProfileId
ManagedElement(1),Transport(1),SctpProfile(1).alphaIndex
ManagedElement(1),Transport(1),SctpProfile(1).assocMaxRtx
ManagedElement(1),Transport(1),SctpProfile(1).betaIndex
ManagedElement(1),Transport(1),SctpProfile(1).bundlingActivated
ManagedElement(1),Transport(1),SctpProfile(1).bundlingAdaptiveActivated
ManagedElement(1),Transport(1),SctpProfile(1).bundlingTimer
ManagedElement(1),Transport(1),SctpProfile(1).cookieLife
ManagedElement(1),Transport(1),SctpProfile(1).dscp
ManagedElement(1),Transport(1),SctpProfile(1).hbMaxBurst
ManagedElement(1),Transport(1),SctpProfile(1).heartbeatActivated
ManagedElement(1),Transport(1),SctpProfile(1).heartbeatInterval
ManagedElement(1),Transport(1),SctpProfile(1).incCookieLife
ManagedElement(1),Transport(1),SctpProfile(1).initARWnd
ManagedElement(1),Transport(1),SctpProfile(1).initialHeartbeatInterval
ManagedElement(1),Transport(1),SctpProfile(1).initRto
ManagedElement(1),Transport(1),SctpProfile(1).maxActivateThr
ManagedElement(1),Transport(1),SctpProfile(1).maxBurst
ManagedElement(1),Transport(1),SctpProfile(1).maxInitRt
ManagedElement(1),Transport(1),SctpProfile(1).maxInStreams
ManagedElement(1),Transport(1),SctpProfile(1).maxOutStreams
ManagedElement(1),Transport(1),SctpProfile(1).maxRto
ManagedElement(1),Transport(1),SctpProfile(1).maxSctpPduSize
ManagedElement(1),Transport(1),SctpProfile(1).maxShutdownRt
ManagedElement(1),Transport(1),SctpProfile(1).minActivateThr
ManagedElement(1),Transport(1),SctpProfile(1).minRto
ManagedElement(1),Transport(1),SctpProfile(1).noSwitchback
ManagedElement(1),Transport(1),SctpProfile(1).pathMaxRtx
ManagedElement(1),Transport(1),SctpProfile(1).primaryPathAvoidance
ManagedElement(1),Transport(1),SctpProfile(1).primaryPathMaxRtx
ManagedElement(1),Transport(1),SctpProfile(1).sackTimer
ManagedElement(1),Transport(1),SctpProfile(1).thrTransmitBuffer
ManagedElement(1),Transport(1),SctpProfile(1).thrTransmitBufferCongCeased
ManagedElement(1),Transport(1),SctpProfile(1).transmitBufferSize
ManagedElement(1),Transport(1),Synchronization(1)
ManagedElement(1),Transport(1),Synchronization(1).synchronizationId
ManagedElement(1),Transport(1),Synchronization(1).fixedPosition
ManagedElement(1),Transport(1),Synchronization(1).telecomStandard
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1)
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).radioEquipmentClockId
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).bfnOffset
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).freqDeviationThreshold
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).selectionProcessMode
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).minQualityLevel(1)
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).minQualityLevel(1).qualityLevelValueOption
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).minQualityLevel(1).qualityLevelValueOption
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1).minQualityLevel(1).qualityLevelValueOption
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1)
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1).nodeGroupSyn
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1).administrativeS
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1).selectionMode
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1).syncNodePriori
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),NodeGroupSyncMember(1).syncRiPortCan
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1)
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).radioEq
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).adminis
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).encaps
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).priority
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).adminQ
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).adminQ
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).adminQ
ManagedElement(1),Transport(1),Synchronization(1),RadioEquipmentClock(1),RadioEquipmentClockReference(1).adminQ
ManagedElement(1),Transport(1),Synchronization(1),TimeSyncIO(1)
ManagedElement(1),Transport(1),Synchronization(1),TimeSyncIO(1).timeSyncIOId
ManagedElement(1),Transport(1),Synchronization(1),TimeSyncIO(1).encapsulation
ManagedElement(1),Transport(1),VlanPort(1)
ManagedElement(1),Transport(1),VlanPort(1).vlanPortId
ManagedElement(1),Transport(1),VlanPort(1).encapsulation
ManagedElement(1),Transport(1),VlanPort(1).isTagged
ManagedElement(1),Transport(1),VlanPort(1).lowLatencySwitching
ManagedElement(1),Transport(1),VlanPort(2)
ManagedElement(1),Transport(1),VlanPort(2).vlanPortId
ManagedElement(1),Transport(1),VlanPort(2).encapsulation
ManagedElement(1),Transport(1),VlanPort(2).isTagged
ManagedElement(1),Transport(1),VlanPort(2).lowLatencySwitching
ManagedElement(1),Transport(1),VlanPort(2).userLabel
ManagedElement(1),Transport(1),VlanPort(3)
ManagedElement(1),Transport(1),VlanPort(3).vlanPortId
ManagedElement(1),Transport(1),VlanPort(3).encapsulation
ManagedElement(1),Transport(1),VlanPort(3).isTagged
ManagedElement(1),Transport(1),VlanPort(3).lowLatencySwitching
Common Input Sheet - Template Na
NSA_5G_BB\5GBB_Sitebasic_TN
MO and Attribute
ManagedElement
Equipment
equipmentId
userLabel
FieldReplaceableUnit
fieldReplaceableUnitId
administrativeState
isSharedWithExternalMe
TnPort
tnPortId
NodeSupport
nodeSupportId
ServiceDiscovery
serviceDiscoveryId
localAddress
primaryGsds
primaryGsds(1).host
primaryGsds(1).port
primaryGsds(1).serviceArea
ServiceDiscoveryServer
serviceDiscoveryServerId
localAddress
cluster
cluster(1).host
cluster(1).port
cluster(1).serviceArea
TimeSettings
timeSettingsId
daylightSavingTimeOffset
gpsToUtcLeapSeconds
timeOffset
daylightSavingTimeEndDate
daylightSavingTimeEndDate(1).dayRu
daylightSavingTimeEndDate(1).month
daylightSavingTimeEndDate(1).time
daylightSavingTimeStartDate
daylightSavingTimeStartDate(1).dayR
daylightSavingTimeStartDate(1).mont
daylightSavingTimeStartDate(1).time
SystemFunctions
systemFunctionsId
Lm
lmId
FeatureState
featureStateId
featureState
FeatureState
featureStateId
featureState
FeatureState
featureStateId
featureState
FeatureState
featureStateId
featureState
FeatureState
featureStateId
featureState
FeatureState
featureStateId
featureState
SecM
secMId
UserManagement
userManagementId
loginFailureDelay
targetType
UserIdentity
userIdentityId
MaintenanceUser
maintenanceUserId
userName
password
password(1).cleartext
password(1).password
SysM
sysMId
CliSsh
cliSshId
administrativeState
port
OamAccessPoint
oamAccessPointId
accessPoint
OamTrafficClass
oamTrafficClassId
dscp
Snmp
snmpId
administrativeState
enableSourceCheckForV1V2C
port
portDtls
TimeM
timeMId
Ntp
ntpId
NtpServer
ntpServerId
administrativeState
serverAddress
userLabel
NtpServer
ntpServerId
administrativeState
serverAddress
Transport
transportId
EthernetPort
ethernetPortId
administrativeState
admOperatingMode
autoNegEnable
encapsulation
lldpTransmit
QosProfiles
qosProfilesId
DscpPcpMap
dscpPcpMapId
defaultPcp
pcp0
pcp1
pcp2
pcp3
pcp4
pcp5
pcp6
pcp7
Router
routerId
hopLimit
pathMtuExpiresIPv6
ttl
InterfaceIPv4
interfaceIPv4Id
arpTimeout
bfdStaticRoutes
encapsulation
loopback
mtu
pcpArp
userLabel
AddressIPv4
addressIPv4Id
configurationMode
dhcpClientIdentifierType
primaryAddress
userLabel
RouteTableIPv4Static
routeTableIPv4StaticId
Dst
dstId
dst
NextHop
nextHopId
adminDistance
bfdMonitoring
discard
TwampResponder
twampResponderId
ipAddress
udpPort
userLabel
Router
routerId
hopLimit
pathMtuExpiresIPv6
ttl
InterfaceIPv4
interfaceIPv4Id
arpTimeout
bfdStaticRoutes
egressQosMarking
encapsulation
loopback
mtu
pcpArp
routesHoldDownTimer
AddressIPv4
addressIPv4Id
configurationMode
dhcpClientIdentifierType
primaryAddress
userLabel
RouteTableIPv4Static
routeTableIPv4StaticId
Dst
dstId
dst
NextHop
nextHopId
adminDistance
bfdMonitoring
discard
Router
routerId
hopLimit
pathMtuExpiresIPv6
ttl
InterfaceIPv4
interfaceIPv4Id
arpTimeout
bfdStaticRoutes
encapsulation
loopback
mtu
pcpArp
userLabel
AddressIPv4
addressIPv4Id
configurationMode
dhcpClientIdentifierType
primaryAddress
userLabel
RouteTableIPv4Static
routeTableIPv4StaticId
Dst
dstId
dst
NextHop
nextHopId
adminDistance
bfdMonitoring
discard
Router
routerId
hopLimit
pathMtuExpiresIPv6
ttl
InterfaceIPv4
interfaceIPv4Id
arpTimeout
bfdStaticRoutes
egressQosMarking
encapsulation
loopback
mtu
pcpArp
routesHoldDownTimer
AddressIPv4
addressIPv4Id
address
configurationMode
dhcpClientIdentifierType
primaryAddress
InterfaceIPv4
interfaceIPv4Id
arpTimeout
bfdStaticRoutes
egressQosMarking
encapsulation
loopback
mtu
pcpArp
routesHoldDownTimer
AddressIPv4
addressIPv4Id
address
configurationMode
dhcpClientIdentifierType
primaryAddress
SctpEndpoint
sctpEndpointId
localIpAddress
portNumber
sctpProfile
userLabel
SctpEndpoint
sctpEndpointId
localIpAddress
portNumber
sctpProfile
SctpEndpoint
sctpEndpointId
localIpAddress
portNumber
sctpProfile
SctpProfile
sctpProfileId
alphaIndex
assocMaxRtx
betaIndex
bundlingActivated
bundlingAdaptiveActivated
bundlingTimer
cookieLife
dscp
hbMaxBurst
heartbeatActivated
heartbeatInterval
incCookieLife
initARWnd
initialHeartbeatInterval
initRto
maxActivateThr
maxBurst
maxInitRt
maxInStreams
maxOutStreams
maxRto
maxSctpPduSize
maxShutdownRt
minActivateThr
minRto
noSwitchback
pathMaxRtx
primaryPathAvoidance
primaryPathMaxRtx
sackTimer
thrTransmitBuffer
thrTransmitBufferCongCeased
transmitBufferSize
Synchronization
synchronizationId
fixedPosition
telecomStandard
RadioEquipmentClock
radioEquipmentClockId
bfnOffset
freqDeviationThreshold
selectionProcessMode
minQualityLevel
minQualityLevel(1).qualityLevelValue
minQualityLevel(1).qualityLevelValueO
minQualityLevel(1).qualityLevelValueO
NodeGroupSyncMember
nodeGroupSyncMemberId
administrativeState
selectionMode
syncNodePriority
syncRiPortCandidate
RadioEquipmentClockReference
radioEquipmentClockReferenceId
administrativeState
encapsulation
priority
adminQualityLevel
adminQualityLevel(1).qualityLevelVal
adminQualityLevel(1).qualityLevelVal
adminQualityLevel(1).qualityLevelValu
TimeSyncIO
timeSyncIOId
encapsulation
VlanPort
vlanPortId
encapsulation
isTagged
lowLatencySwitching
VlanPort
vlanPortId
encapsulation
isTagged
lowLatencySwitching
userLabel
VlanPort
vlanPortId
encapsulation
isTagged
lowLatencySwitching
Template type Node Type
Baseband
Value

1
Equip_1

BPU-1
UNLOCKED
false

TN_A

1
Transport=1,Router=CUP,InterfaceIPv4=1,AddressIPv4=1

localhost
8301
NR

1
Transport=1,Router=CUP,InterfaceIPv4=1,AddressIPv4=1

localhost
8301
NR

1
1:00
18
+01:00

lastSun
OCTOBER
03:00

lastSun
MARCH
02:00

CXC4011823
ACTIVATED

CXC4011915
ACTIVATED

CXC4040018
ACTIVATED

CXC4040008
ACTIVATED

CXC4011838
ACTIVATED

CXC4012379
ACTIVATED

1
5
RADIOALL

1
rbs

true
rbs

1
UNLOCKED
2023

1
Transport=1,Router=OAM,InterfaceIPv4=1,AddressIPv4=1

1
40

1
UNLOCKED
false
161
10161

1
UNLOCKED
10.95.104.205
1

2
UNLOCKED
10.95.104.206

TN_A
UNLOCKED
10G_FULL
false
Equipment=1,FieldReplaceableUnit=BPU-1,TnPort=TN_A
DISABLED

1
0
0;1;2;3;4;5;6;7
8;9;10;11;12;13;14;15
16;17;18;19;20;21;22;23
24;25;26;27;28;29;30;31
32;33;34;35;36;37;38;39
40;41;42;43;44;45;46;47
48;49;50;51;52;53;54;55
56;57;58;59;60;61;62;63

CUP
64
86400
64

1
300
DISABLED
Transport=1,VlanPort=CUP
false
1800
6
CUP

1
MANUAL
AUTOMATIC
true
CUP

1
0.0.0.0/0

1
1
true
false

CUCP
64
86400
64
1
300
DISABLED
Transport=1,QosProfiles=1,DscpPcpMap=1
Transport=1,VlanPort=CUCP
false
1800
6
180

2
MANUAL
AUTOMATIC
true
CUCP

1
0.0.0.0/0

1
1
true
false

OAM
64
86400
64

1
300
DISABLED
Transport=1,VlanPort=OAM
false
1500
6
OAM

1
MANUAL
AUTOMATIC
true
OAM

1
0.0.0.0/0

1
1
true
false
Node_Internal_F1
64
86400
64

NRCUCP

true
1500

NRCUCP
10.0.0.1/32

NRDU

true
1500

NRDU
10.0.0.2/32

gNB
Transport=1,Router=CUCP,InterfaceIPv4=1,AddressIPv4=2
36422
Transport=1,SctpProfile=1
ip_sctp

F1_NRCUCP
Transport=1,Router=Node_Internal_F1,InterfaceIPv4=NRCUCP,AddressIPv4=NRCUCP
38472
Transport=1,SctpProfile=1

F1_NRDU
Transport=1,Router=Node_Internal_F1,InterfaceIPv4=NRDU,AddressIPv4=NRDU
38472
Transport=1,SctpProfile=1

1
ALPHA_1_8TH
20
BETA_1_4TH
true
true
10
60
48
1
true
3000
30
32768
500
350
65535
4
8
16
16
3000
1480
5
1
150
true
10
true
10
100
192
85
256

1
true
OPTION_I

1
0
5000
QL_ENABLED

SSU_A
STU
UNK

1
UNLOCKED
Transport=1,Synchronization=1,TimeSyncIO=1
1

SSU_A
STU
UNK

1
Equipment=1,FieldReplaceableUnit=BPU-1,SyncPort=1

CUP
Transport=1,EthernetPort=TN_A
true
false

CUCP
Transport=1,EthernetPort=TN_A
true
false
CUCP

OAM
Transport=1,EthernetPort=TN_A
true
false
Sw ReleasTemplate skeleton name
21.Q2 NSA_5G_BB\5GBB_Sitebasic_TN

You might also like