Professional Documents
Culture Documents
5.lima (Mux Demux)
5.lima (Mux Demux)
5.lima (Mux Demux)
CCE61206
Pemrograman FPGA
Agenda
• Introduction
• Review Sistem Digital
• FPGA design dengan Xilinx
• Rangkaian kombinasional
• Rangkaian Enkoder, Decoder, Multiplekser, Demux
• Rangkaian sekuensial (counter)
• -----------------------------------UTS-------------------------------------
• Desain project dan presentasi
• -----------------------------------UAS-------------------------------------
Slide 2
20 September
2021
MULTIPLEXER
Slide 3
20 September
2021
Multiplexer
⚫ A multiplexer has
− N control inputs
− 2N data inputs
− 1 output
⚫ A multiplexer routes (or connects) the selected data input to the
output.
Data
Slide 4
inputs
Control
20 September input
2021
4 to 1 Multiplexer
Slide 5
20 September
2021
Slide 6
20 September
2021
Slide 7
20 September
2021
Unlike in encoder/decoder, “sel”
become input port in entity as
control port
Therefore, we don’t need to
declare it in architecture
Slide 8
20 September
2021
Dg cara sekuensial:
begin
process(sel)
begin
case sel is
when “111" => O <= I(7);
when “110" => O <= I(6);
when "101" => ...
...
....
....
end case;
end process;
Slide 9
20 September
2021
DEMULTIPLEXER
Slide 10
20 September
2021
Demultiplexer
⚫ A demultiplexer has
− N control inputs
− 1 data input
− 2N outputs
⚫ A demultiplexer routes (or connects) the data input to the selected output.
− The value of the control inputs determines the output that is selected.
⚫ A demultiplexer performs the opposite function of a multiplexer.
Slide 11
20 September
2021
library IEEE; out0
use IEEE.STD_LOGIC_1164.ALL;
bitin out1
entity demux1_4 is out2
port (
out0 : out std_logic; --output bit out3
out1 : out std_logic; --output bit
out2 : out std_logic; --output bit
out3 : out std_logic; --output bit
sel : in std_logic_vector(1 downto 0);
bitin : in std_logic --input bit sel
);
end demux1_4; bitin C0 C1 out0 out1 out2 out3
Slide 12
end Behavioral;
20 September
2021
Dg cara concurrent:
end Behavioral;
Slide 13
20 September
2021
Tugas kelompok multiplexer:
DOWNLOAD & SIMULASI MUX 4 to 1
Slide 14
20 September
2021