Memristive Devices For New Computing Paradigms: in Hyuk Im, Seung Ju Kim, and Ho Won Jang

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 23

PROGRESS REPORT

www.advintellsyst.com

Memristive Devices for New Computing Paradigms


In Hyuk Im, Seung Ju Kim, and Ho Won Jang*

significantly. However, the processor and


In complementary metal–oxide–semiconductor (CMOS)-based von Neumann memory performance have improved at
architectures, the intrinsic power and speed inefficiencies are worsened by the different rates. Consequently, the perfor-
drastic increase in information with big data. With the potential to store mance gap observed between memory
hierarchies causes a delay that is known
numerous values in I–V pinched hysteresis, memristors (memory resistors) have
as a von Neumann bottleneck. According
emerged as alternatives to existing CMOS-based computing systems. Herein, to the 2018 International Roadmap for
four types of memristive devices, namely, resistive switching, phase-change, Devices and Systems (IRDS), conventional
spintronics, and ferroelectric tunnel junction memristors, are explored. The computing architectures are expected to
application of these devices to a crossbar array (CBA), which is a novel concept of reach their physical limits in terms of
performance by 2024.[3] However, three
integrated architecture, is a step toward the realization of ultradense electronics.
significant problems arise, of which the
Exploiting the fascinating capabilities of memristive devices, computing systems first is volatility. A CMOS operates by
can be developed with novel computing paradigms, in which large amounts of reading the capacitance values, which is
data can be stored and processed within CBAs. Looking further ahead, the ways advantageous in distinguishing on and
in which memristors could be incorporated in neuromorphic computing systems off states. However, small technical nodes
along with various artificial intelligence algorithms are established. Finally, result in high capacity leaks, energy losses,
and reliability issues. The second obstacle
perspectives and challenges that memristor technology should address to pro-
involves scaling. Because CMOS-based von
vide excellent alternatives to existing computing systems are discussed. The Neumann computing systems have been
infinite potential of memristors is the key to unlock new computing paradigms, developed using a three-terminal structure,
which pave the way for next-generation computing systems. which consists of a source, drain, and gate,
the device size typically exceeds 6F2 even
with smaller feature sizes. As a result, it
has become a common trend to fabricate
1. Introduction smaller and more integrated devices. The third problem involves
speed and energy issues. The incorporation of more sensors and
Since the first programmable computers were invented, people edge computing products has led to data explosion; therefore,
have no longer been restricted to paper or canvas to process data are processed slower due to von Neumann bottlenecks,
and store information. Due to the significant advances in com- and an enormous amount of energy is required. Although fea-
plementary metal–oxide–semiconductor (CMOS) technology, tures, such as bit-cost scalable (BiCS) technology[4] and a merger
computing performance has increased drastically based on of processor and memory have been introduced to overcome
Moore’s law[1] and Dennard’s law.[2] Currently, computing these issues,[5] it is still necessary to transition to novel comput-
systems are designed based on von Neumann architecture sys- ing systems that are more advanced than CMOS-based von
tems, in which the processor and memory regions are separated Neumann architectures.
and bridged by data buses. With the introduction of cache and Memristor (memory resistor) technology, which was proposed
improved storage capabilities, and with the development of by Chua,[6] has gained prominence as the most promising novel
transistor technology, computing performance has improved computing candidate. Unlike a CMOS, memristors, which show
pinched I–V hysteresis, identify values through the resistive
reading method rather than through the capacitance reading
I. H. Im, S. J. Kim, Prof. H. W. Jang
method.[7] Therefore, new computing systems based on memris-
Department of Materials Science and Engineering
Research Institute of Advanced Materials tors would give the opportunity to step toward next computing
Seoul National University technologies. Moreover, because memristors can be integrated
Seoul 08826, Republic of Korea into crossbar arrays (CBAs), the theoretical density is 4F2, which
E-mail: hwjang@snu.ac.kr is expected to overcome the scaling limit of CMOS-based
The ORCID identification number(s) for the author(s) of this article computing.
can be found under https://doi.org/10.1002/aisy.202000105. In this article, we introduce four types of memristor materials
© 2020 The Authors. Published by Wiley-VCH GmbH. This is an open and present their operation mechanisms in detail. We describe
access article under the terms of the Creative Commons Attribution what memristive CBAs consist of and how they operate, and we
License, which permits use, distribution and reproduction in any demonstrate the unique advantages of integrating memristors
medium, provided the original work is properly cited. into CBAs. Furthermore, we demonstrate two newly proposed
DOI: 10.1002/aisy.202000105 computing systems based on memristors. First, we introduce

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (1 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

memristive computing systems that have enabled in-memory nonvolatile memory devices are based on resistance switching,[10]
computing and logic design styles using memristors to improve prompted the actualization of the memristor, boosting the stud-
the efficiency of von Neumann computing systems. The concepts ies on various memristive mechanisms: resistive switching,
and operation principles of memory storage devices are pre- phase-change, spintronics, ferroelectric, and so on.
sented as excellent alternatives to NAND (nonvolatile memory) Memristors are devices that are characterized by their resis-
and dynamic random-access memory (DRAM) (volatile mem- tance states and are switched between a low-resistance state
ory). Then we examine the tentative stateful logic, which is (LRS) and a high-resistance state (HRS) depending upon the volt-
one type of logic-in-memory that consolidates a latch (storage) age history. When the resistance is varied from HRS to LRS at
and gate (computation). We also introduce neuromorphic the threshold voltage VSET, the memristor is “SET.” When it is
computing systems, which are inspired by biological neural switched from LRS to HRS at VRESET, the memristor is “RESET.”
networks, and demonstrate how an artificial intelligence (AI) A certain combination of metal electrodes and insulators organ-
algorithm can be embodied at the device level to process complex izes the structure of the memristor. When electrical stimuli are
data. The output is compared with that of conventional comput- applied through the electrodes, the resistance of the insulators
ing systems. Furthermore, we compare the four types of undergoes significant change. In a sense, this property can be
memristors with DRAM and NAND flash memories in terms exploited to store data as a resistance state with a robust retention
of various characteristics. Finally, this article explores a wide ability.
range of opportunities for applying new computing systems The emerging memory technologies include various types
based on memristors and the critical challenges to utilize them of memristors. In this section, four types of memristors are
as alternatives to CMOS technology. discussed: resistive switching,[11–15] phase-change,[16–19]
spintronics,[20–22] and ferroelectric.[23–25]

2. Memristors and CBAs 2.1.1. Resistive Switching


2.1. Memristors
Resistive switching is one of the most common types of two-
Memristors have emerged as next-generation nonvolatile terminal memristive devices that have been reported, especially
memory devices, and they have proven to be excellent alternatives in oxide-based devices, which were introduced with the invention
to conventional memory technologies, such as flash, DRAM, and of the TiO2-based resistive switching device by HP labs.[9] In gen-
static random-access memory (SRAM).[8] Unlike conventional eral, the switching layers are dielectrics, and the fundamental
memory technologies, which program the data as capacitance properties of resistive switching are based on the migration of
change, memristors can store data in the form of the difference ions, which belong to dielectrics or electrodes. Typically, the
in the resistance as opposed to the difference in the capacitance, ions involved include oxygen vacancies;[26–31] active metal
demonstrating nonvolatility with a long retention time of over cations;[11,15,32–34] and anions, such as halides[35,36] and
10 years. sulfurs.[37,38] The mechanism of resistive switching depends
In 1971, Chua mathematically proved the existence of a fourth on what type of ion migrates in the dielectric, and the typical
fundamental element, memristor (the abbreviation of memory mechanisms are an electrochemical mechanism (ECM) and a
resistor),[6] together with the known fundamental elements valence-change mechanism (VCM).[39]
(resistor R, capacitor C, and inductor L). The elements are The ECM is based on the electrochemical metallization of
defined as the constitutive correlation among a set of four vari- active metal species, such as Ag or Cu. As shown in
ables (voltage v, current i, charge q, and flux φ). The four variables Figure 1a,[36] metal cations, which are generated from the
constitute six different pairs, and five pairs of them {(v, i), (v, q), electrode, constitute the filament after electro-dissolution, migra-
(v, φ), (i, q), (i, φ)} had already been proven via mathematical rela- tion, and recrystallization driven by an external electrical bias,
tions. Chua derived the mathematical equation, dφ ¼ Mdq, which mimicking a redox-based nanobattery. An abrupt event in resis-
expresses the missing relationship between q and φ. Chua also tance is sparked off following the voltage history. A potential
demonstrated the pinched hysteresis loop upon controlling elec- difference promotes the migration of species to achieve the equi-
trical stimuli.[7] The concept of the memristor was devised as a librium condition triggering an electrochemical reaction and
two-terminal passive element to express the missing connection causing the hysteresis loop in the I–V curve. Microscopy has
between q and φ. At first, Chua’s theory was verified by using been conducted to observe the growth of Ag nanofilaments in
active and passive electrical circuit components. Due to its com- a SiO2 matrix.[43,44]
plexity, Chua’s theory was forgotten in the following few decades For resetting to the HRS, a reverse electromotive force accom-
until its physical validation was demonstrated by HP researchers. panies the rupture of filaments. Although the principle involved
They presented a physical model based on a TiO2 insulator layer in the RESET process is still unestablished, microscopic obser-
sandwiched between two metal electrodes, forming a two- vations might be able to clarify the relationship of voltage-
terminal architecture.[9] The demonstration of a memristor by activated rupture of filaments.[45,46] The remaining part of a
HP researchers in 2008 stimulated the introduction of innovative ruptured filament acts as the preferential site for the filament
electronic technologies ranging from storage device technologies to grow again upon exposure to the next stimulus.
to neuromorphic computing. This has galvanized the commer- Anion vacancies, the counterparts of oxygen ions, halide ions,
cial market to usher in a new age of ultradense nanoscale and so on, can be useful to reach an electronic equilibrium by
electronics. Chua’s suggestion in 2011 that all two-terminal altering their valence states.[47,48] In general, when a dielectric

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (2 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 1. Four types of memristor switching mechanism schemes with CBA. a) Schematic of resistive switching. Reproduced with permission.[36]
Copyright 2019, American Chemical Society. b) Schematic of phase-change. Reproduced with permission.[40] Copyright 2013, Wiley-VCH.
c) Schematic of spintronics. Reproduced with permission.[41] Copyright 2020, Wiley-VCH. d) Schematic of FTJ memristors. Reproduced with
permission.[42] Copyright 2017, American Chemical Society.

containing at least one transition-metal species is sandwiched An example of phase-change memory is shown in
between inert electrodes, such as Au and Pt, the anion vacancies Figure 1b.[40] When an electrical force is exerted between the
inherent in the transition-metal compound are preferentially top electrode and bottom metal heater, the current saturation
driven to react under a particular potential difference, thereby through the narrow metal heater stimulates the joule heating
changing the valance state of the transition-metal cations. process. In sequence, the phase-change material is heated by
These defects are diffused under the electrical field in the dielec- an internal temperature change.[62] The resistance contrast is
tric. They act as a medium to satisfy the electrical drift, and they derived from phase-change between an amorphous state, acting
generate a heterogeneous distribution of vacancies. The localized as an HRS, and a crystalline state, acting as an LRS. The differ-
oxygen vacancies lead to the formation of conductive filaments ence in structural disorder between two states is determined by
that connect two electrodes. It brings out a change in the resistance the distribution of internal vacancies.[63] Ordered vacancies give
of the memristor, showing a hysteresis loop in the I–V curve. rise to redesign of the band structure, where the localization of
The reset from LRS to HRS is activated by an electrical stimu- charge carriers is formed in a crystalline state causing an increase
lus in reverse polarity. This allows an opposite migration of in the conductivity.[64,65]
vacancies, restoration toward valance states, and the meeting The resistance contrast is determined by how the external
of stoichiometry.[49] Hence, the rupture of filaments is desired, voltage is programmed into the metal heater by controlling
and the return to HRS is accomplished. the magnitude and the length of the pulse. A lower voltage pulse
for sufficient time heats the phase-change material to its crystal-
lization temperature (>500 K[62]) to melt it, then cools it down
2.1.2. Phase-Change
slowly, allowing it to be crystallized, and finally sets it (SET).
Phase-change between an amorphous state and a crystalline During the programming of pulses, the phase-change material
state, encouraged by Joule heating, enables a device to be char- undergoes an incubation and crystallization process, resulting
acterized as a hysteretic memristor. The memristor based on the in LRS.[66] To switch the resistance of the device, a higher and
phase-change is the most mature technology among all emerging shorter pulse is programmed into the phase-change material
memristor technologies; it is even marketed in the storage class and melts it at a temperature higher than 1000 K,[67] then
memory (SCM) industry.[50] It can be said that phase-change rapidly cools it down, and finally resets it (RESET). The melted
memory technology has greatly contributed to the development material would be quenched rapidly and amorphized. Due to a
of emerging electronic technologies. The phase-change technol- lack of electron density, in consequence, it transitions from
ogy was first reported almost 50 years ago.[51] However, it has been LRS to HRS.
only a few decades since the phase-change technology has come
into the spotlight, motivated by research on Ge2Sb2Te5[52] or Ag- 2.1.3. Spintronics
and In-doped Sb2Te[53] based phase-change materials. Ge2Sb2Te5
is main material among chalcogenide materials, which are typi- Spin-based electronic devices have been developed since the
cally researched as phase-change materials.[54–57] Other examples discovery of the giant magnetoresistance in a spin valve struc-
include GeTe[58,59] and AgInSbTe.[60,61] tures layered by two ferromagnetic (FM) layers separated by a

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (3 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

nonmagnetic (NM) metal layer.[68] The relative magnetization An FTJ, shown in Figure 1d,[42] consists of two metal electro-
alignment can be manipulated by the application of an external des separated by a nanoscale insulator that exhibits the ferroelec-
magnetic field. The magnetizations of adjacent FM layers, tric characteristic. It is composed of the ultrathin ferroelectric
ordered by interlayer exchange coupling, determine the resistiv- barrier, and quantum electron tunneling is dominant mecha-
ity of these structures.[69] When the magnetizations in adjacent nism in this ferroic nanostructure, in which electrons penetrate
FM layers are oriented in parallel, electrons having the same through a potential barrier of the ultrathin insulator.[87] The flux
polarity to the magnetization can flow easily from one FM layer of electrons can be modulated by the alignment of ferroelectric
to another, resulting in LRS. However, when they are in antipar- polarization in the insulator, generating a giant tunnel electro-
allel orientations, electrons flowing through the spin valve struc- resistance (TER) effect.[88] The tunneling electrons are repelled
ture are very strongly affected by spin-dependent scattering, or attracted, depending on the polarity of the ferroelectric layer.
leading to HRS. When the ultrathin ferroelectric layer is sandwiched by two dif-
In 1996, Slonczewski first mentioned the spin-transfer torque ferent metals, it results in asymmetry of an energy band profile.
(STT) effect, in which the magnetization of magnetic structures With applied voltages, polarization charges are accumulated at
can be modified by a spin-polarized current without any external the interfaces and affect the electronic potential at the interfaces,
magnetic field.[70] This magnetoresistance phenomenon enables which is lowered or raised according to the direction of the
the alignment of magnetization to be controlled using the polarization. In consequence, electrons flowing through these
current flowing through a magnetic multilayer. interfaces are affected by modulated energy potential which is
The magnetic nanostructure consists of a thin NM spacer determined by polarization charges.[89] This screening phenom-
sandwiched by two FM layers. The FM layers include one FM enon produces a large resistance contrast in the FTJ, enabling
layer acting as a pinned layer, which maintains its polarization data storage and processing.
whether or not the current flows through it, and the other acting
as a free layer, which is easily modulated by the STT effect of the
2.2. Integration into CBA
polarized current. When electrons flow from the pinned layer to
the free layer, the electrons are scattered in this pinned layer,
Memristors are based on a two-terminal switching element that
oriented to identical polarity with its magnetization. These
can be implemented in a densely packed CBAs. A CBA consists
spin-polarized electrons are partly blocked and partly sent off
of four components: a word line that is biased, a bit line that is
from the NM spacer. The transmitted spin-polarized electrons
grounded, a selector for rectifying the operation error, and a
exert an angular momentum on the magnetization of the free
memristor for storage, as shown in Figure 2a.[90] At every
layer if it has an antiparallel orientation with the pinned layer.
overlap point between each word line and bit line (inset of
This STT effect leads to a reorientation of the magnetization,
Figure 2a), the selector (S) and memristor (R) act as one cell
which is in a parallel alignment, showing decreased resistance.
(1S-1R). A voltage can be applied through the word line, and
The STT effect can be utilized in spin-transfer torque magnetic
the applied voltage exceeds a certain threshold value to exploit
random-access memory (STT-MRAM) as a write process.[71–74]
the memristor.
In addition to the STT effect, the magnetization reorientation
The major advantage of this novel architecture is that it
can be also induced by spin-orbit torque (SOT). As shown in
overcomes the physical limitations of charge-based memory
Figure 1c,[41] the SOT effect in a multilayer of heavy metal
technologies, such as DRAM and flash memory. The perfor-
(HM), FM, and antiferromagnetic (AFM), which may consist
mance of charge-based memory deteriorates with down-scaling.
of various other magnetic combinations, originates from a
The smaller the technology node gets, the more severe the charge
spin-orbit interaction, such as the spin Hall effect (SHE).[75]
leakage becomes. In contrast, emerging technologies theoreti-
When an in-plane current flows through the HM layer with a
cally do not show performance deterioration with size reduction.
collinear magnetic field, the electrons with antiparallel spins
Furthermore, they can achieve the device dimension of 4F2, as
experience spin-scattering to its interface while going through
shown in Figure 2b,[91] which is the smallest size among the
this layer, leading to spin accumulation at the interface. Thus,
existing technologies.
this accumulation exerts a spin angular momentum on the FM
Many experts have expected that CBA will be the dominant
as a free layer, causing reorientation of its magnetization.[76,77]
memory architecture with emerging memristors because of its
Through spin-orbit torque magnetic random-access memory
nanoscale scalability.[13,95] Due to a facile process and a wide
(SOT-MRAM), unlike STT-MRAM, a reoriented magnetization
range of material choices, a hybrid memristor combined with
is not initiated by thermal effects.[78] Thanks to its advantage,
a CMOS system through the back end of lines (BEOL) of the
SOT-MRAM has attracted increasing attention.[79–81]
CMOS process is also possible.[96–98]
Compared with the conventional memory that includes a gate,
2.1.4. Ferroelectric Tunnel Junction CBA can access each cell individually.[93] A cell can be accessed
by biasing into the word line and the bit line, which are con-
Although ferroelectric memory was discovered long ago,[82] it nected with the desired cell. The resistance states can be read
did not attract significant attention due to its large scale for by sensing the voltage of the reference resistor, which forms a
fabrication. Recently, a novel concept of its application, utilizing voltage divider with the resistance of the cell.[99] The cells within
ferroelectric tunnel junctions (FTJs), was suggested in 2006.[83] the architecture can be programmed with a fast speed according
It became one of emerging technologies for storage memory[84] to the input bias, which is followed by the immediate output cur-
and neuromorphic computation.[85,86] rent (Figure 2c[92]).

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (4 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 2. a) A schematic illustration of a memristive CBA. The inset is the cross-sectional SEM view of 1S-1R at a cross-point. Reproduced with per-
mission.[90] Copyright 2013, Wiley-VCH. b) SEM image of CBA. The cell area is 4F2, which is highly dense architecture. Reproduced with permission.[91]
Copyright 2009, American Chemical Society. c) A plot of write-read-erase cycles measured through current change that is modulated by programmed bias.
The top data shows the input bias for write-read-erase sequence operation. The bottom curve shows the output current which shows corresponding
resistance state. Reproduced with permission.[92] Copyright 2008, American Chemical Society. d) Illustration of the operation scheme. Only the selected
cell constitutes the voltage divider in ideal case. In real case, sneak current flowing through unselected cell. Reproduced with permission.[93] Copyright
2012, Elsevier. e) Ideal I–V curve of 1S-1R device. The current at Vread/2 decreases when the memristor is connected to a selector. f ) Calculated readout
margin (ΔVout/Vpu) as a function of number of word lines. Reproduced with permission.[94] Copyright 2018, American Chemical Society.

Massive data from megabytes to terabytes can be stored in an Unfortunately, Vread cannot be biased to only the target cell.
overall CBA composed of numerous crossbar subarrays.[98,100] Due to its architecture in which the metal lines are shared in
Each of these subarrays should be as large as possible and should parallel, many leakage paths flow through the unselected cells
perform its role without any errors during operation. sharing the metal lines with the target cell, which is referred
to as “sneak current.”[99,101,102] This results in parallel biasing
2.2.1. Inevitable Issue; Sneak Current to the target cell and an unknown resistor, which is made up
of neighboring unselected cells. Sneak current can disturb the
The data stored in the memristor correspond to its resistance operation in a passive CBA due to its contribution to the flowing
states. The resistance states, HRS and LRS, are programmed current through the voltage divider. In Figure 2d, the selected
as two Boolean values: “0” and “1”. The programming operation word line is shared among the five cells, and the selected bit line
is quite simple. As mentioned earlier, we select the word line and is shared among the same number of cells. In a real case, the
the bit line to program the cell connected with both of them. current can flow easily through the cells sharing the selected
Ideally, as shown in Figure 2d,[93] only the target cell, which lines if they are in LRS. The worst case is that all the neighboring
is sandwiched between the selected word and bit lines, should cells are in LRS.[93] The VR (applied voltage) is split by the three
be read, as depicted by its equivalent circuit, where the current resistance components, which are half-selected cells sharing the
only flows through the resistance of the target cell. word line, half-selected cells sharing the bit line, and unselected

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (5 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

cells not sharing the metal lines. The overall resistance of the the 1S-1R structure using an Ag-doped SiOxNy threshold switch
sneak current is determined by those three resistances. that is a bipolar diodes and achieved an increased maximum
The amount of current during the writing and erasing opera- value for word lines (N) from 6 to 3.5  105, satisfying at least
tion is so much larger than that of the sneak current that it is not a 10% readout margin (Figure 2f ),[94] which should be met
severe in these cases. However, the sneak current issue is critical for achieving the desired error probability.[117]
in reading operation, which takes place at a lower voltage than Yoon et al. fabricated a double-layer-stacked 1D-1R CBA using
that of former operations, resulting in a poor readout margin, a TiO2-based unipolar diode with a rectification ratio of
as shown in Figure 2f.[94] Furthermore, it is aggravated with 8.4  108.[108] A 1BT-1R structure was presented by Aluguri
increasing array size; thus, the maximum capacity of the array et al., who adopted an oxide-based p-n-p bipolar transistor and
is limited.[103] This is one of the significant challenges that demonstrated an increased number of word lines for a 10%
should be addressed before memristors can be widely commer- readout margin, achieving 806-word lines, which can make a
cialized. Recently, numerous studies have attempted to address 600 kB CBA.[115]
this issue,[93,94,104–109] primarily focusing on the operation Diverse approaches have been taken to develop new applica-
schemes to control the voltage of the unselected cells and the tions of memristors. The CBA of memristors can be utilized not
selection devices to rectify the sneak currents. only as computing components[15,32,98,118] and photovoltaic
cells[119,120] but also neuromorphic systems.[121] Although the
main focus has been directed on the storage, various novel
2.2.2. Operation Schemes and Selection Devices
concepts have been proposed ranging from memristive logic
and photo-memory to neuromorphic systems. The concepts of
Some operation schemes optimize the readout margin by con-
memristive computing and neuromorphic computing, which
trolling the bias applied to the unselected lines. The all-floated
are the improved or reformed von Neumann architecture, will
case is a basic scheme; all unselected lines are simply floated,
be discussed in detail in the next sections.
being left unbiased, and only the selected lines are biased to
Vread. Because the voltage that is applied to each unselected
LRS cell is unpredictable, this scheme results in the worst read-
out margin among the aforementioned schemes.[103] Hence,
3. Memristive Computing
applying certain voltages to unselected lines, such as the 3.1. Storage
Vread/2, Vread/3, and all-grounded schemes, can help to obtain
a reliable readout margin. Typically, the current flowing in an As previously mentioned, conventional memory technologies
N  M CBA can be derived from Kirchoff ’s law, which is used encounter challenges of scaling and performance. Currently,
to calculate the current continuity through a numerical method, the dominant technologies for the SCM and main memory
if the all voltage variation in the CBA can be estimated.[110] are NAND and DRAM, respectively. To improve the von
Research is still underway for the optimum operation schemes Neumann computing, the engineers have been seeking a break-
yielding the best readout margin with the lowest power con- through to reorganize the conventional von Neumann structure.
sumption.[103,110–112] Recent studies have demonstrated that the memristive CBA has
As well as the certain voltage being applied to the unselected outstanding performance[122–124] and scalability;[12,13,95] there-
lines, the introduction of selection devices in series with the cells fore, it is emerging as the most likely candidate to replace
is another solution to restrict the sneak current. The selection CMOS technologies. Furthermore, Intel released Optane mem-
devices should have rectifying characteristics, reducing the cur- ory, which is based on phase-change memory technology, has
rent in a low voltage area, which is equal to the applied voltage at redefined the traditional hierarchy between NAND (SCM) and
an unselected cell, such as Vread/2 or Vread/3. Figure 2e presents DRAM (main memory).[50] This section will discuss the param-
a typical I–V curve in a cross-point composed of one selection eters that determine the practical use of memristive storage.
device (1S) and one memristor (1R). If the memristor is in In current semiconductor markets, the main goal of
LRS, the current flowing through the 1S-1R significantly memristive systems is the new generation of storage memory
decreases compared with the current flowing through the technologies.[3] To ensure its reliability, endurance, and reten-
standalone 1R, resulting in a negligible contribution of the sneak tion, a distinguished memory window (on/off ratio) is required.
current to a reading current. Lee et al. reported an oxide barrier Endurance is the maximum number of cycles to reliably switch
using a TaOx/TiO2/TaOx structure to suppress the sneak cur- between on and off; thus, the higher the endurance is, the better
rent.[113] When the standalone TiO2 layer, which showed resistive it is for frequent programming. Retention is the most critical
switching, was in LRS, the current reached 1.6 mA at Vread/2. parameter in nonvolatile memory technology; it indicates the
However, the current decreased to 37 nA with application of time until stored data is lost. DRAM suffers from a short reten-
the oxide multi-barrier as a selection layer. Hua et al. demon- tion time, requiring repeated refresh steps, and finally inducing
strated the ultra-low leakage current of <1 pA by introducing power dissipation. Therefore, retention time is strongly related
an Ag nanodots threshold switch which shows a very steep slope to energy efficiency, which is important as the data increase.
of 0.65 mV dec1. Consequently, an enhanced readout margin The on/off ratio determines the memory window of a device
was achieved.[105] and the memory window affects operation error probability
Typically, the selection devices that are used to reduce during programming of the memristor. Therefore, the on/off
the leakage are bipolar diodes,[94,105,107,109,113,114] unipolar ratio should be as large as possible to ensure reliable storage
diodes,[90,106,108] and transistors.[115,116] Lee et al. investigated operation.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (6 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Recently, various memristive studies at the single-cell level When the devices consist of flexible dielectrics, such as
have shown a high endurance of >1014,[125] long retention of organic material, and fine-patterned electrodes, they can easily
>10 years,[31] and a large memory window of >108.[121] be adapted to flexible memory because of memristors’ simple
Table 1 summarizes the scalability, reliability, and performance structure. Consequently, they have potential for application in
compared with conventional CMOS-technologies such as NAND wearable electronics and flexible radio-frequency identification
and DRAM. In all aspects, memristors are as competitive as (RFID) tags, which are intensively studied for utilization in
CMOS-technologies, verifying that the memristors will form the Internet-of-Things. Figure 3f shows a microscopic image
the basis of next-generation storage technology. of CBA based on Ag microwire/silk fibroin microwire/Ag micro-
For practical large-scale memristive storage, the devices wire, which was demonstrated by Pan et al.[145] This device shows
should guarantee a considerable yield when they are integrated. sufficient storage performances under a 5 nm curvature radius
As shown in Figure 3a, Jo et al. demonstrated a large-scale bending as shown in Figure 3g.
(2 Gbits cm2) CBA based on a Si-based memristor.[91] Due to its versatility, other studies have been conducted on
Figure 3b shows the yield map of 400 bits within the 1 kb specific applications of CBA storage, such as photo-memory
CBA. All crosspoints were written by 200 μS pulses to switch which is a combination of a photodetector and a storage ele-
to LRS, resulting in a 92% yield of ON states. The device dem- ment.[119,120] Furthermore, multi-state memristors have been
onstrated a notable on/off ratio and considerable uniformity. intensely reported by which ultradense storage devices can be
A CBA can be readily fabricated at low temperatures. In a achieved.[32,148]
sense, it can be stacked on top of an underlying CMOS through Although most studies on memristors have focused on their
the BEOL process.[146] Here, the underlying CMOS eliminates the use in storage applications, recently, there have been many dis-
need for additional selectors and provides various functionalities cussions on the limitation of von Neumann computing, promot-
as a peripherical decoder,[147] building a hybrid crossbar-CMOS ing many attempts to apply memristors as other computing
system. A storage device using a CBA with CMOS peripheral elements such as logic and neuromorphic systems.
circuits was proposed by Kim et al.[98] to achieve the selectorless-
CBA of highly dense storage of 1010 bits cm2 (Figure 3c). The
CMOS decoder separates input data from noise through 3.2. Logic
unselected rows. Furthermore, they fabricated a massive
40  40 CBA, which was divided into twenty-five 8  8 subarrays. Due to the challenges experienced with von Neumann technol-
To demonstrate its storage performance, a 1600 pixel image was ogy, a novel computation device has been developed to process
programmed, which represented the logo of the University explosive amounts of data. Many reports have suggested that
of Michigan, as shown in Figure 3d (white pixels are identical computation efficiency can be improved by logic-in-memory
to data 1 and black pixels to data 0). Figure 3e shows the result computing,[149–151] which does not require data transfer between
of the test, which shows that it performs excellent storage and the gate and latch. Due to fast access speed and nonvolatility,
retrieval of the input image with a reliable readout margin. memristors are strong candidates to compose stateful

Table 1. Summary and comparison of four types of memristors for memristive computing and neuromorphic computing. All data confirmed at
temperature below 85  C are given.

Technology CMOS-based Memristor-based


Flash DRAM Resistive switching Phase change Spintronics Ferroelectric

Device dimension 4F2 6F2 Memristive 4F2[95] 4F2[126] 4F2[127] 4F2[128]


Feature size (F) 15 nm 17.5 nm computing 2 nm [95]
2.5 nm [126]
5 nm [129]
20 nm[24]
Density 512 GB 32 GB 32 GB [130]
128 GB [50]
4 GB [131]

On/off ratio – – 108[121] 103[18] 10[22] 104[132]
Endurance (cycles) 105 1015 1012[27] 1011[133] 1014[125] 109[134]
Retention >10 y 64 ms >10 y [31]
>10 y [122]
>10 y [21]
>10 y[135]
Operation energy 160 pJ – 8 fJ [136]
100 fJ [137]
6 fJ [124]
100 fJ[138]
Programming speed 80 μs 10 ns 85 ps [139]
700 ps [122]
190 ps [140]
600 ps[141]
Multi-level operation – – Neuromorphic 64[142] 16[143] 2[144] 10[25]
Variability – – computing Medium Medium High Low
Linearity – – Low Medium–low High Medium–low
Research matured – – 2 Mb array pattern 165 k network Single device Single device
recognition MNIST
Pros High density Fast speed – Gradual conductance High density Reliability CMOS compatible
change
Cons Individual Volatility – Nonlinearity Conductance drift Less multi-state Low density
inaccessible asymmetric narrow dynamic range

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (7 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 3. a) A Schematic diagram of 20  20 CBA. b) The yield map of 20  20 CBA. The “not written” indicates the device which was not programmed.
Reproduced with permission.[91] Copyright 2009, American Chemical Society. c) A Schematic diagram of the hybrid integrated system. d) An input image
data to be stored in the array. e) The output image data obtained by storing the data. Reproduced with permission.[98] Copyright 2012, American Chemical
Society. f ) Flexible CBA with Ag microwire electrodes and silk fibroin interlayer. g) The I–V result under 5 nm curvature radius bending. Reproduced with
permission.[145] Copyright 2019, Wiley-VCH.

logic,[152–157] a type of logic-in-memory computations. Stateful It is the first memristive stateful logic system in which the gate
logic is the computation that performs logic and stores values (computation) and latch (storage) are combined, not separated,
in the same dimension, mapping the logic states (input and out- unlike conventional computation circuits.
put) as the resistances of the memristor. The logic values of Figure 4a shows a schematic of the IMP gate: two
Boolean functions, 0 and 1, are denoted by the resistance states, memristors, named P and Q, are connected to a resistor RG
HRS and LRS, respectively. (ROFF > RG > RON), and the logic states of P and Q are repre-
Whitehead and Russell suggested in 1910 in Principia sented as p and q. The memristors, P and Q, are biased to
Mathematica[158] that the IMP (material implication, “if p, then q”, VCOND and VSET, respectively, during IMP operation. Here,
“pIMPq”.) is the fourth fundamental logic operation, along with VSET can switch the state of the memristor Q, and VCOND has
basic logic operations, such as AND, OR, and NOT. Although a lower magnitude than VSET, leaving the state of P unchanged.
IMP gained prominence in the electrical engineering and After IMP operation, the changed state of Q, which is identical
computing fields, it has been investigated insufficiently since to the output, is checked by applying Vread. The initial states of
2010 when HP Labs demonstrated a memristor-based IMP.[118] the memristors represent logic inputs, and the final resistance

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (8 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 4. a) A scheme of IMPLY logic gate. b) The truth table of IMPLY logic gate. c) Schematic of IMPLY logic gate which is configured in a CBA.
d) IMP logic gates for NAND operation which is composed of three memristors (P, Q, and S). e) Sequential operation for NAND Boolean function
(s 00 ← p NAND q), which is implemented by combination of IMP of three memristor (P, Q, and S). f ) MAGIC NOR logic gate. g) The truth table of
MAGIC NOR.

state of memristor Q is an output of this computation. The pro- the memristors and RG, which is smaller than ROFF, most of the
cess of IMP operation is summarized as follows. 1) Reading the voltages are applied to memristors, resulting in an unchanged
inputs: reading out the resistance of the memristors (p and q); state of P and LRS of Q, which is switched by VSET. As a conse-
2) IMP: applying the voltages (VCOND and VSET) to P and Q, quence, the logic output is 1 (q 0 ¼ 1). In case 2 (p ¼ 0, q ¼ 1), Q is
respectively; 3) Reading the output: reading out the resistance already set; it is not affected by VSET (q 0 ¼ 1), and P is also left
of the memristor (q 0 ). unchanged due to insufficient voltage on it (|VCOND – VSET|).
The truth table of IMP is shown in Figure 4b. If P and Q are in In case 3 (p ¼ 1, q ¼ 0), the common node undergoes VCOND
HRS (case 1: p ¼ 0, q ¼ 0), the voltage of memristor P is approxi- due to the low resistance of P. Therefore, the voltage of Q is
mately equal to VCOND, and the voltage of memristor Q is approx- VSET – VCOND, which is insufficient to switch the state of Q,
imately equal to VSET. Because the voltage divider is composed of so that, it remains HRS (q 0 ¼ 0). When all of the memristors

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (9 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

are in LRS (p ¼ 1, q ¼ 1), there is no change in the states of the state of Out (Out ¼ 0). The truth table of MAGIC NOR is shown
memristors (q 0 ¼ 1). in Figure 4g.
An IMP gate can be integrated within a CBA (Figure 4c). The MAGIC NOR operation is the stateful logic that preserves
Taking advantage of its scalability, it can remarkably reduce the inputs and stores the output, not being observed in IMP
the required size of a logic gate and can be fabricated within operation. It can also be fabricated within a CBA for ultradense
a massive CBA.[159] More than two memristors are required to logic gates. Furthermore, through MAGIC technologies, other
execute a different Boolean function, such as NAND. Through Boolean functions, AND, NAND, NOR, and OR can be per-
the three memristors (P, Q, and S) which are placed within formed as standalone gates.[160,161]
the same row of the CBA, the NAND computation can be per- The aforementioned stateful logics have a critical drawback as
formed, as shown in Figure 4d. Sequential operation of FALSE the sequential computation requires a long time due to its
(write 0) and two IMP results in NAND operation, as shown in lengthy steps. For example, the IMP operation organized by
Figure 4e. The initial FALSE (s ¼ 0) operation should be executed n-inputs should take 2nþ1 þ 1 sequential steps, making it much
where the VCLEAR pulse makes the memristor S “open” (HRS). slower with more complicated functions.[162,163] Furthermore,
The two memristors (P and Q ) act as inputs on sequential steps. when cascaded, the signal is decayed due to the passive charac-
Subsequently, P and S compute the IMP operation by applying teristic of a memristor.[164] Some studies have suggested that
the voltages VCOND and VSET, respectively, producing the output integrating the memristive logic gates with CMOSs, such as
(s 0 ← p IMP s). The result (s 0 ) undergoes another IMP operation memristor ratioed logic (MRL), is an effective treatment for
with Q. This leads to the result (s 00 ← q IMP s 0 ). Finally, compu- the restoration and inversion of the signal to cascade memristive
tation of the NAND operation is completed by total computation logic gates and construct various Boolean function, such as
sequences. (s 00 ← p NAND q) NAND and NOT.[164–166]
Other general Boolean functions, such as AND, OR, and XOR, Although these drawbacks postpone the replacement of con-
can be implemented by various IMP sequences. For example, to ventional CMOS-based logic gates with memristive logic gates, it
perform an AND operation (p AND q), the computational step of is a notable point that the stateful logic holds an advantage in
IMP is (p IMP (q IMP 0)) IMP 0. That is, the execution of multi- terms of power dissipation in comparison to CMOS-based gates.
input is able to compute multiple collaboration of Boolean The concept of the memristive processing unit (MPU) was
functions within the CBA. This is the major advantage of the suggested in 2016 by Talati et al., who demonstrated the design
IMP stateful logic. algorithms of a MAGIC-based full adder.[167] However, the
Another approach to utilize the memristor as a logic gate is a progress of memristive gate research toward achieving a mature
MAGIC, which is short for memristor-aided-logic, as shown in system is ongoing. The proposed memristive logic gates will
Figure 4f. In 2014, Kvatinsky et al. suggested the MAGIC NOR enable advances toward the era of MPUs that is more advanced
gate[160] which, unlike IMP, has a rather simple structure that than that of CPUs.
does not require a reference register RG, and it has separate
inputs and output memristors. As IMP operation, the MAGIC
gate is a type of the memristive stateful logic, in which the inputs 4. Neuromorphic Computing Systems with
and output are the resistance of the memristors. For the basic Memristors
MAGIC NOR computation, three memristors are required,
two input memristors (In1, In2), which are connected in parallel, Although studies have been conducted to improve the conven-
and one output memristor (Out), which is connected in series tional von Neumann architecture,[168] a speed gap problem
with the input set. Because the polarities are in the opposite has been identified that degrades its performance. This is known
directions between the input set and output, the applied positive as a von Neumann bottleneck, and it is caused by the physical
voltage tends to set the inputs and reset the output. separation of the processing unit and the memory. To address
The MAGIC operation always includes the initialization step this issue, a neuromorphic computing system, which is inspired
for switching Out to logical 1. The applied voltage V0 should be by biological neural networks, has been introduced for low-
satisfied with Equation (1) for NOR operation, wherein the out- power, high-speed, and high-density computing. The new system
put should be logical zero unless all the inputs are logical zero processes and stores data by elucidating how the information is
connected. This theoretical concept was not actualized until
2V RESET < V 0 < ROFF =2RON ⋅ V RESET (1) transistor-based technologies showed tremendous improvement
in performance. Recently, a wide range of neural network
Its sequence comprises the following steps: 1) Initialization of technologies has been studied and developed to enable AI appli-
the Out to LRS (Outinit ¼ 1); 2) Applying the voltage V0 across cations, such as image recognition, voice recognition, and
the MAGIC (the voltage applied to Out depends on the state autonomous driving, supported by advanced semiconductor
of the inputs); 3) Reading out the final logical state of the Out. technology. The neural network technologies exhibited a break-
In the case that all inputs are in HRS (In1 ¼ 0, In2 ¼ 0), most through in AI performance, especially in the artificial neural
of the applied voltage V0 is expended on inputs due to their high networks (ANNs) and spiking neural networks (SNNs).
resistance. Consequently, the magnitude of the voltage on Out is Biological neurons consist of dendrites, soma, and axons.
lower than the threshold voltage (VRESET) leaving the logical state Synapses are formed when dendrites come into contact with
of the Out unchanged (Out ¼ 1). If one of the inputs is in LRS, or axon terminals. Biological neural networks store information
all the inputs (In1 ¼ 1, In2 ¼ 1) are in LRS, the voltage on Out has by controlling the strength of the connections between neurons.
a considerable magnitude, resulting in a change in the logical Focusing on this, ANNs update the synaptic weights using a

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (10 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

multi-vector matrix and activation functions with hidden layers. 4.1. ANNs with Memristors
Moreover, SNNs learn through spike time-based updates.
Figure 5 presents this schematically. The first mathematical model of ANNs was proposed by
However, such complex algorithms have to be implemented McCulloch and Pitts in 1943[169] and was first implemented
with the conventional CMOS-based von Neumann architecture, by Rosenblatt in 1958.[170] However, it was inefficient and did
which consumes high energy, and use energy inefficiently to not exhibit sufficient computing power to implement the new con-
operate the cells. The memristor has emerged as a promising cept. Thanks to the advances in CMOS technology, the remarkable
alternative to existing computing devices because it can store development of ANNs has significantly contributed to progress in
multi-states at each device level rather than only storing two modern computing, allowing the introduction of new applications,
Boolean values. This novel device that can be utilized for such as computer vision,[171] autonomous mobile robotics,[172]
CBA has the potential to overcome the limitation of current speech recognition,[173–175] face recognition,[176,177] and medical
computing systems at the hardware level. We provide more prescription.[178,179]
details about the operation mechanism of ANNs and SNNs ANNs are networks that have layers and mathematical activa-
and discuss how the memristor exhibits the synaptic character- tion functions with a weighted sum of inputs to output values.
istics required for each algorithm. Furthermore, we also The purpose of ANNs is to optimize a model to best deduce the
elucidate how the new computing system is used for the output from the input by adjusting the synaptic weight, which is
calculation of AI. done through back-propagation operations, such as stochastic

Figure 5. Schematic of the a) biological neuron, b) biological neural networks, c) artificial neuron, d) ANNs, e) spiking neuron, and f ) SNNs.
In biological neurons, neural signals enter the dendrite and transmit to the axon terminal. They configure synapses to attach. Artificial neurons, which
are inspired by biological nervous systems, update synaptic weight with vector multiple matrices and form a network through mathematical activate
function. Spiking neurons use time-based encode spike data and store the synaptic weights using the time difference between pre- and post-neuron
activity.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (11 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

gradient descent[180] and chain rules.[181] These networks can be presents the peripheral circuit implementation of DNNs with
trained by supervised or unsupervised learning, and they can forward-propagation, back-propagation, and weight update with
process a large amount of training data in parallel. memristive CBAs.[184] During forward-propagation, the dot prod-
Furthermore, with the help of accelerators such as graphic pro- ucts of inputs are calculated in crossbar 1, and the main block
cessor units (GPUs), field-programmable gate arrays (FPGAs), (MB)1 places them in the activation functions. After sequentially
and application-specific integrated circuits (ASICs) that are processing the conductance values, the MB3 calculates the syn-
designed to perform more efficiently than a CPU would, more aptic weight with gradient descent and applies update voltage
complex and more parallel calculations are possible. This has pulses to each CBA. The back-propagation algorithm updates
allowed the development of various forms of ANNs, such as the synaptic weight to minimize error. ANNs integrated with
multi-level perceptron (MLP), convolution neural networks memristors are expected to reduce the architecture complexity
(CNNs), and deep neural networks (DNNs), and they have led and improve AI performance.
to remarkable progress in AI.
However, these CMOS-based computing systems still meet
4.2. SNNs with Memristors
many challenges with processing ANNs. Because ANNs are
derived from the mathematical modeling of biological neural net-
Natural biological neural networks, such as the brain, are based
works, CMOS-based computing systems can perform a very large
number of complex and parallel computing operations, resulting on spike-event-driven processing. In other words, neurons are
in inefficient storage of synaptic weights in bits. They also naturally in a resting state and only transmit spikes when acti-
require high power consumption because they have a complex vated. In addition to ANNs that learn through mathematical der-
circuit design and limited scalability. Hence, a new computing ivation, more bio-inspired SNNs have been developed, which
system paradigm is required. mimic the functions of biological neural networks. SNNs encode
A gradual change in the conductance of memristors in terms information in the pulse timing or frequency differences
of synaptic weight change can be implemented in developing a between pre- and post-synaptic spikes, whereas ANNs process
new computing system paradigm. In ANNs, synaptic weight information with floating numbers. Moreover, SNNs mimic acti-
change during back-propagation requires training. Synaptic vation neurons with the leaky integrated and fire (LIF) model,
weight change can be implemented applying a voltage pulse which fires electrical spikes when a threshold voltage is reached.
or repeated voltage sweep. Consider, CMOS-memristor hybrid Therefore, SNNs are considered to be the next network architec-
hardware and artificial synapses are proposed to perform ture model of ANNs because they are more similar to actual neu-
CNN and DNN operation.[182] rons and more energy-efficient than ANNs.[191]
Numerous memristive materials exhibit synaptic potentiation Synapses perform calculations by controlling the strength of
and depression through electrical stimuli. Xiao and Huang. connections with neuronal activity; this phenomenon is known
reported halide perovskite-based resistive switching neuromor- as synaptic plasticity. Hebb identified the physiological learning
phic devices[183] fabricated from a simple metal–insulator–metal rules of synaptic plasticity, known as Hebb’s learning rule,[192] in
structure with polycrystalline MAPbI3 films. In the two-terminal which the connection strength between neurons changes based
structure, shown in Figure 6a, Au top and ITO/PEDOT:PSS bot- on neural activity in pre- and post-neurons. Supported by Hebb’s
tom electrodes act as pre- and post-neurons, respectively, and theory, SNNs learn by spike-timing-dependent plasticity (STDP)
polycrystalline MAPbI3 films act as synapse layers. As shown implementation. STDP is synaptic plasticity in which a synaptic
in Figure 6b,c, the device shows six-step positive analog resistive weight is changed based on the time difference between the
switching and six-step negative switching due to the I or MAþ neurons’ transmission of a spike signal.[193]
interstitial ion migration through grain boundaries, which pro- Several phase-changing memristor devices have been demon-
vide ion migration paths. The I–V characteristics correspond to strated to be feasible with SNNs.[194,195] Kuzum et al. presented
memristive behavior, and this contributes to the gradual change programmable synaptic devices based on phase-change material
in conductance of an artificial synapse. When positive voltage for SNNs.[196] Figure 7a shows a scheme of spike-based synapses
pulses are applied, charged ions and vacancies migrate to the in an SNN CBA compared with biological synapses consisting
electrode. In contrast, negative voltage pulses cause ions to move of pre- and post-neurons. As biological spike transmission,
to the counter-electrode. Through these mechanisms, repeated pre-spikes are transmitted through the bottom electrode lines
potentiation and depression occur by applied voltage pulses with and post-spikes are transmitted based on time differences
fixed amplitudes of 2 V and 0.75 V read voltage pulses as through the top electrodes. The memristive synapses, which
shown in Figure 6d,f. The synaptic weight changes through are composed of chalcogenide glass, exhibit a phase-change
potentiation and depression have been repeatedly tuned for more synaptic behavior between amorphous (shown in red) and crys-
than 500 cycles. Extensive research has demonstrated the linear, talline (shown in green) states due to heating. To present the
parallel, and reproducible synaptic weight changing at the device mechanism in detail, cross-sectional transmission electron
level. Resistive switching,[185–188] phase-change,[58] magnetic tun- microscopy (TEM) images are shown in Figure 7b. The greater
nel junction,[144] and ferroelectric[189] memristors form the focus the number of phase-changes from the set state to reset state by
of current research to demonstrate synaptic weight change electric spikes is, the larger the volume of the amorphous region
characteristics. is. The amorphous mushroom-like region can be confirmed by
Several attempts have been made to create new peripheral cir- the selected area electron diffraction (SAED) patterns, whereas
cuits using memristors in a CBA to control the read and write other areas are confirmed to be polycrystalline. The implemen-
operations during the synaptic update process.[190] Figure 6f tation of STDP in the devices is shown in Figure 7c. Compared

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (12 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 6. a) A schematic of the resistive changing synaptic device structure. b) Negative and c) positive memristive behavior by analog switching.
d) A schematic of programmed pulse operations and e) potentiation and depression by applied voltage pulse operations. Reproduced with
permission.[183] Copyright 2016, Wiley-VCH. f ) Peripheral circuits for DNNs implementation with CBA. Reproduced with permission.[184] Copyright
2020, American Institute of Physics.

with biological STDP measured in hippocampal glutamatergic These studies are expected to lead to the development of memris-
synapses, the synaptic weight changes at almost the same rate tor hardware systems with a close resemblance to the actual brain.
as the change in the time differences in accordance with
the asymmetric Hebbian rule. Moreover, numerous types of 4.3. Machine Learning with Memristors
devices based on memristor materials, such as resistive
switching,[185,197,198] magnetic tunnel junctions,[199] and Conventional programming approaches are implemented by the
FTJs[200–202] have been reported for application to the operation programmers to determine what patterns are repeated in given
principles of SNNs. datasets and to reduce errors during information processing
At the architecture level, numerous efforts have been made to which is based on algorithms. For relatively simple problems,
implement hardware designs for SNNs using memristors. such approaches can be handled simply, and maintenance is also
Figure 7d shows the basic architecture of SNNs.[190] The pre- easy. However, when the amount of data is huge, the practical
and post-synaptic neurons are connected in the CBA. This ability to adjust and debug codes reaches its limits. Machine
architecture is implemented by applying the LIF model, a learning makes the program codes much shorter, easier to
winner-take-all bus, and STDP-compatible spike generation. debug, and much more accurate.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (13 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Figure 7. a) Schematics of phase-change memristive synapses in the CBA. Pre- and post-spiking operation through the top and bottom electrode with
timing difference. b) The cross-sectional TEM images of set and reset state of phase-changing synapses. c) STDP properties for asymmetric Hebbian rule.
Reproduced with permission.[196] Copyright 2012, American Chemical Society. d) Peripheral circuits for SNNs implementation with CBA. Reproduced
with permission.[190] Copyright 2020, IEEE.

This is because the neural network algorithm itself learns the memristors computing systems enable faster operation with
patterns that appear for given problems even if programmers do lower power consumption in comparison with the current
not perform debugging. In other words, network models learn CMOS-based systems.
and update the hidden rule on their own, even if programmers Machine learning is divided into supervised, unsupervised,
do not perform any modification. Such novel algorithms are and reinforced learning. All of these are conducted through
suitable for complex problems that demand a large number of updating of the synaptic weights in neural networks; and there-
manual controls or have no existing solutions at all. fore, ANNs and SNNs methods can be applied.
Due to the progress of CMOS computing systems, neural Supervised learning refers to the process of determining the
network learning produces remarkable results. To effectively most suitable predictive model using data with known outcomes.
calculate AI algorithms, numerous semiconductor companies Because the model handles labeled data, it is suitable for pattern-
and research institutes have developed neuromorphic chips that recognition problems. During the update of synaptic weight in
use artificial neurons made of transistors. Several chips focus on supervised learning, massive parallel calculation and multiplica-
complex parallel calculations, including Google TPU, Tesla tion are involved. Resistive switching memristors with a crossbar
FSD, and SambaNova. Alternatively, some chips have been structure are appropriate to meet this demand. Li et al. demon-
designed to produce neural spiking, including SpiNNaker,[203] strated 1T1M TaOx/HfAlyOx 1024 cells in a CBA with parallel
Neurogrid,[204] and TrueNorth[205] developed by International online learning.[208] Yao et al. also demonstrated that a
Business Machines corporation (IBM) and Loihi[206] developed 128  64 1T1M HfOx CBA could perform gray-scale face classi-
by Intel. In addition, the Tianjic chip,[207] which is hybridized fication with an accuracy of 91.71%.[209] Cai et al. reported a pas-
with both of these methods, was also developed. Although there sive selector with WOx in 54  108 array chips, which achieved
are many applications of machine learning, the performance of an accuracy of 94.6% in Greek letter classification.[210] Phase-
computing hardware is limited by current CMOS computing change was studied as well using supervised learning.
systems when dealing with complex problems. The emerging Ambrogio et al. first proposed a mixed hardware–software neural

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (14 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

network implementation with 204 900 phase-changing synapses


in a 3-transistor, 1-capacitor system.[211] They showed that the
devices achieved an accuracy of 98% for CFIR-10, 100, and
the MNIST database.
In contrast, unsupervised learning deals with unlabeled data
which consists of unguided information. Because it learns the
relationship between data and finds hidden features to make
inferences regarding new data, unsupervised learning is usually
used to cluster problems. Choi et al. demonstrated feature extrac-
tion and dimensionality reduction with an accuracy of 97.1%
using an 18  2 TaOx CBA.[212] Jeong et al. also developed a
4  3 CBA with TaOx and demonstrated successful K-mean
clustering with an accuracy of 93.3% with unlabeled iris flower
datasets.[213] Furthermore, Wang et al. developed 8  8 fully
diffusive memristive neural networks with SiOx and Ag.[214]
The synaptic weights were determined by silver migration with
circuit capacitance. They also used a stochastic LIF model for
unsupervised pattern recognition.
The other learning type is reinforcement learning. It differs
slightly from supervised learning because the targets correspond
to rewards, and the results correspond to actions. The emergence
of DNNs has enabled advanced reinforcement learning, which
is exemplified by AlphaGo. Using nanoscale FTJs, 5  5 analog
in-memory reinforcement learning systems were reported
by Berdan et al.[215] A 128  64 1T1M CBA with HfOx was
implemented for deep reinforced Q-value learning by
Wang et al.[216]
Diverse studies on simulations and chip operations have been
conducted to assess the applicability of these learning algorithms
in memristor hardware. According to Hu et al.,[217] the analog
memristor 128  64 CBA achieved a result of high precision
in handwritten pattern recognition as shown in Figure 8.
TEM and cross-sectional scanning electron microscopy (SEM)
images of one-transistor one-memristor (1T1M) with hafnium
oxides in a CBA are shown in Figure 8a. The transistor gate acts
as a selector for various applied different current compliances for
the memristor. The CMOS and memristor layers are integrated
by a foundry-compatible BEOL process. The 128  64 CBA chip,
which was fabricated in the lab, is shown in an optical micro-
scopic image in Figure 8b. They experimentally demonstrated
the classification performance of a dot product engine (DPE)
using a single-layer neural network for the full set of 10 000 mod-
ified National Institute of Standards and Technology (MNIST)
handwriting database classification. Figure 8c shows the comput-
ing sequences of DPE. A given 19  20 pixel image is unwrapped
to 380 input vector voltages and classified as ten possible
numbers (0–9) by applying the memristor matrix. Figure 8d
shows the classification results obtained by a CMOS computing
simulation and by the memristor neural network hardware for
the entered numbers. Furthermore, Figure 8e shows the
accuracy as the result of learning with ten possible numbers. Figure 8. a) TEM, cross-sectional SEM images, and a schematic of 1T1M
The inference of a neural network yielded a recognition accuracy memristor device array. b) Optical microscopic image of 128  64 CBA
of 89.9% for 10 000 MNIST images. These findings confirmed integrated on the chip and a schematic of 1-transistor 1-memristor
CBA. c) Illustration of the DPE classification. A MNIST 19  20 pixel image
that the memristor neural network can be successfully applied
is converted to 380 input vectors as conductance values and processed by
to existing AI systems through learning. As these research fields
the DPE classification. d) Some example of classification result with simu-
continue to evolve, it is expected that new computing systems will lation (red) and experimental result (blue). e) Recognition accuracy for
be able to incorporate various types of learning into the new 10 000 images from the MNIST database. Reproduced with permission.[217]
neural networks. Copyright 2018, Wiley-VCH.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (15 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

5. Perspective and Challenges 5.1. Materials and Device Engineering

The massive generation of information, which will reach Many researchers have struggled to understand, develop, and
175 zettabytes in 2025,[218] has necessitated efforts to achieve integrate the memristors to develop alternatives to CMOS-based
a seminal transformation toward post-CMOS technology, systems. Nevertheless, there are still obstacles to the adoption of
which is expected to overcome the disadvantages of current memristors to replace CMOS-based computing. For novel com-
CMOS technology, including volatility, scaling limit, and the puting systems, memristive computing, and neuromorphic
von Neumann bottleneck. Intensive investigations have verified computing, several requirements should be satisfied in terms
the outstanding performance of memristors; thus, they can of scalability, reliability, and performance. In Figure 9 and
be used as excellent alternatives to conventional computing Table 1, parameters related to these requirements are summa-
architectures. However, there are several challenges that hinder rized and ranked in relation to the four types of memristors.
the wider adoption and commercialization of memristors. Scalability is the key parameter to address the challenge of
Therefore, further research should be done on materials, devices, handling large volumes of data, which is determined by device
architectures, and neural network algorithm levels to address dimension, feature size, and density. The device dimension
these obstacles. refers to the area required for the construction of one cell,

Figure 9. Comparison chart between four types of memristors according to their performance, which is crucial for reliable memristive (blue) and neuro-
morphic (yellow) computings: a) resistive switching, b) phase-change, c) spintronics, and d) ferroelectric.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (16 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

and it is calculated by reciprocating the feature size, which is a In storing the synaptic weight value, the number of bits
manufacturing factor meaning the width of a metal line, to the expressed by memristors greatly affects performance and inte-
second power. Density indicates the researched subarray size gration in computing systems. For example, if a cell has a large
that can be fabricated. In terms of scalability, resistive switching number of distinguishable conductance states, the number of
and phase-change devices are the best candidates due to their bits per device increases, giving rise to an exponential rise in per-
facile fabrication process. The spin-dependent scattering formance, compared to digital devices which store information as
operation[219] and epitaxial growth of ferroelectric material[87] 0 and 1. Resistive switching can generate a large number of reli-
deteriorate the scalability of spintronics and FTJs. able conductance states, while conducting filaments are gradually
For robust operation, memristors should have a reliable on/off formed. As a result, it is regarded as the most favorable candidate
ratio as well as reliable endurance and retention. Especially in to implement neuromorphic computing systems. On the other
spintronics and FTJs, a low on/off ratio might be caused by hand, magnetoresistance operates by spin switching, and
the temperature-dependent disturbance of spin states.[220] there are theoretical limits to creating distinguishable states.
SOT-MRAM has the advantage of being less reliant on tempera- Developing materials that satisfy all these requirements would
ture than STT-MRAM; therefore, it provides a large window accelerate the realization of memristive computing systems.
memory. Resistive switching and phase-change, which are
related to ion migration, seem to be the best candidates for 5.2. Architecture Engineering
reliable operation.
Programming speed and operation energy determine the per- As previously mentioned, sneak current is inherent in CBA
formance of the computation elements that are important for which interrupts the operation, especially reading operation.
eliminating the von Neumann bottleneck problem. Phase- Sneak current causes major problems, including reduction in
changing requires a large current to elevate the temperature, and the maximum array size, expansion of the power consumption
a long time is required for crystallization, which results in poor for reliable operation, and degradation of the readout margin.
performance. Furthermore, FTJs show poor performance due to To limit the sneak current, selectors should be included at each
the transition of ferroelectric polarization which can be induced cross-point. Selectors that have nonlinearity, such as bipolar
at high voltages. Because of the advantages of their nanoscale diodes, unipolar diodes, and transistors, can reduce the sneak
channels and quantum nature, respectively, resistive switching current generated at low voltages.
and spintronic memristors achieve outstanding performance. With the development of CMOS based on Moore’s law, the
To be widely adopted in neuromorphic computing, memris- transistor has become the most commonly adopted technology
tors should show neural plausibility in addition to the three pre- for controlling current flow. The transistor is the active element
viously discussed features. Neural plausibility is the property of that can modulate the current density by controlling the gate bias.
electronic devices that indicates how well they simulate nervous Hence, it is the most powerful current-modulating tool among
systems. I–V symmetry, linearity, variability, and multi-level the selectors. However, three-terminal devices are fabricated
states are integral to neural plausibility. by a complex method and should be built in a large space.
I–V symmetry indicates how symmetrically resistance hyster- To achieve an ultradense 4F2 cell dimension, passive selectors
esis appears when positive and negative voltages are applied. If should be investigated.
the devices are asymmetric, when driving on circuits, it makes it A bipolar diode, which rectifies the reverse current, is a
difficult to design and apply various circuits. two-terminal device that has the potential to reduce the cell
Inconsistent conductance changes make it difficult to indicate dimension. However, it can be applied only with phase-change
the target value when applying the same electrical signal, which and unipolar resistive switching, which are operated under the
significantly reduces the accuracy of the training process. electrical field with unipolarity.
Linearity indicates how uniformly conductance increases as A unipolar diode is a passive selector characterized by nonlin-
the weight update pulse number increases. The filaments of a earity, which rectifies the current flowing at low voltages. Because
resistive switching device repeatedly disappear, which affect con- it can be integrated with all types of memristors with scalability,
ductance and result in low linearity. In contrast, ferroelectric the unipolar diode seems to be the best candidate for the selector.
junction devices show excellent linearity because once the orien- In addition to the sneak current, signal degradation is another
tation has changed, it become consistent. problem, especially in memristive logic. IMP and MAGIC com-
Stochasticity is the relative level the conductance within pute data by resistance contrast. When they are cascaded for var-
the range where it has fluctuated over a certain period of time. ious Boolean functions, signals are significantly decreased when
In other words, stochasticity indicates the level of fluctuation they flow through memristors. For signal restoration, the mem-
when the saved conductance is not affected by any other electrical ristive logic system should be designed with amplifiers. MRL is
stimuli during a specific time period. Synaptic weight is a time- the one example that utilizes CMOSs for signal amplification and
based fluctuating value; according to the network theory, it inversion.[164]
increases cognitive efficiency.[221] When stochasticity is low,
the recognition performance of ANNs and SNNs shows high 5.3. Neural Network Algorithms
accuracy. In the case of a phase-changing devices, the conduc-
tance drifts more severely than in the other memristive devices Although research is ongoing on neuromorphic technologies, a
because it operates by Joule heating. Due to this mechanism, it clear understanding of biological nervous systems is still
has high stochasticity, resulting in poor performance. required. Neural network algorithms have shown innovative

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (17 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

achievements in software. Nonetheless, their potential has not [1] G. M. Moore, Electronics 1965, 38, 114.
been fully exploited. A clear knowledge of neural networks [2] R. Dennard, F. Gaensslen, W.-N. Yu, L. Rideout, E. Bassous,
significantly promotes the development of neuromorphic A. Le Blanc, IEEE J. Solid State Circuits 1974, 9, 257.
technology and accelerates its progress. Furthermore, ANNs [3] IRDS, International Roadmap for Devices and Systems (IRDS) 2018
and SNNs still face challenges that should be addressed before Edition, 2018, https://irds.ieee.org/editions/2018.
they can be fully adapted to new computing systems. In the [4] A. N. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata,
M. Kito, Y. Fukuzumi, M. Sato, Y. Nagata, Y. Matsuoka, Y. Iwata,
human brain, the neurons are not all connected. There is a
in IEEE Symp. on VLSI Technology, IEEE, Kyoto 2007, pp. 14–15.
mechanism by which the neural synapses are connected when
[5] K. Sano, Y. Hatsuda, S. Yamamoto, IEEE Trans. Parallel Distrib. Syst.
required. Fully connected ANNs are more accurate, but they
2014, 25, 695.
are inefficient in stochastic computing systems. Moreover, the [6] L. Chua, IEEE Trans. Circuit Theory 1971, 18, 507.
computational performance of SNNs has not been demonstrated [7] L. Chua, Semicond. Sci. Technol. 2014, 29, 104001.
as much as that of ANNs due to the low efficiency of algorithms [8] M. Di Ventra, Y. V. Pershin, Nat. Phys. 2013, 9, 200.
in memristive systems. [9] D. B. Strukov, G. S. Snider, D. R. Stewart, R. S. Williams, Nature 2008,
Therefore, new algorithms for application with memristive 453, 80.
computing systems should be developed to match the efficiency [10] L. Chua, Appl. Phys. A 2011, 102, 765.
of biological neural networks. Recently, various algorithms, [11] J. Choi, S. Park, J. Lee, K. Hong, D. H. Kim, C. W. Moon, G. Do Park,
such as long short-term memory (LSTM),[222] Hopfield neural J. Suh, J. Hwang, S. Y. Kim, H. S. Jung, N. G. Park, S. Han, K. T. Nam,
networks (HNNs),[223–225] and generative adversarial networks H. W. Jang, Adv. Mater. 2016, 28, 6562.
(GANs)[226] have been introduced, which show great potential [12] K. S. Li, C. Ho, M. T. Lee, M. C. Chen, C. L. Hsu, J. M. Lu, C. H. Lin,
to overcome the disadvantages of conventional computing C. C. Chen, B. W. Wu, Y. F. Hou, C. Y. Lin, Y. J. Chen, T. Y. Lai, M. Y. Li,
I. Yang, C. S. Wu, F. L. Yang, in 2014 Symp. on VLSI Technology Digest
systems. Implementation of these algorithms in memristive
of Technical Papers, IEEE, Honolulu, HI 2014, pp. 1–2.
systems is expected to open new opportunities for the adoption
[13] B. Govoreanu, G. S. Kar, Y. J. Y. Chen, V. Paraschiv, S. Kubicek,
of novel computing systems.
A. Fantini, I. P. Radu, L. Goux, S. Clima, R. Degraeve, N. Jossart,
O. Richard, T. Vandeweyer, K. Seo, P. Hendrickx, G. Pourtois,
H. Bender, L. Altimime, D. J. Wouters, J. A. Kittl, M. Jurczak, in
6. Conclusion 2011 Int. Electron Devices Meeting (IEDM), IEEE, Washington, DC
2011, pp. 31.6.1–31.6.4.
The development of new computing systems based on memris-
[14] A. Kawahara, R. Azuma, Y. Ikeda, K. Kawai, Y. Katoh, Y. Hayakawa,
tors relies on contributions from various research fields.
K. Tsuji, S. Yoneda, A. Himeno, K. Shimakawa, T. Takagi, T. Mikawa,
Especially, studies at the material and device levels is critical
K. Aono, IEEE J. Solid-State Circuits 2013, 48, 178.
for the new building blocks that exhibit the essential character- [15] J. Choi, Q. Van Le, K. Hong, C. W. Moon, J. S. Han, K. C. Kwon,
istics in new computing systems and could provide the founda- P. R. Cha, Y. Kwon, S. Y. Kim, H. W. Jang, ACS Appl. Mater.
tion for circuit and algorithm design. In addition, neuroscience Interfaces 2017, 9, 30764.
research can provide a clearer understanding and modeling of [16] J. Lee, S. Cho, D. Ahn, M. Kang, S. Nam, H. K. Kang, C. Chung, IEEE
the cranial nerves, which will further aid in paradigm shift from Electron Device Lett. 2011, 32, 1113.
von Neumann architectures. We believe that this report paves the [17] F. Xiong, A. D. Liao, D. Estrada, E. Pop, Science 2011, 332, 568.
way for broader exploration of new computing systems. [18] T. Ohyanagi, N. Takaura, M. Tai, M. Kitamura, M. Kinoshita, K. Akita,
T. Morikawa, S. Kato, M. Araidai, K. Kamiya, T. Yamamoto,
K. Shiraishi, in 2013 IEEE Int. Electron Devices Meeting, IEEE,
Acknowledgements Washington, DC 2013, pp. 30.5.1–30.5.4.
[19] H. Y. Cheng, W. C. Chien, M. BrightSky, Y. H. Ho, Y. Zhu, A. Ray,
I.H.I. and S.J.K. contributed equally to this work. This work was R. Bruce, W. Kim, C. W. Yeh, H. L. Lung, C. Lam, in 2015 IEEE
financially supported by the Future Material Discovery Program
Int. Electron Devices Meeting (IEDM), IEEE, Washington, DC 2015,
(2016M3D1A1027666, 2018M3D1A1058793) through the National
pp. 3.5.1–3.5.4.
Research Foundation of Korea.
[20] N. Perrissin, G. Gregoire, S. Lequeux, L. Tillie, N. Strelkov, S. Auffret,
L. D. Buda-Prejbeanu, R. C. Sousa, L. Vila, B. Dieny, I. L. Prejbeanu,
J. Phys. D. Appl. Phys. 2019, 52, 234001.
Conflict of Interest [21] S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan, M. Endo,
The authors declare no conflict of interest. S. Kanai, J. Hayakawa, F. Matsukura, H. Ohno, Nat. Mater. 2010, 9, 721.
[22] Y. Shiokawa, E. Komura, Y. Ishitani, A. Tsumita, K. Suda,
Y. Kakinuma, T. Sasaki, AIP Adv. 2019, 9, 035236.
[23] K. T. Chen, H. Y. Chen, C. Y. Liao, G. Y. Siang, C. Lo, M. H. Liao,
Keywords K. S. Li, S. T. Chang, M. H. Lee, IEEE Electron Device Lett. 2019,
crossbar arrays, memristors, neuromorphic computing, nonvolatile 40, 399.
memory, stateful logics [24] X. S. Gao, J. M. Liu, K. Au, J. Y. Dai, Appl. Phys. Lett. 2012, 101, 142905.
[25] S. Boyn, S. Girod, V. Garcia, S. Fusil, S. Xavier, C. Deranlot,
Received: May 15, 2020 H. Yamada, C. Carrétéro, E. Jacquet, M. Bibes, A. Barthélémy,
Revised: June 18, 2020 J. Grollier, Appl. Phys. Lett. 2014, 104, 052909.
Published online: August 2, 2020 [26] Z. Wei, Y. Kanzawa, K. Arita, Y. Katoh, K. Kawai, S. Muraoka,
S. Mitani, S. Fujii, K. Katayama, M. Iijima, T. Mikawa,
T. Ninomiya, R. Miyanaga, Y. Kawashima, K. Tsuji, A. Himeno,

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (18 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

T. Okada, R. Azuma, K. Shimakawa, H. Sugaya, T. Takagi, [55] K. S. Andrikopoulos, S. N. Yannopoulos, A. V. Kolobov, P. Fons,
R. Yasuhara, K. Horiba, H. Kumigashira, M. Oshima, in 2008 IEEE J. Tominaga, J. Phys. Chem. Solids 2007, 68, 1074.
Int. Electron Devices Meeting, IEEE, San Francisco, CA 2008, pp. 1–4. [56] A. Padilla, G. W. Burr, K. Virwani, A. Debunne, C. T. Rettner,
[27] C. Hsu, I. Wang, C. Lo, M. Chiang, W. Jang, in 2013 Symp. VLSI T. Topuria, P. M. Rice, B. Jackson, D. Dupouy, A. J. Kellock,
Technology, IEEE, Kyoto 2013, pp. T166–T167. R. M. Shelby, K. Gopalakrishnan, R. S. Shenoy, B. N. Kurdi, Int.
[28] B. J. Choi, A. C. Torrezan, K. J. Norris, F. Miao, J. P. Strachan, Electron Devices Meet. 2010, 110, 054501.
M. X. Zhang, D. A. A. Ohlberg, N. P. Kobayashi, J. J. Yang, [57] H. K. Lyeo, D. G. Cahill, B. S. Lee, J. R. Abelson, M. H. Kwon, K. B. Kim,
R. S. Williams, Nano Lett. 2013, 13, 3213. S. G. Bishop, B. K. Cheong, Appl. Phys. Lett. 2006, 89, 151904.
[29] J. H. Yoon, S. J. Song, I. H. Yoo, J. Y. Seok, K. J. Yoon, D. E. Kwon, [58] M. Suri, O. Bichler, D. Querlioz, B. Traoré, O. Cueto, L. Perniola,
T. H. Park, C. S. Hwang, Adv. Funct. Mater. 2014, 24, 5086. V. Sousa, D. Vuillaume, C. Gamrat, B. Desalvo, B. Traoré,
[30] J. H. Yoon, K. M. Kim, S. J. Song, J. Y. Seok, K. J. Yoon, D. E. Kwon, O. Cueto, L. Perniola, V. Sousa, D. Vuillaume, C. Gamrat,
T. H. Park, Y. J. Kwon, X. Shao, C. S. Hwang, Adv. Mater. 2015, B. Desalvo, J. Appl. Phys. 2012, 112, 054904.
27, 3811. [59] A. Fantini, L. Perniola, M. Armand, J. F. Nodin, V. Sousa, A. Persico,
[31] H. Jiang, L. Han, P. Lin, Z. Wang, M. H. Jang, Q. Wu, M. Barnell, J. Cluzel, C. Jahan, S. Maitrejean, S. Lhostis, A. Roule, C. Dressler,
J. J. Yang, H. L. Xin, Q. Xia, Sci. Rep. 2016, 6, 28525. G. Reimbold, B. DeSalvo, P. Mazoyer, D. Bensahel, F. Boulanger,
[32] J. S. Han, Q. Van Le, J. Choi, K. Hong, C. W. Moon, T. L. Kim, H. Kim, in 2009 IEEE Int. Memory Workshop, IEEE, Monterey, CA 2009,
S. Y. Kim, H. W. Jang, Adv. Funct. Mater. 2018, 28, 1705783. pp. 1–2.
[33] S. Gao, C. Chen, Z. Zhai, H. Y. Liu, Y. S. Lin, S. Z. Li, S. H. Lu, [60] T. Matsunaga, J. Akola, S. Kohara, T. Honma, K. Kobayashi,
G. Y. Wang, C. Song, F. Zeng, F. Pan, Appl. Phys. Lett. 2014, 105, E. Ikenaga, R. O. Jones, N. Yamada, M. Takata, R. Kojima, Nat.
063504. Mater. 2011, 10, 129.
[34] J. Y. Seo, J. Choi, H. S. Kim, J. Kim, J. M. Yang, C. Cuhadar, J. S. Han, [61] J. J. Zhang, H. J. Sun, Y. Li, Q. Wang, X. H. Xu, X. S. Miao, Appl. Phys.
S. J. Kim, D. Lee, H. W. Jang, N. G. Park, Nanoscale 2017, 9, 15278. Lett. 2013, 102, 183513.
[35] J. Choi, J. S. Han, K. Hong, S. Y. Kim, H. W. Jang, Adv. Mater. 2018, [62] G. W. Burr, M. J. Breitwisch, M. Franceschini, D. Garetto,
30, 6562. K. Gopalakrishnan, B. Jackson, B. Kurdi, C. Lam, L. A. Lastras,
[36] J. S. Han, Q. Van Le, J. Choi, H. Kim, S. G. Kim, K. Hong, C. W. Moon, A. Padilla, B. Rajendran, S. Raoux, R. S. Shenoy, J. Vac. Sci.
T. L. Kim, S. Y. Kim, H. W. Jang, ACS Appl. Mater. Interfaces 2019, 11, Technol. B 2010, 28, 223.
8155. [63] W. Zhang, A. Thiess, P. Zalden, R. Zeller, P. H. Dederichs, J. Y. Rat,
[37] X. Y. Xu, Z. Y. Yin, C. X. Xu, J. Dai, J. G. Hu, Appl. Phys. Lett. 2014, 104, M. Wuttig, S. Blugel, R. Mazzarello, Nat. Mater. 2012, 11, 952.
033504. [64] A. Pirovano, A. L. Lacaita, A. Benvenuti, F. Pellizzer, R. Bez, IEEE
[38] P. Zhang, C. Gao, B. Xu, L. Qi, C. Jiang, M. Gao, D. Xue, Small 2016, Trans. Electron Devices 2004, 51, 452.
12, 2077. [65] T. Siegrist, P. Jost, H. Volker, M. Woda, P. Merkelbach,
[39] I. Valov, E. Linn, S. Tappertzhofen, S. Schmelzer, J. Van Den Hurk, C. Schlockermann, M. Wuttig, Nat. Mater. 2011, 10, 202.
F. Lentz, R. Waser, Nat. Commun. 2013, 4, 1771. [66] H. Huang, F. Zuo, F. Zhai, Y. Wang, T. Lai, Y. Wu, F. Gan, J. Phys. D.
[40] M. Cassinerio, N. Ciocchini, D. Ielmini, Adv. Mater. 2013, 25, 5975. Appl. Phys. 2010, 43, 175401.
[41] J. Yun, Q. Bai, Z. Yan, M. Chang, J. Mao, Y. Zuo, D. Yang, L. Xi, [67] S. Raoux, W. Wełnic, D. Lelmini, Chem. Rev. 2010, 110, 240.
D. Xue, Adv. Funct. Mater. 2020, 30, 1909092. [68] M. N. Baibich, J. M. Broto, A. Fert, F. N. Van Dau, F. Petroff,
[42] F. Ambriz-Vargas, G. Kolhatkar, M. Broyer, A. Hadj-Youssef, P. Eitenne, G. Creuzet, A. Friederich, J. Chazelas, Phys. Rev. Lett.
R. Nouar, A. Sarkissian, R. Thomas, C. Gomez-Yáñez, 1988, 61, 2472.
M. A. Gauthier, A. Ruediger, ACS Appl. Mater. Interfaces 2017, 9, [69] B. Dieny, V. S. Speriosu, S. S. P. Parkin, B. A. Gurney, D. R. Wilhoit,
13262. D. Mauri, Phys. Rev. B 1991, 43, 1297.
[43] H. Sun, Q. Liu, C. Li, S. Long, H. Lv, C. Bi, Z. Huo, L. Li, M. Liu, Adv. [70] J. C. Slonczewski, J. Magn. Magn. Mater. 1996, 159, L1.
Funct. Mater. 2014, 24, 5679. [71] Y. Huai, AAPPS Bull. 2008, 18, 33.
[44] B. Cho, J. M. Yun, S. Song, Y. Ji, D. Y. Kim, T. Lee, Adv. Funct. Mater. [72] D. Apalkov, A. Khvalkovskiy, S. Watts, V. Nikitin, X. Tang, D. Lottis,
2011, 21, 3976. K. Moon, X. Luo, E. Chen, A. Ong, A. Driskill-Smith, M. Krounbi,
[45] Y. Yang, P. Gao, S. Gaba, T. Chang, X. Pan, W. Lu, Nat. Commun. ACM J. Energy Technol. Comput. Syst. 2013, 9, 13.
2012, 3, 732. [73] A. V. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. S. Beach,
[46] S. La Barbera, D. Vuillaume, F. Alibart, ACS Nano 2015, 9, 941. A. Ong, X. Tang, A. Driskill-Smith, W. H. Butler, P. B. Visscher,
[47] H. S. P. Wong, H. Y. Lee, S. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, D. Lottis, E. Chen, V. Nikitin, M. Krounbi, J. Phys. D: Appl. Phys.
F. T. Chen, M. J. Tsai, Proc. IEEE 2012, 100, 1951. 2013, 46, 139601.
[48] W. Wang, S. Fujita, S. S. Wong, IEEE Electron Device Lett. 2009, [74] Y. Kim, S. K. Gupta, S. P. Park, G. Panagopoulos, K. Roy, Proc. of Int.
30, 733. Symp. on Low Power Electronics and Design (ISLPED’12), ACM/IEEE,
[49] Y. Yang, R. Huang, Nat. Electron. 2018, 1, 274. New York, NY 2012, pp. 3–8.
[50] Intel, Intel Optane Technology: Memory or Storage? Both, 2011, [75] S. Zhang, Phys. Rev. Lett. 2000, 85, 393.
https://www.intel.com/content/www/us/en/products/docs/memory- [76] L. Liu, C. F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, R. A. Buhrman,
storage/optane-technology/what-is-optane-technology-brief.html. Science 2012, 336, 555.
[51] S. R. Ovshinsky, Phys. Rev. Lett. 1968, 21, 1450. [77] A. Brataas, K. M. D. Hals, Nat. Nanotechnol. 2014, 9, 86.
[52] N. A. Yamada, E. Ohno, K. Nishiuchi, J. Appl. Phys. 1991, 69, 2849. [78] G. Yu, Nat. Electron. 2018, 1, 496.
[53] J. Tominaga, T. Kikukawa, M. Takahashi, R. T. Phillips, J. Appl. Phys. [79] Y. Seo, K. Roy, IEEE Trans. Very Large Scale Integr. Syst. 2018, 26, 1600.
1997, 82, 3214. [80] B. Zeinali, J. K. Madsen, P. Raghavan, F. Moradi, in 2017 IEEE Int.
[54] D. S. Chao, H. H. Hsu, M. J. Chen, Y. C. Chen, F. Chen, C. M. Lee, Conf. on Computer Design (ICCD), IEEE, Boston, MA 2017,
P. H. Yen, C. W. Chen, W. H. Wang, W. S. Chen, C. Lien, M. J. Kao, pp. 463–468.
M. J. Tsai, in Int. Symp. VLSI Technology, Systems, and Applications, [81] G. Prenat, K. Jabeur, P. Vanhauwaert, G. Di Pendina, F. Oboril,
IEEE, Hsinchu 2007, pp. 1–2. R. Bishnoi, M. Ebrahimi, N. Lamard, O. Boulle, K. Garello,

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (19 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

J. Langer, B. Ocker, M. C. Cyrille, P. Gambardella, M. Tahoori, [115] R. Aluguri, D. Kumar, F. M. Simanjuntak, T. Y. Tseng, AIP Adv. 2017,
G. Gaudin, IEEE Trans. Multi-Scale Comput. Syst. 2016, 2, 49. 7, 095118.
[82] J. F. Scott, C. A. Paz De Araujo, Science 1989, 246, 1400. [116] C. Ahn, Z. Jiang, C. S. Lee, H. Y. Chen, J. Liang, L. S. Liyanage,
[83] E. Y. Tsymbal, H. Kohlstedt, Science 2006, 313, 181. H. S. Philip Wong, IEEE Trans. Electron Devices 2015, 62, 2197.
[84] V. Garcia, M. Bibes, Nat. Commun. 2014, 5, 4289. [117] C. J. Amsinck, N. H. Di Spigna, D. P. Nackashi, P. D. Franzon,
[85] A. Chanthbouala, V. Garcia, R. O. Cherifi, K. Bouzehouane, S. Fusil, Nanotechnology 2005, 16, 2251.
X. Moya, S. Xavier, H. Yamada, C. Deranlot, N. D. Mathur, M. Bibes, [118] J. Borghetti, G. S. Snider, P. J. Kuekes, J. J. Yang, D. R. Stewart,
A. Barthélémy, J. Grollier, Nat. Mater. 2012, 11, 860. R. S. Williams, Nature 2010, 464, 873.
[86] D. S. Jeong, C. S. Hwang, Adv. Mater. 2018, 30, 1704729. [119] Y. Li, X. Feng, M. Sivan, J. F. Leong, B. Tang, X. Wang, J. N. Tey,
[87] R. Soni, A. Petraru, P. Meuffels, O. Vavra, M. Ziegler, S. K. Kim, J. Wei, K. W. Ang, A. V. Y. Thean, IEEE Sens. J. 2020, 20, 4653.
D. S. Jeong, N. A. Pertsev, H. Kohlstedt, Nat. Commun. 2014, 5, [120] S. Nau, C. Wolf, S. Sax, E. J. W. List-Kratochvil, Adv. Mater. 2015,
5414. 27, 1048.
[88] V. Garcia, S. Fusil, K. Bouzehouane, S. Enouz-Vedrenne, [121] S. G. Kim, Q. Van Le, J. S. Han, H. Kim, M. J. Choi, S. A. Lee,
N. D. Mathur, A. Barthélémy, M. Bibes, Nature 2009, 460, 81. T. L. Kim, S. B. Kim, S. Y. Kim, H. W. Jang, Adv. Funct. Mater.
[89] Z. Wen, D. Wu, Adv. Mater. 2019, 1904123. 2019, 29, 1.
[90] G. H. Kim, J. H. Lee, Y. Ahn, W. Jeon, S. J. Song, J. Y. Seok, [122] F. Rao, K. Ding, Y. Zhou, Y. Zheng, M. Xia, S. Lv, Z. Song, S. Feng,
J. H. Yoon, K. J. Yoon, T. J. Park, C. S. Hwang, Adv. Funct. I. Ronneberger, R. Mazzarello, W. Zhang, E. Ma, Science 2017,
Mater. 2013, 23, 1440. 358, 1423.
[91] S. H. Jo, K.-H. Kim, W. Lu, Nano Lett. 2009, 9, 870. [123] G. Hu, D. Kim, J. Kim, C. Kothandaraman, G. Lauer, H. K. Lee,
[92] Y. Dong, G. Yu, M. C. McAlpine, W. Lu, C. M. Lieber, Nano Lett. N. Marchack, M. Reuter, R. P. Robertazzi, J. Z. Sun,
2008, 8, 386. T. Suwannasiri, J. J. Nowak, P. L. Trouilloud, S. Woo,
[93] M. A. Zidan, H. A. H. Fahmy, M. M. Hussain, K. N. Salama, D. C. Worledge, M. G. Gottwald, S. L. Brown, B. Doris,
Microelectron. J. 2013, 44, 176. C. P. D’Emic, P. Hashemi, D. Houssameddine, Q. He, in 2019
[94] T. H. Lee, D. Y. Kang, T. G. Kim, ACS Appl. Mater. Interfaces 2018, IEEE Int. Electron Devices Meeting (IEDM), IEEE, San Francisco,
10, 33768. CA 2019, pp. 2.6.1–2.6.4.
[95] S. Pi, C. Li, H. Jiang, W. Xia, H. Xin, J. J. Yang, Q. Xia, [124] C. Grezes, F. Ebrahimi, J. G. Alzate, X. Cai, J. A. Katine, J. Langer,
Nat. Nanotechnol. 2019, 14, 35. B. Ocker, P. Khalili Amiri, K. L. Wang, Appl. Phys. Lett. 2016, 108,
[96] G. S. Snider, R. S. Williams, Nanotechnology 2007, 18, 035204. 012403.
[97] M. Prezioso, F. Merrikh-Bayat, B. D. Hoskins, G. C. Adam, [125] J. J. Kan, C. Park, C. Ching, J. Ahn, L. Xue, R. Wang, A. Kontos,
K. K. Likharev, D. B. Strukov, Nature 2015, 521, 61. S. Liang, M. Bangar, H. Chen, S. Hassan, S. Kim, M. Pakala,
[98] K. H. Kim, S. Gaba, D. Wheeler, J. M. Cruz-Albrecht, T. Hussain, S. H. Kang, in 2016 IEEE Int. Electron Devices Meeting (IEDM),
N. Srinivasa, W. Lu, Nano Lett. 2012, 12, 389. IEEE, San Francisco, CA 2016, pp. 27.4.1–27.4.4.
[99] A. Chen, in 11th IEEE International Conference on Nanotechnology, [126] J. Liang, R. G. D. Jeyasingh, H. Y. Chen, H. S. P. Wong, in 2011
IEEE, Portland, OR 2011, pp. 1767–1771. Symposium on VLSI Technology-Digest of Technical Papers, IEEE,
[100] L. Zhao, L. Jiang, Y. Zhang, N. Xiao, J. Yang, in 18th Int. Symp. on Honolulu, HI 2011, pp. 100–101.
Quality Electronic Design, IEEE, Santa Clara, CA 2017, pp. 58–64. [127] T. Kawahara, K. Ito, R. Takemura, H. Ohno, Microelectron. Reliab.
[101] Y. Deng, P. Huang, B. Chen, X. Yang, B. Gao, J. Wang, L. Zeng, 2012, 52, 613.
G. Du, J. Kang, X. Liu, IEEE Trans. Electron Devices 2013, 60, 719. [128] T. Mikolajick, U. Schroeder, S. Slesazeck, IEEE Trans. Electron Devices
[102] S. Kim, J. Zhou, W. D. Lu, IEEE Trans. Electron Devices 2014, 2020, 67, 1434.
61, 2820. [129] S. Sakhare, M. Perumkunnil, T. H. Bao, S. Rao, W. Kim, D. Crotti,
[103] Y. Gao, O. Kavehei, S. F. Al-Sarawi, D. C. Ranasinghe, D. Abbott, F. Yasin, S. Couet, J. Swerts, S. Kundu, D. Yakimets, R. Baert, H. Oh,
Integr. VLSI J. 2016, 54, 56. A. Spessot, A. Mocuta, G. S. Kar, A. Furnemont, in 2018 IEEE Int.
[104] S. I. Oh, J. R. Rani, S. M. Hong, J. H. Jang, Nanoscale 2017, 9, 15314. Electron Devices Meeting (IEDM), IEEE, San Francisco, CA 2018,
[105] Q. Hua, H. Wu, B. Gao, M. Zhao, Y. Li, X. Li, X. Hou, M. F. (Marvin) pp. 18.3.1–18.3.4.
Chang, P. Zhou, H. Qian, Adv. Sci. 2019, 6, 1900024. [130] T. Liu, T. H. Yan, R. Scheuerlein, Y. Chen, J. K. Lee, G. Balakrishnan,
[106] K. Kang, H. Ahn, Y. Song, W. Lee, J. Kim, Y. Kim, D. Yoo, T. Lee, Adv. G. Yee, H. Zhang, A. Yap, J. Ouyang, T. Sasaki, A. Al-Shamma,
Mater. 2019, 31, 1804841. C. Chen, M. Gupta, G. Hilton, A. Kathuria, V. Lai,
[107] J. Shin, G. Choi, J. Woo, J. Park, S. Park, W. Lee, S. Kim, M. Son, M. Matsumoto, A. Nigam, A. Pai, J. Pakhale, C. H. Siau, X. Wu,
H. Hwang, Microelectron. Eng. 2012, 93, 81. T. Tsukamoto, T. Yamaguchi, M. Okajima, T. Okamura,
[108] K. J. Yoon, G. H. Kim, S. Yoo, W. Bae, J. H. Yoon, T. H. Park, S. Takase, IEEE J. Solid-State Circuits 2014, 49, 140.
D. E. Kwon, Y. J. Kwon, H. J. Kim, Y. M. Kim, C. S. Hwang, Adv. [131] S. W. Chung, T. Kishi, J. W. Park, M. Yoshikawa, K. S. Park,
Electron. Mater. 2017, 3, 1700152. T. Nagase, K. Sunouchi, H. Kanaya, G. C. Kim, K. Noma,
[109] J. Song, J. Woo, S. Lim, S. A. Chekol, H. Hwang, IEEE Electron Device M. S. Lee, A. Yamamoto, K. M. Rho, K. Tsuchida, S. J. Chung,
Lett. 2017, 38, 1532. J. Y. Yi, H. S. Kim, Y. S. Chun, H. Oyamatsu, S. J. Hong, in 2016
[110] S. Kim, H. D. Kim, S. J. Choi, Solid State Electron 2015, 114, 80. IEEE Int. Electron Devices Meeting (IEDM), IEEE, San Francisco,
[111] L. Zhang, S. Cosemans, D. J. Wouters, G. Groeseneken, M. Jurczak, CA 2016, pp. 27.1.1–27.1.4.
B. Govoreanu, in 2014 IEEE 6th Int. Memory Workshop (IMW), IEEE, [132] L. Chen, Y. Feng, Y. Chen, Y. Chen, R. Liu, D. Pan, P. Wang, Y. Xu,
Taipei 2014, pp. 1–4. R. Zhang, X. Wang, Appl. Surf. Sci. 2020, 512, 145707.
[112] Y. Da Chen, A. Chin, IEEE Electron Device Lett. 2019, 40, 208. [133] I. S. Kim, S. L. Cho, D. H. Im, E. H. Cho, D. H. Kim, G. H. Oh,
[113] D. Lee, J. Park, J. Park, J. Woo, E. Cha, S. Lee, K. Moon, J. Song, D. H. Ahn, S. O. Park, S. W. Nam, J. T. Moon, C. H. Chung, in
Y. Koo, H. Hwang, Adv. Mater. 2015, 27, 59. 2010 Symp. on VLSI Technology, IEEE, Honolulu 2010, pp. 203–204.
[114] J. Park, T. Hadamek, A. B. Posadas, E. Cha, A. A. Demkov, [134] M. H. Park, H. J. Kim, Y. J. Kim, W. Jeon, T. Moon, C. S. Hwang,
H. Hwang, Sci. Rep. 2017, 7, 4068. Phys. Status Solidi RRL 2014, 8, 532.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (20 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

[135] Y. Goh, S. Jeon, Nanotechnology 2018, 29, 335201. [162] I. Vourkas, G. C. Sirakoulis, Memristor-Based Nanoelectronic
[136] C. H. Cheng, A. Chin, F. S. Yeh, IEEE Electron Device Lett. 2011, 32, 366. Computing Circuits and Architectures, Vol. 19, Springer
[137] F. Xiong, A. D. Liao, D. Estrada, E. Pop, Science 2011, 332, 568. International Publishing, Cham 2015.
[138] A. Chanthbouala, A. Crassous, V. Garcia, K. Bouzehouane, S. Fusil, [163] S. Kvatinsky, A. Kolodny, U. C. Weiser, E. G. Friedman, in 2011 IEEE
X. Moya, J. Allibe, B. Dlubak, J. Grollier, S. Xavier, C. Deranlot, 29th Int. Conf. on Computer Design (ICCD), IEEE, Amherst, MA 2011,
A. Moshar, R. Proksch, N. D. Mathur, M. Bibes, A. Barthélémy, pp. 142–147.
Nat. Nanotechnol. 2012, 7, 101. [164] S. Kvatinsky, N. Wald, G. Satat, A. Kolodny, U. C. Weiser,
[139] B. J. Choi, A. C. Torrezan, J. P. Strachan, P. G. Kotula, A. J. Lohn, E. G. Friedman, in 2012 13th Int. Workshop on Cellular Nanoscale
M. J. Marinella, Z. Li, R. S. Williams, J. J. Yang, Adv. Funct. Networks and their Applications, IEEE, Turin 2012, pp. 1–6.
Mater. 2016, 26, 5290. [165] K. A. Ali, M. Rizk, A. Baghdadi, J. P. Diguet, J. Jomaah, in 2019 26th
[140] H. Zhao, B. Glass, P. K. Amiri, A. Lyle, Y. Zhang, Y. J. Chen, IEEE Int. Conf. on Electronics, Circuits and Systems (ICECS), IEEE,
G. Rowlands, P. Upadhyaya, Z. Zeng, J. A. Katine, J. Langer, Genoa, Italy 2019, pp. 674–677.
K. Galatsis, H. Jiang, K. L. Wang, I. N. Krivorotov, J. P. Wang, [166] K. M. Lee, J. T. Jang, Y. J. Baek, H. Kang, S. Choi, S. J. Choi,
J. Phys. D: Appl. Phys. 2012, 45, 025001. D. M. Kim, C. J. Kang, T. S. Yoon, H. S. Mo, D. H. Kim, IEEE
[141] C. Ma, Z. Luo, W. Huang, L. Zhao, Q. Chen, Y. Lin, X. Liu, Z. Chen, Electron Device Lett. 2016, 37, 986.
C. Liu, H. Sun, X. Jin, Y. Yin, X. Li, Nat. Commun. 2020, 11, 1439. [167] N. Talati, S. Gupta, P. Mane, S. Kvatinsky, IEEE Trans. Nanotechnol.
[142] C. Li, M. Hu, Y. Li, H. Jiang, N. Ge, E. Montgomery, J. Zhang, 2016, 15, 635.
W. Song, N. Dávila, C. E. Graves, Z. Li, J. P. Strachan, P. Lin, [168] J. Von Neumann, M. D. Godfrey, IEEE Ann. Hist. Comput. 1993, 15, 27.
Z. Wang, M. Barnell, Q. Wu, R. S. Williams, J. J. Yang, Q. Xia, [169] W. S. McCulloch, W. Pitts, Bull. Math. Biophys. 1943, 5, 115.
Nat. Electron. 2018, 1, 52. [170] F. Rosenblatt, Psychol. Rev. 1958, 65, 386.
[143] N. Papandreou, H. Pozidis, A. Pantazi, A. Sebastian, M. Breitwisch, [171] M. Sonka, V. Hlavac, R. Boyle, Image Processing, Analysis, and
C. Lam, E. Eleftheriou, in 2011 IEEE Int. Symp. of Circuits and Systems Machine Vision, 2nd ed., Cengage Learning 2014.
(ISCAS), IEEE, Rio de Janeiro 2011, pp. 329–332. [172] D. Floreano, F. Mondada, Neural Networks 1998, 11, 1461.
[144] M. Wang, W. Cai, K. Cao, J. Zhou, J. Wrona, S. Peng, H. Yang, J. Wei, [173] W. Xiong, J. Droppo, X. Huang, F. Seide, M. L. Seltzer, A. Stolcke,
W. Kang, Y. Zhang, J. Langer, B. Ocker, A. Fert, W. Zhao, D. Yu, G. Zweig, IEEE/ACM Trans. Audio Speech Lang. Process. 2017,
Nat. Commun. 2018, 9, 671. 25, 2410.
[145] Q. Pan, M. Su, Z. Zhang, Z. Cai, Y. Li, Z. Huang, X. Qian, X. Hu, [174] A. R. Mohamed, G. E. Dahl, G. Hinton, IEEE Trans. Audio, Speech
F. Li, H. Dong, Y. Song, Adv. Electron. Mater. 2019, 5, 1900131. Lang. Process. 2012, 20, 14.
[146] Y. Y. Liauw, Z. Zhang, W. Kim, A. El Gamal, S. S. Wong, in 2012 IEEE [175] T. Young, D. Hazarika, S. Poria, E. Cambria, IEEE Comput. Intell.
Int. Solid-State Circuits Conf., IEEE 2012, pp. 406–408. Mag. 2018, 13, 55.
[147] T. Van Nguyen, K. Van Pham, K. S. Min, Materials 2019, 16, 875. [176] Y. Taigman, M. Yang, M. Ranzato, L. Wolf, IEEE Conf. Computer
[148] S. K. Hwang, J. M. Lee, S. Kim, J. S. Park, H. Il Park, C. W. Ahn, Vision and Pattern Recognition, IEEE, Columbus, OH 2014, pp.
K. J. Lee, T. Lee, S. O. Kim, Nano Lett. 2012, 12, 2217. 1701–1708.
[149] S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa, [177] K. He, X. Zhang, S. Ren, J. Sun, Delving Deep into Rectifiers:
T. Endoh, H. Ohno, T. Hanyu, Appl. Phys. Express 2008, 1, 0913011. Surpassing Human-Level Performance on Imagenet Classification,
[150] G. Papandroulidakis, I. Vourkas, A. Abusleme, G. C. Sirakoulis, 2015.
A. Rubio, S. Member, IEEE Trans. Nanotechnol. 2017, 16, 491. [178] G. Litjens, T. Kooi, B. E. Bejnordi, A. A. A. Setio, F. Ciompi,
[151] P. Gaillardon, L. Amar, A. Siemon, E. Linn, R. Waser, M. Ghafoorian, J. A. W. M. van der Laak, B. van Ginneken,
A. Chattopadhyay, G. De Micheli, Des. Autom. Test Eur. Conf. C. I. Sánchez, Med. Image Anal. 2017, 42, 60.
Exhib. 2016, 427. [179] D. Jude Hemanth, C. K. S. Vijila, A. I. Selvakumar, J. Anitha,
[152] S. Shin, K. Kim, S. M. Kang, IEEE Trans. Circuits Syst. II, Express Briefs Neurocomputing 2014, 130, 98.
2011, 58, 442. [180] S. Ruder, An Overview of Gradient Descent Optimization Algorithms,
[153] H. Mahmoudi, T. Windbacher, V. Sverdlov, S. Selberherr, Solid State arXiv preprint arXiv:1609.04747, 2016.
Electron 2013, 84, 191. [181] A. L. Caterini, D. E. Chang, Deep Neural Networks in a Mathematical
[154] S. Ferch, E. Linn, R. Waser, S. Menzel, Microelectron. J. 2014, Framework, Springer, Berlin/New York 2018.
45, 1416. [182] M. Rahimi Azghadi, Y.-C. Chen, J. K. Eshraghian, J. Chen, C.-Y. Lin,
[155] K. A. Ali, M. Rizk, A. Baghdadi, J. P. Diguet, J. Jomaah, in 2019 26th A. Amirsoleimani, A. Mehonic, A. J. Kenyon, B. Fowler, J. C. Lee,
IEEE Int. Conf. on Electronics, Circuits and Systems (ICECS), IEEE, Y.-F. Chang, Adv. Intell. Syst. 2020, 2, 1900189.
Genoa, Italy 2019, 723–726. [183] Z. Xiao, J. Huang, Adv. Electron. Mater. 2016, 2, 1600100.
[156] K. M. Kim, R. Stanley Williams, IEEE Trans. Circuits Syst. I, Regul. Pap. [184] Y. Zhang, Z. Wang, J. Zhu, Y. Yang, M. Rao, W. Song, Y. Zhuo,
2019, 66, 4348. X. Zhang, M. Cui, L. Shen, R. Huang, J. Joshua Yang, Appl. Phys.
[157] K. M. Kim, N. Xu, X. Shao, K. J. Yoon, H. J. Kim, R. S. Williams, Rev. 2020, 7, 011308.
C. S. Hwang, Phys. Status Solidi RRL 2019, 13, 1800629. [185] S. H. Jo, T. Chang, I. Ebong, B. B. Bhadviya, P. Mazumder, W. Lu,
[158] A. N. Whitehead, B. Russell, Principia Mathematica, Vol. 2, Nano Lett. 2010, 10, 1297.
Cambridge University Press 1910. [186] J. W. Jang, S. Park, G. W. Burr, H. Hwang, Y. H. Jeong, IEEE Electron
[159] S. Kvatinsky, G. Satat, N. Wald, E. G. Friedman, A. Kolodny, Device Lett. 2015, 36, 457.
U. C. Weiser, IEEE Trans. Very Large Scale Integr. Syst. 2014, 22, 2054. [187] S. Li, F. Zeng, C. Chen, H. Liu, G. Tang, S. Gao, C. Song, Y. Lin,
[160] S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, F. Pan, D. Guo, J. Mater. Chem. C 2013, 1, 5292.
E. G. Friedman, A. Kolodny, U. C. Weiser, IEEE Trans. Circuits [188] H. Tian, W. Mi, H. Zhao, M. A. Mohammad, Y. Yang, P. W. Chiu,
Syst. II, Express Briefs 2014, 61, 895. T. L. Ren, Nanoscale 2017, 9, 9275.
[161] R. B. Hur, N. Wald, N. Talati, S. Kvatinsky, in 2017 IEEE/ACM Int. [189] Institute of Electrical and Electronics Engineers, presented at Proc.
Conf. on Computer-Aided Design (ICCAD), IEEE, Irvine, CA 2017, of 2017 Second IEEE Int. Conf. on Electrical, Computer and
pp. 225–232. Communication Technologies, IEEE, Piscataway, NJ 2017.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (21 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

[190] O. Krestinskaya, A. P. James, L. O. Chua, IEEE Trans. Neural [209] P. Yao, H. Wu, B. Gao, S. B. Eryilmaz, X. Huang, W. Zhang,
Networks Learn. Syst. 2020, 31, 4. Q. Zhang, N. Deng, L. Shi, H. S. P. Wong, H. Qian, Nat.
[191] K. Roy, A. Jaiswal, P. Panda, Nature 2019, 575, 607. Commun. 2017, 8, 15199.
[192] F. Attneave, MB, D. O. Hebb, Am. J. Psychol. 1950, 63, 633. [210] F. Cai, J. M. Correll, S. H. Lee, Y. Lim, V. Bothra, Z. Zhang,
[193] K. D. Miller, L. F. Abbott, S. Song, Nat. Neurosci. 2000, 3, 919. M. P. Flynn, W. D. Lu, Nat. Electron. 2019, 2, 290.
[194] M. Suri, O. Bichler, D. Querlioz, O. Cueto, L. Perniola, V. Sousa, [211] S. Ambrogio, P. Narayanan, H. Tsai, R. M. Shelby, I. Boybat, C. Di
D. Vuillaume, C. Gamrat, B. DeSalvo, in 2011 International Nolfo, S. Sidler, M. Giordano, M. Bodini, N. C. P. Farinha, B. Killeen,
Electron Devices Meeting, IEEE 2011, p. 4. C. Cheng, Y. Jaoudi, G. W. Burr, Nature 2018, 558, 60.
[195] Y. Li, Y. Zhong, J. Zhang, L. Xu, Q. Wang, H. Sun, H. Tong, X. Cheng, [212] S. Choi, J. H. Shin, J. Lee, P. Sheridan, W. D. Lu, Nano Lett. 2017,
X. Miao, Sci. Rep. 2014, 4, 4906. 17, 3113.
[196] D. Kuzum, R. G. D. Jeyasingh, B. Lee, H. S. P. Wong, Nano Lett. [213] Y. Jeong, J. Lee, J. Moon, J. H. Shin, W. D. Lu, Nano Lett. 2018,
2012, 12, 2179. 18, 4447.
[197] R. Yang, H. M. Huang, Q. H. Hong, X. B. Yin, Z. H. Tan, T. Shi, [214] Z. Wang, S. Joshi, S. Savel’ev, W. Song, R. Midya, Y. Li, M. Rao,
Y. X. Zhou, X. S. Miao, X. P. Wang, S. B. Mi, C. L. Jia, X. Guo, P. Yan, S. Asapu, Y. Zhuo, H. Jiang, P. Lin, C. Li, J. H. Yoon,
Adv. Funct. Mater. 2018, 28, 1704455. N. K. Upadhyay, J. Zhang, M. Hu, J. P. Strachan, M. Barnell,
[198] S. Kim, C. Du, P. Sheridan, W. Ma, S. Choi, W. D. Lu, Nano Lett. Q. Wu, H. Wu, R. S. Williams, Q. Xia, J. J. Yang, Nat. Electron.
2015, 15, 2203. 2018, 1, 137.
[199] G. Srinivasan, A. Sengupta, K. Roy, Sci. Rep. 2016, 6, 29545. [215] R. Berdan, T. Marukame, S. Kabuyanagi, K. Ota, M. Saitoh, S. Fujii,
[200] J. Y. Nau, Rev. Med. Suisse 2017, 13, 878. J. Deguchi, Y. Nishi, in 2019 Symp. on VLSI Technology, IEEE, Kyoto,
[201] H. Y. Yoong, H. Wu, J. Zhao, H. Wang, R. Guo, J. Xiao, B. Zhang, Japan 2019, pp. T22–T23.
P. Yang, S. J. Pennycook, N. Deng, X. Yan, J. Chen, Adv. Funct. Mater. [216] Z. Wang, C. Li, W. Song, M. Rao, D. Belkin, Y. Li, P. Yan, H. Jiang,
2018, 28, 1806037. P. Lin, M. Hu, J. P. Strachan, N. Ge, M. Barnell, Q. Wu, A. G. Barto,
[202] L. Chen, T. Y. Wang, Y. W. Dai, M. Y. Cha, H. Zhu, Q. Q. Sun, Q. Qiu, R. S. Williams, Q. Xia, J. J. Yang, Nat. Electron. 2019,
S. J. Ding, P. Zhou, L. Chua, D. W. Zhang, Nanoscale 2018, 10, 2, 115.
15826. [217] M. Hu, C. E. Graves, C. Li, Y. Li, N. Ge, E. Montgomery, N. Davila,
[203] S. B. Furber, F. Galluppi, S. Temple, L. A. Plana, Proc. IEEE 2014, H. Jiang, R. S. Williams, J. J. Yang, Q. Xia, J. P. Strachan, Adv. Mater.
102, 652. 2018, 30, 1705914.
[204] B. V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, [218] D. Reinsel, J. Gantz, J. Rydning, The Digitization of the World – From
A. R. Chandrasekaran, J. M. Bussat, R. Alvarez-Icaza, J. V. Arthur, Edge to Core, International Data Corporation, Framingham 2018.
P. A. Merolla, K. Boahen, Proc. IEEE 2014, 102, 699. [219] S. Bhatti, R. Sbiaa, A. Hirohata, H. Ohno, S. Fukami,
[205] P. Merolla, J. Arthur, F. Akopyan, N. Imam, R. Manohar, S. N. Piramanayagam, Mater. Today 2017, 20, 530.
D. S. Modha, in 2011 IEEE Custom Integrated Circuits Conf. [220] N. Sato, F. Xue, R. M. White, C. Bi, S. X. Wang, Nat. Electron. 2018,
(CICC), IEEE, San Jose, CA 2011, pp. 1–4. 1, 508.
[206] M. Davies, N. Srinivasa, T. H. Lin, G. Chinya, Y. Cao, S. H. Choday, [221] C. Baldassi, F. Gerace, H. J. Kappen, C. Lucibello, L. Saglietti,
G. Dimou, P. Joshi, N. Imam, S. Jain, Y. Liao, C. K. Lin, A. Lines, E. Tartaglione, R. Zecchina, Phys. Rev. Lett. 2018, 120, 268103.
R. Liu, D. Mathaikutty, S. McCoy, A. Paul, J. Tse, [222] C. Li, Z. Wang, M. Rao, D. Belkin, W. Song, H. Jiang, P. Yan,
G. Venkataramanan, Y. H. Weng, A. Wild, Y. Yang, H. Wang, Y. Li, P. Lin, M. Hu, N. Ge, J. P. Strachan, M. Barnell, Q. Wu,
IEEE Micro 2018, 38, 82. R. S. Williams, J. J. Yang, Q. Xia, Nat. Mach. Intell. 2019, 1, 49.
[207] J. Pei, L. Deng, S. Song, M. Zhao, Y. Zhang, S. Wu, G. Wang, Z. Zou, [223] B. Bao, H. Qian, Q. Xu, M. Chen, J. Wang, Y. Yu, Front. Comput.
Z. Wu, W. He, F. Chen, N. Deng, S. Wu, Y. Wang, Y. Wu, Z. Yang, Neurosci. 2017, 11, 81.
C. Ma, G. Li, W. Han, H. Li, H. Wu, R. Zhao, Y. Xie, L. Shi, Nature [224] J. Yang, L. Wang, Y. Wang, T. Guo, Neurocomputing 2017, 227, 142.
2019, 572, 106. [225] S. B. Eryilmaz, D. Kuzum, R. Jeyasingh, S. B. Kim, M. BrightSky,
[208] C. Li, D. Belkin, Y. Li, P. Yan, M. Hu, N. Ge, H. Jiang, E. Montgomery, C. Lam, H. S. Philip Wong, Front. Neurosci. 2014, 8, 205.
P. Lin, Z. Wang, W. Song, J. P. Strachan, M. Barnell, Q. Wu, [226] O. Krestinskaya, B. Choubey, A. P. James, Sci. Rep. 2020,
R. S. Williams, J. J. Yang, Q. Xia, Nat. Commun. 2018, 9, 2385. 10, 5838.

In Hyuk Im has been a Ph.D. candidate at the Department of Materials Science and Engineering in
Seoul National University since he received B.S. degree at the Department of Materials Science and
Engineering in Seoul National University of Science and Technology, in 2019. His research aims at
resistive switching device based on halide perovskites and micro-patterning process of them for
integrating into crossbar array for their applications such as storage class memory and neuromorphic
system.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (22 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH
www.advancedsciencenews.com www.advintellsyst.com

Seung Ju Kim received his B.S. degree at the Department of Materials Science and Enginnering in Seoul
National University and he has been a Master’s degree candidate at the same department. His current
research focuses on neuromorphic devices based on halide perovskites, both by exploring a new
composition of the halide perovskites and optimized fabrication process.

Ho Won Jang is an associate professor of the Department of Materials Science and Engineering in Seoul
National University. He earned his Ph.D. from the Department of Materials Science and Engineering in
Pohang University of Science and Technology (POSTECH) in 2004. He worked as a research associate at
University of Wisconsin–Madison from 2006 to 2009. Before joining Seoul National University in 2012,
he worked in Korea Institute of Science and Technology (KIST) as a senior research scientist. His
research interests include the synthesis of oxides and 2D materials and their applications for
nanoelectronics, solar water splitting, and chemical sensors.

Adv. Intell. Syst. 2020, 2, 2000105 2000105 (23 of 23) © 2020 The Authors. Published by Wiley-VCH GmbH

You might also like