Download as pdf or txt
Download as pdf or txt
You are on page 1of 29

EUV Lithography as key scaling enabler for logic and memory

Geert Vandenberghe
Emily Gallagher, Danilo De Simone, Eric Hendrickx, Ryoung han Kim,
Philippe Leray,Vicky Philipsen, Kurt Ronse.
More consumer products with EUV chips on the market
Slide 2
EUVL Sep 2020

LOGIC DRAM

40 Samsung Announces Industry’s First EUV DRAM


with Shipment of First Million Modules
Korea on March 25, 2020

DRAM Bit line half pitch [nm]


Effective node [nm]

Introduction, Sep 2019 Introduction, Aug 2019


20
LOGIC Immersion single patterning DRAM immersion
limit to trigger 0.33 NA insertion double patterning limit
10
LOGIC 0.33NA EUV
20
DRAM 0.33NA EUV
LOGIC 0.33NA
EUV single patterning limit DRAM high NA opportunity

12 nm DRAM 0.33NA
EUV single patterning limit

1 10
2016 2017 2018 2019 2020 2022 2024 2026 2028 2011 2013 2015 2017 2019 2021 2023 2025 2027 2029

Year start high-volume production Year start high-volume production

Source: Average customer input and ASML speculation, May 2020 public
Q4-2020 update
Focus Area: EUVL extension including High NA
2016 2017 2018 2019 2020
1. Resist resolution, 1. Resist resolution, 1. Resist resolution, 1. Resist resolution,
1. Reliable source with
sensitivity & LER met sensitivity & LER met sensitivity & LER met sensitivity & stochastics
> 85% availability
simultaneously simultaneously simultaneously met simultaneously

2. Resist resolution, 2. Reliable source


2. Keeping mask 2. Keeping mask 2. Keeping mask
sensitivity & LER met >250W operation with
defect free defect free defect free
simultaneously >90% availability
3. Reliable source 3. Extension of EUV mask 3. Extension of EUV mask
3. Keeping mask 3. Keeping mask
>250W operation with infrastructure for high-NA infrastructure for high-NA
defect free defect free
>90% availability requirements requirements

4. Mask yield & defect 4. Mask yield & defect 4. Continue actinic PMI 4. System and power 4. System and power
inspection/review inspection/review and new mask material efficiency improvements efficiency improvements
infrastructure infrastructure development for next generation tooling for next generation tooling

public
EUV photons at imec
15 years of full-field EUV scanners

2006 - 2011 2011 - 2015 2014 - 2020 2019 - present


ASML alpha-demo tool ASML NXE:3100 ASML NXE:3300 ASML NXE:3400
40nm → 27nm LS 27nm → 18nm LS 22 → 14nm LS → 12nm LS
0.25 NA 0.25 NA 0.33 NA 0.33 NA
MOR CAR

60nm pitch 60nm pitch 48nm pitch 52nm pitch 32nm pitch 36nm pitch 24nm pitch 24nm pitch
4
public
EUV materials
Stochastic failures as the new challenge
Litho Patterning MATERIALS
Until 2018 From 2019 - 2025

DUV EUV 0.33NA and 0.55NA

Organic resist Organic resist Inorganic resist

Underlayers
BARC
spin on and deposited

Sensitivity
Resolution

Roughness

Complexity of photoresist and stack development has increased over time


6
public
Stochastic failures

Imaging alone is not enough – must also maximize failure-free window


7
P. De Bisschop, SPIE AL 2019 public
Conditions:

Measured dependencies • Pitch 36 nm


• FT = 30 nm
• Post litho measurement
30 nm resist
10 nm SOG

Which knobs to we have to improve ? • Mask dimension = 18 nm 65 nm SOC

pixNOK(Dose) pixNOK(Resist chemistry)

1E-3 1E-3

Resistfamily
J3030 family A
Resist
J3030 A
family

pixNOK_Space
1E-4 1E-4
pixNOK

1E-5
1E-5

1E-6 Resist
J4267 family
1E-6 family B
SpaceCD = 16 nm
SpaceCD = 16 nm
1E-7 Mask: P36V16
Mask: P36V16
1E-7
30 40 50 60 70 80 30 40 50 60 70
Dose [mJ/cm2] Dose [mJ/cm2]

STRONGEST DEPENDENCIES ARE DOSE AND CD (exponential)


Followed by a few weaker dependencies like resist chemistry, mask cd and meef,...
8
COLLABORATION WITH JSR G. Vandenberghe, SPIE AL 2018 public
Biased(RAW) vs unbiased PSD results
impact after lithography
4 LER, 3.75
EUV Lines CD 16 nm Pitch 32nm *Standard error of 1%
3.5
3
LER, 2.43
2.5
2
SEM Noise
1.5
1
0.5
0

LER

Biased Unbiased

Removing CD-SEM noise results in 35% reduction in the estimated roughness after litho
What matters is after pattern transfer (AEI) - After litho (ADI) is important for understanding
Vito Rutigliani, SPIE AL2018 public
Impact of thinner film thickness
delivers higher LER and poor SEM image contrast

CAR

25 nm 15 nm Film thickness Patterning Performance


and metrology challenges
with thin resist films
29.5 nm 13.7 nm 8.3 nm Film thickness

MOR

CDSEM: BKM ADI setting


10
public
UNDERLAYERS
Absorption of photon is limited and resist film is thin

▪ EUVL uses thin photoresist layers → less


bulk and more surface
25 ~ 50 nm Photoresist
▪ Increasing influence of interfaces on:
▪ Dose to size
▪ Resist profile
▪ Nano-failures 5 ~ 20 nm Under layer
▪ Etch transfer of defects in resist
▪ Compatibility of underlayers with resist Silicon Wafer
must be optimized (or other substrate)

11
public
Underlayers

as example

a-silicon a-silicon
no HMDS w/ HMDS

Surface energy map of EUV materials: most of the UL plotted do not match the resist properties.

The perfect Resist-UL match (best RLSF) depends on their chemical-physical properties
P. Vanelderen, SPIE AL2019 public
SOC UL effect on metal oxide resist
32nm pitch LS – after litho

SOC polymer A
SOC polymer B

pixNOK SOC polymer C


SOC polymer D
SOC polymer E
Ref SOC
Substrate has large impact
on nano-failures, due to
resist-substrate interaction.

Pieter Vanelderen, Photopolymer 2018. public


24nm pitch printing using 0.33NA
Enables resist screening and etch development towards 0.55 NA
CAR 55.7mJ/cm2 MOR 34mJ/cm2

NXE3400B at imec:
24nm pitch, single exposure

P24 LS printability demonstrated for both MOR and CAR at 0.33NA with optimized source
Challenge is to reduce dose and increase failure-free process window.

Pupil optimized as described in


14
J.-H. Franke et al, EUVL Symposium, 2020 public
EUV masks
Material as the challenge and the opportunity
Material space vs. reference TaBN
EUV interaction

high k absorption
Increase EUV absorption

Phase shifting
potential

Increase phase shifting


16
public
Philipsen et al, SPIE Advanced Lithography 2019
M3D lithographic metrics Erdmann et al, SPIE Advanced Lithography 2020

Improvement vs. TaBN reference


Lower best focus variation
through pitch

Lower telecentricity
▪ Absorber choice depends on
error
which litho metrics are Higher NILS
Lower 2bar CD
prioritized
asymmetry
▪ Attenuated PSM tends to through focus
generate superior NILS solutions
for single pitches at the expense
of other 3D effects

Regions for imaging improvement compared to reference TaBN identified


17
public
Engineering novel EUV mask absorbers Philipsen et al., SPIE10810-11 2018
Luong et al., Appl. Sci. 8, 521 2018
Philipsen at al., SPIE11178-0F 2019
Material identification and characterization Luong et al., JVSTB 37, 061607 2019

Requirements
▪ Relative to TaBN standard
Film morphology
▪ Large green circle
indicates more benefits Film durability
▪ High EUV absorption EUV optical properties
▪ Ni, PtTe, Ag-based Patterning / processing
▪ Phase-match-to vacuum Inspection
▪ TaTe, Ni3Al Repair
▪ Att PSM
Performance vs. TaBN
▪ Ru-based improved
reduced Material study on
wafer coupons

Imec is developing materials experimentally and evaluating them relative to mask requirements
18
public
Mask absorber summary

▪ Benefits of alternative absorber have been


demonstrated by many
ASML timeline for insertion
▪ Imaging gain for high-k seems to be less
case-dependent than attenuated PSM

▪ Challenges
▪ Convergence to one absorber is difficult
▪ No industry consensus Jan Van Schoot et al., SPIE Advanced Lithography, 2020

▪ Many lithographically interesting materials


demand process development (i.e. etch)

Imec and partners working towards mask demonstration informed by screening efforts

19 See Keynote address Vicky Philipsen, SPIE2021


public
EUV pellicle
Keeping the mask defect free
Approach to pellicle membranes

To reduce absorption (increase transmission)


1. Choose appropriate materials: low k
2. Reduce number of atoms

nm-scale film nm-scale grid

Film
polysilicon
ASML(1) metal ceramic
graphene
(1) P. Van Zwol, ASML SPIE Photomask, 2017
FST (2) silicon carbide (2) D. Park, FST, Pellicle TWG, 2017
(3) D. Goldfarb, IBM, Pellicle TWG, 2017
IBM (3) silicon nitride

Imec has focused on CNT-based membranes since 2016 21


Gallagher, SPIE EUVL 2018
Gallagher, SPIE PM 2015 public
Why a membrane of CNTs?
Key motivators

▪ Intrinsic CNT properties attractive

▪ High EUV transmission Courtesy of Prof. Y. H. Lee, CNT Research Lab, Korea

▪ Greater than 95%


▪ Carbon has n~1 and low k
▪ Relatively few atoms required for free-
standing membrane

▪ Demonstrated ability to withstand very


high EUV powers – even when uncoated

22
public
EUV CNT pellicle
Guido Salmaso, SPIE
Photomask 2018

▪ Key achievements
▪ Durable, free-standing, CNT-based membrane Full-size pellicle can be
with >95% EUVT compatible with ASML
▪ Enables through-pellicle inspection and high frame or other designs
throughput
▪ Tunable CNT material under optimization
with and without coating

▪ Towards high NA
▪ High EUV transmission → minimal
interaction at large angle illumination
▪ Durability to high powers possible

▪ Development required
▪ Improve lifetime in scanner environment
Ref. Joost Bekaert et al, SPIE2021 23
public
Summary and outlook to High NA EUV
EUV LITHOGRAPHY ENABLED PITCH SCALING ROADMAP

2031 0.33NA SE 0.55NA SE 0.55NA DPT


Value of high NA introduction
2029
4
1 • Introduce High NA at P32-P28 (0.33NA SE limit).
• Higher image contrast enabling stochastic
2027 defectivity mitigation, larger process margins
and higher productivity.
Year

2 • Introduce High NA SE in DRAM P40-P27


2025
3 • Higher image contrast enabling stochastic
defectivity mitigation, larger process margins
1 and higher productivity for storage node (pad)
and active area cut and bit line contact layers
2023 3 • Replace 0.33NA DPT (around P24-P20)
• Enabling single exposure, for cost reduction
and design flexibility.
2021 4 • Extend SE resolution to ≤18nm pitches
0.33NA DPT

40 38 36 34 32 30 28 26 24 22 20 18 16 14 12 10
Pitch (nm)
SE: Single Exposure
25
DPT: Double (multiple) Patterning Technology
public
TECHNOLOGY REQUIREMENTS FOR HIGH NA EUV INSERTION

0.55NA Scanner Mask

See next talk Enhanced Mask3D


Jan van Schoot Novel absorber
MRC/MPC
Pellicle (CNT)

High NA
EUV
Materials
Metrology / Inspection Lithography Resists & UL
Resolution w. dose
Thin resist metrology
Smoothing
Defect inspection
Film scaling
Overlay & metrology
Variability & defectivity
Precision
Etch & hard masks

public
TECHNOLOGY REQUIREMENTS FOR HIGH NA EUV INSERTION
Alternative mask blanks enable mitigation of
Mask M3D effects and boost contrast.

Enhanced Mask3D
Novel absorber material needed
Novel absorber
MRC/MPC
Pellicle (CNT)

High NA
EUV
Materials
Metrology / Inspection Lithography Resists & UL
Resolution w. dose
CDSEM: BKM ADI setting Thin resist metrology Yielding resolution
Smoothing
Defect inspection
Film scaling
Overlay & metrology
Variability & defectivity
CAR 15 nm FT 25 nm FT Precision
Etch & hard masks
Thin film metrology

LER mitigation for Resist-UL match based on


thinner films chemical-physical properties
public
HIGH NA EUV EXPLORATION AT IMEC
High NA EUV ecosystem preparation Imec-ASML joint high NA lab
2020 - ... 2023 - ...

Attolab – time resolved spectroscopy


Interference lithography Resist
Films
Etch
Mask
Pellicle
Metrology

NXE3400 @ imec

28
public
ありがとうございます - Thank you!

*thanks to Kars Troost et al (ASML) for various contributions

You might also like