Download as pdf or txt
Download as pdf or txt
You are on page 1of 25

AIMS® EUV

ZEISS’ solution for actinic review of EUV mask

Sven Krannich
Customer Project Manager
vZTech Japan, 2021-05-19
Agenda

1 Introduction to the AIMS® EUV


2 EUV Mask Qualification with AIMS® EUV
3 Platform Extensions and Options
4 Summary

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 2
Agenda

1 Introduction to the AIMS® EUV


2 EUV Mask Qualification with AIMS® EUV
3 Platform Extensions and Options
4 Summary

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 3
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
ZEISS SMS products along the mask manufacturing chain

Mask
Pattern
Manufac- Metrology Tuning Inspection Disposition Repair Verification Cleaning
turing flow
Generation

Enabling
SMS
Products

PROVE® ForTune AIMS® MeRiT® AIMS®

ForTune EUV AIMS® EUV PRT AIMS® EUV

Supporting
Digital
Automation
Solutions

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 4
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV sees what the wafer sees already in the mask shop

Mask

▪ It‘s the effective mask image, what directly


matters for the wafer (not the physical mask itself)
▪ AIMS® EUV is the mask shop tool which measures
the mask image
▪ Mask qualification free of resist effects and
Mask aerial image
photon noise (stochastics)
Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 5
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV core functionality at a glance

Equivalent image generation as on scanner Same information collected from the


mask to create aerial image

Mask

33X0 (PFR≥38%)

34X0 (PFR≥20%)

▪ Illumination setting available for optimized scanner matching


▪ Equivalent angular space selection (CRAO)

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 6
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV Key operational features

Source integration designed


for optimal serviceability High precision stage for
defect location accuracy

Handling concept
designed for
particle mitigation

EUV scanner emulation


Automated aperture exchange
Dual pod and SMIF pod
loading supported

EMC: EUV Metrology Core EUV scanner quality optics

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 7
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV logistics

Key facts and numbers on AIMS® EUV logistics:


• More than 90 AIMS® EUV crates will have to be shipped, handled and stored by the customer
➢ These need to be buffered (@warehouse) and sequentially moved-in to the customer facility during hardware install
➢ Hardware installation will take approx. 3 months → approx. 5-10 crates moved-in per week
• Temperature controlled shipment required → in total ~11 temperature-controlled trucks (each 40 tons)
• AIMS® EUV need to be shipped as ‘secure airfreight’
• Additionally, approx. 30 crates with Zeiss install tools (to be returned after install)
(In the >90 crates, also some additional Zeiss tools need to be returned after install)

AIMS® EUV crates fill up one 747F cargo plane completely!

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 8
Agenda

1 Introduction to the AIMS® EUV


2 EUV Mask Qualification with AIMS® EUV
3 Platform Extensions and Options
4 Summary

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 9
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
Excellent reproducibility along tool pipeline

AIMS® EUV platform stability and system metrology provides excellent measurement performance

CD measurement reproducibility: same mask measured from 3 different tools

▪ Structure: 64nm dense L/S ✓ Repro for single run 0.2 target spec
▪ Illumination: Dipole ✓ Over different tools: 0.2-0.5 target spec

Line Roughness on mask


Tool A Tool B Tool C
65,4 Repro=0.2 target

65,2
CD (nm at mask)

65
64,8
64,6
64,4
64,2
64
63,8
0 2 4 6 8 10 12
Repetition #

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 10
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV workflow superior to Wafer Prints

AIMS® EUV measures the mask without any disturbance by photon/resist stochastics:
The aerial image is the natural ‘habitat’ for a full mask qualification
Wafer Print AIMS® EUV

Stochastics dominated Mask dominated

No clear separation between mask error and wafer process Mask contribution not “hidden” by wafer process

▪ How to localize individual repaired 20 nm CH on SEM? ▪ “Clean” image includes ALL mask optical effects
▪ Out of spec due to mask or due to wafer stochastic failure? ▪ DCD and aerial image properties measured with high repeatability

Challenging repair verification & process optimization Clean input for repair process success and process optimization

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 11
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
Precise quantification of aerial image impact for all kinds of defects

Photon stochastics mode

* Equivalent of 40mJ/cm2 with


20% resist absorption
Exemplary prototype repeatability data

8 mJ/cm2*
defects # 1-6

AIMS® mode
E. Verduijn et al. 2017, ‘Printability and actinic AIMS review of Capelli R. et al. 2018, “AIMSTM EUV first insertion into the back end of the Capelli R. et al. 2018, “Aerial image based
programmed mask blank defects’; line of a mask shop: a crucial step enabling EUV production” metrology of EUV masks: recent achievements,
Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, status and outlook for the AIMS™ EUV platform”
101430K (24 March 2017); doi: 10.1117/12.2260053
▪ Suitable also for phase defects ▪ Precise quantification ▪ „Clean“ image of mask
▪ Scanner matching: λ, NA, sigma, CRA,.. ▪ Reliable OK/NOK decision contribution
Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 12
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV for mask 3D effects qualification

ZEISS AIMS EUV provides the means for a full qualification of mask 3D effects, and their dependence on process parameters

Impact of shadowing effects and Best focus shift through Pattern placement
full mask bias qualification structure pitch shift through focus
6
Sim. Hor. Iso -Dipole Y
Sim. Ver. Iso -Dipole X
4 Exp. Hor. Iso -Dipole Y
Exp. Ver. Iso -Dipole X

Pattern-Shift [nm]
2

-2

-4

-6
-2.0 -1.5 -1.0 -0.5 0.0 0.5 1.0 1.5 2.0

Focus [m]
Hellweg et al. 2016, “Actinic Review of EUV Masks: Performance Hellweg at al. 2017, “Actinic Review of EUV masks: Challenges and Capelli R. et al. 2018, “AIMSTM EUV tool Platform: Aerial
Data and Status of the AIMSTM EUV System achievements in delivering the perfect mask for EUV production” image based qualification of EUV masks”

Mask shop ▪ equivalent image generation as on scanner NXE:33X0-34X0 (illumination, NA)


benefits ▪ same diffraction orders collected by POB → relevant information only

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 13
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
Multilayer defect printability with AIMS® EUV

Imec through AIMS® EUV prototype access with the support of all EMI members
Through-focus measurements of 146 native ML-defects as detected by ABI
▪ Correlation of AIMS® EUV aerial image shows a good match to wafer prints over the range of printing impact
▪ Good correlation extends to defocus conditions within process window
Courtesy of Imec, Rik Jonckheere
Wafer print*

*ASML NXE:3300 at imec


AIMS® EUV

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 14
Agenda

1 Introduction to the AIMS(R) EUV


2 EUV Mask Qualification with AIMS(R) EUV
3 Platform Extensions and Options
4 Summary

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 15
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV Options for the tool platform

ZEISS continuous improvement of AIMS® EUV platform: software and hardware solution supporting lithography roadmap
AIMS® EUV platform
(tool hardware)
Platform Extensions Applications Beyond Defectivity

Pellicle Upgrade Phase metrology


Upgrade of the AIMS® EUV handling Quantitative analysis of Phase difference
and sensor system to work with EUV between absorber and multi-layer for Phase
pellicles shift masks.

High-NA (0.55 NA)


Feasibility study Run by ZEISS Stochastics Mode
shows that the emulation of High-NA Quantitative analysis of the photon noise
scanner systems is possible for the contribution to lithography relevant metrics
platform
Defectivity Applications
WLCD
AIMS® EUV Digital Flex Illu ‘native AIMS® application’
Qualification of mask printing (incl. OPC) and
Emulate the full range of free form 3D effects via aerial image metrology
illuminations that are possible in AIMS® EUV Evaluation software
EUV NXE Scanners. Defect – reference analysis for defect printability
evaluation
Mask surface roughness qualification
Characterization of micro surface roughness
AIMS® AutoAnalysis EUV on EUV masks and blanks and impact on
Automated solution for defect- reference analysis lithography metrics
and defect printability evaluation

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 16
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV capability for stochastic emulation

BACUS 2017 AIMS® EUV allows for equivalent scanner dose


emulation – stochastics mode
Paradigm change DUV- to EUV-Lithography
▪ tuning of photon number → exposure time
DUV: Photon stochastics not important ▪ conversion for number of photons on a clear field
EUV: Higher photon energy, smaller lithography feature
64nm L/S 1:1
→ Photon stochastics become major contribution to wafer
local CDU&LER/LWR

Let‘s use the AIMS(R) EUV to measure


stochastics and quantify the effects on 8 mJ/cm2* AIMS® mode
aerial image and wafer print * AIMS® EUV stochastics mode, equivalent of 40mJ/cm2 with 20% resist absorption

Dose emulation achieved in experimental mode


Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 17
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV Pellicle compatibility

Pellicle option supported by AIMS® EUV as upgrade of current platform in the field (or pellicle compatible for new tools)

➢ Upgrade will enable AIMS® EUV to accept masks with and without EUV pellicle

Initial AIMS® EUV design: no pellicle support

Unclear whether EUV would come with pellicle:


▪ limited source power
▪ non existing pellicle industrial solution
Courtesy of ASML

Main blocks for feasibility study:


▪ Check of volume claims, identification of volume conflicts, static and dynamic
▪ Check of full sensor functionality
▪ Infrastructure compatibility: determination of required changes in pump/vent
curves
▪ Development of mask and machine recovery procedures
o Handling failure
o Pellicle failure
Dummy pellicle on glass blank (at ZEISS)

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 18
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV solution for EXE:5000 High-NA emulation

AIMS® EUV High-NA (0.55) will be based on existing platform to guarantee forward and backward compatibility

NA 0.33 NA.0.55
NA = 0.33 NA = 0.55
NXE:3400 EXE:5000

Scanner
Wafer level
Courtesy of ASML

NA = 0.33 NA = 0.55

AIMS® EUV

Mask level Current platform Same platform


changes to component level
Pre-development started
Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 19
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
Anamorphic structures for High-NA imaging

High-NA masks look different


Mask patterns for anamorphic imaging need to be biased for 4x/8x demagnification

Contact holes L-bars (elbows)


4x

0.55 NA ▪ Structure aspect ratio changes from masks for


High-NA 8x 0.33 NA isomorphic imaging

▪ For example: 0.55 NA contact holes look


different → rectangular

▪ If imaged under 0.33NA → elongated images


0.33 NA
Low NA 4x

4x
Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 20
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV High-NA proof of concept: First Light measurement!

The proof of concept for a 0.55 NA emulation within AIMS® EUV has been successfully demonstrated:
IMAGING OF ANAMORPHIC STRUCTURES

Mask design Current AIMS imaging (0.33NA) High-NA anamorphic emulation

CDX=64nm CDY=128nm

As available on AIMS®
EUV calibration mask

Same physical structure on the mask


Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 21
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
AIMS® EUV High-NA proof of concept: First Light measurement!

The proof of concept for a 0.55 NA emulation within AIMS® EUV has been successfully demonstrated:
IMAGING OF ANAMORPHIC STRUCTURES

Mask design Current AIMS imaging (0.33NA) High-NA anamorphic emulation

CDX=80nm CDY=160nm

As available on AIMS®
EUV calibration mask
Same physical structure on the mask

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 22
Agenda

1 Introduction to the AIMS(R) EUV


2 EUV Mask Qualification with AIMS(R) EUV
3 Platform Extensions and Options
4 Summary

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 23
AIMS® EUV – ZEISS’ solution for actinic review of EUV mask
Summary

Defect AIMS® EUV identifies


printing printing defect based on
behavior defect disposition spec

AIMS® EUV- only system to


Phase identify phase defect by
defects through focus capability on
EUV Masks

Repair AIMS® EUV verifies


successful defect
success repairs on EUV Masks

Carl Zeiss SMT GmbH, Sven Krannich, FoB AIMS® EUV 2021-05-19 24

You might also like