Ky-Thuat-So - Le-Thi-Kim-Anh - Thi - Kts - CQ - 181 - Dapan - Rev2 - (Cuuduongthancong - Com)

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

Đại Học Bách Khoa TP.

HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử


Điểm ĐỀ THI CUỐI KỲ - Ngày thi: 19/12/2018 Chữ ký giám thị
MÔN: KỸ THUẬT SỐ
Thời gian làm bài: 110 phút – KHÔNG sử dụng tài liệu
Làm bài ngay trên đề thi – Đề thi bao gồm 7 câu
Sinh viên trình bày cách làm đầy đủ

Họ và tên: ………ĐÁP ÁN…………………….. MSSV: …………………….. Nhóm: ………..

(1) Beginning (2) Developing (3) Accomplished (4) Exemplary

Câu 1 (1.5đ)    
Câu 2 (1.5đ)
Câu 3 (1.0đ)

om
Câu 4 (1.0đ)    

.c
Câu 5 (1.5đ)
Câu 6 (1.0đ)    
Câu 7 (2.5đ) 
ng
  
Tổng cộng (10đ)
co
an

Các anh/chị bổ sung điểm chấm ABET luôn dùm e nha:


th

+ Nếu SV làm được full cả 4 câu 1, 4, 6 và 7 thì mức 4


+ Nếu chỉ làm full được 3 câu hoặc 4 câu ko trọn vẹn thì mức 3
g

+ Nếu chỉ làm full được 2 câu hoặc 3 câu ko trọn vẹn thì mức 2
on

+ Nếu SV ko làm được câu nào hoặc chỉ đúng dc 1 câu thì mức 1.
du

Các anh/chị nhập vào file Excel (mybk) thì thêm cột ABET bên cạnh cột điểm
u

thi rồi gửi cho c Hương hoặc e file excel cuối cùng các anh/chị nhập luôn nha.
cu

Trang 1 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 1: (1.5đ)
̅ (𝐁⨁𝐂) + 𝐁𝐂𝐃
Cho hàm 4 biến 𝐅(𝐀, 𝐁, 𝐂, 𝐃) = 𝐀

a. Thiết kế hàm F chỉ sử dụng các bộ cộng toàn phần (Full Adder), không dùng thêm cổng logic.
(0.5đ)

Bộ cộng F.A: s = 𝒙⨁y⨁𝐳 , c = xy + xz +yz


* khi z=0: s = 𝒙⨁𝐲 , c = xy * khi z=1: ̅̅̅̅̅̅ , c = x + y
s = 𝒙⨁𝒚

B x B⨁C
s x s
C y FA y FA
BC 𝐴(𝐵⨁𝐶 )
0 z c 0 z c x s
y FA

om
1 z c F
𝐴
A x s x s

.c
1 y FA D y FA
BCD
0 z c 0 z c
ng
co
b. Thiết kế hàm F chỉ sử dụng 01 MUX 𝟐 ⟶ 𝟏 và các cổng logic cần thiết. (0.5đ)
an

f = 𝒔̅. 𝒂 + 𝒔. 𝒃
th

Bộ MUX 2->1:
g
on

B
̅ (𝐁⨁𝐂) + BCD a
𝑭=𝐀 C
du

̅ (𝐁⨁𝐂) + (𝐀
̅ + A)BCD f F
=𝐀 B
C b
̅ . (𝐁⨁𝐂 + 𝐁𝐂𝐃) + A.BCD s
=𝐀 D
u
cu

A
c. Thiết kế hàm F chỉ sử dụng 01 IC giải mã 𝟑 ⟶ 𝟖 (IC 74138) và các cổng logic cần thiết (0.5đ)

IC 74138: ngõ ra Yi = Mi của 3 ngõ vào C,B,A

̅ (𝐁⨁𝐂) + BCD
𝑭=𝐀
.
=𝐀 ̅ 𝐂 + 𝐁𝐂̅) + (𝐀
̅ (𝐁 ̅ + A)BCD C A (LSB) Y0
B B Y1
̅𝐁
=𝐀 ̅ 𝐁𝐂̅ + (𝐀
̅𝐂 + 𝐀 ̅ BC+ABC)D F
A C Y2
= m1 + m2 + ( m3 + m7 ). D Y3
Y4
= ̅̅̅̅
𝑴𝟏 + ̅̅̅̅ ̅̅̅̅𝟑 + ̅̅̅̅
𝑴𝟐 + (𝑴 𝑴𝟕 ). 𝐃 1 G1 Y5 D
̅̅̅̅̅̅̅̅
= 𝑴 ̅̅̅̅̅̅̅̅
𝟏 𝑴 𝟐 + (𝑴 𝟑 𝑴 𝟕 ). 𝑫
0 G2A Y6
0 G2B Y7
Với Mi là Maxterm của 3 biến A,B,C
Trang 2 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 2: (1.5đ)
Cho mạch tổ hợp được mô tả bằng mã VHDL như sau:

library IEEE;
a. Vẽ sơ đồ mạch của hệ tổ hợp được mô tả ở
use IEEE.std_logic_1164.all; câu 2. Lưu ý: sinh viên ghi chú đầy đủ tên các
tín hiệu trung gian (signal) lên hình vẽ (0.5đ).
entity cau_2 is
port(
x : in STD_LOGIC_VECTOR(3 downto 0);
y : out STD_LOGIC_VECTOR(2 downto 0));
end cau_2;

architecture comb of cau_2 is mux2to1


component mux2to1
port (
a : in STD_LOGIC_VECTOR(2 downto 0); x(2) a(2)
b : in STD_LOGIC_VECTOR(2 downto 0); x(1) a(1)
s : in STD_LOGIC;
f : out STD_LOGIC_VECTOR(2 downto 0)); x(0) a(0)
end component; f(2) y(2)
f(1)

om
signal s_temp : STD_LOGIC; y(1)
b_temp(2)
signal b_temp : STD_LOGIC_VECTOR(2 downto 0); x(2) b(2) f(0) y(0)
begin b_temp(1)
x(2) b(1)

.c
s_temp <= x(3) xor x(2);
b_temp <= not(x(2)) & x(2) & x(2); x(2) b_temp(0) b(0)
U1: mux2to1 s
port map(a => x(2 downto 0),
b => b_temp,
ng
s => s_temp, x(3) s_temp
co
f => y); x(2)
end comb;
an

-- Component mux2to1
library IEEE;
th

use IEEE.std_logic_1164.all;
b. Lập bảng hoạt động của hệ tổ hợp trên. Từ
entity mux2to1 is
g

port ( đó cho biết hệ tổ hợp trên thực hiện chức năng


on

a : in STD_LOGIC_VECTOR(2 downto 0); gì? Biết rằng ngõ vào và ngõ ra được biểu diễn
b : in STD_LOGIC_VECTOR(2 downto 0); dưới số có dấu bù 2. (1.0đ)
(0.5đ)
du

s : in STD_LOGIC;
f : out STD_LOGIC_VECTOR(2 downto 0));
end mux2to1; x(3) x(2) x(1) x(0) s y(2) y(1) y(0)
0 0 0 0 0 0 0 0
u

architecture behavior of mux2to1 is


cu

begin 0 0 0 1 0 0 0 1
process (a, b, s) 0 0 1 0 0 0 1 0
begin
if s = ‘0’ then f <= a;
0 0 1 1 0 0 1 1
else f <= b; 0 1 0 0 1 0 1 1
end if; 0 1 0 1 1 0 1 1
end process;
end behavior; 0 1 1 0 1 0 1 1
0 1 1 1 1 0 1 1
Chức năng của hệ tổ hợp: (0.5đ) 1 0 0 0 1 1 0 0
x có giá trị từ -8 đến +7 1 0 0 1 1 1 0 0
1 0 1 0 1 1 0 0
* nếu -4 ≤ x ≤ +3 thì ngõ ra y = x 1 0 1 1 1 1 0 0
* nếu x > +3 thì y luôn là +3 1 1 0 0 0 1 0 0
* nếu x < - 4 thì y luôn là - 4
1 1 0 1 0 1 0 1
1 1 1 0 0 1 0 0
Đây là mạch giới hạn ngưỡng trên và ngưỡng dưới
1 1 1 1 0 1 1 1
cho ngõ ra.

Trang 3 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 3: (1.0đ)
Cho mạch logic sau, biết các cổng NOT và NAND đều có thời gian trễ (delay) như nhau = 10 ns.

1
̅̅̅̅̅̅̅
𝑿 𝟏 𝑿𝟐

̅̅̅̅̅̅̅
𝒀𝟏 𝒀𝟐

1 ̅̅̅̅̅̅̅
̅̅̅̅
𝑿 𝟐 𝑿𝟑

a. Hãy hoàn thành giản đồ xung sau (với X1 và X3 giữ nguyên bằng 1):

om
.c
ng
(0.5đ)
co
an
th
g

b. Cho biết loại hazard xảy ra trong mạch (static-1, static-0) và vẽ lại mạch khắc phục hazard này.
on

Mạch trên có hazard static-1


du

X1 (0.5đ)
̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅
̅̅̅̅ ̅̅̅̅
Z=𝑿 𝟏 𝑿𝟐 𝑿𝟐 𝑿𝟑 = 𝑿𝟏 𝑿𝟐 + 𝑿𝟐 𝑿𝟑
u

X2
cu

Z
X1X2 00 01 11 10 X3
X3
0 1

1 1 1 1

Hoặc: 𝑍 = ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑋1 𝑋2 . ̅̅̅̅̅̅̅
X1X3
̅̅̅̅̅̅ ̅̅̅ ̅̅̅̅̅̅
𝑋2 𝑋3 . 𝑋 1 𝑋3

Để khắc phục hazard, thêm liên kết (Nếu SV vẽ mạch theo cấu trúc AND-
OR hay toàn NAND thì vẫn được trọn
Z = 𝑿𝟏 𝑿𝟐 + ̅̅̅̅
𝑿𝟐 𝑿𝟑 + 𝑿𝟏 𝑿𝟑 số điểm)

Trang 4 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 4: (1.0đ)
Q2Q1Q0
Thiết kế mạch đếm nối tiếp 3-bit Q2Q1Q0 (Q2: MSB) có dãy đếm như
hình bên dùng SR-FF xung clock cạnh xuống, chân Preset tích cực cao
và chân Clear tích cực thấp.

Gợi ý: Tìm sự tương quan giữa SR-FF với D-FF

SR-FF tương đương với D-FF thì:


𝑆=𝐷
{
𝑅=𝑆

Từ giản đồ thấy đây là mạch đếm xuống có m = 5 và giá trị đầu là 001

om
Q2Q1Q0
0 0 1 (0.5đ)
0 0 0

.c
1 1 1
1 1 0
1 0 1
1 0 0 mạch reset = 𝑸𝟐 ̅̅̅̅
𝑸𝟏 ̅̅̅̅
𝑸𝟎 (tích cực cao)
ng
co
an

(0.5đ)
th

Q0 Q1 Q2
g

0 0
on

Pr Pr
du

Pr
S Q S Q S Q
u

Ck Ck Ck
cu

CK
R Q R Q R Q
Cl Cl Cl

1 1

𝑆 = 𝑄̅ và 𝑅 = 𝑄

Trang 5 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 5: (1.5đ)
Cho bộ đếm song song 3 bit Q1Q2Q3 (Q1 là MSB), với X là ngõ vào điều khiển

D1 Q1 D2 Q2 D3 Q3

CK Q1 CK Q2 CK Q3

X
CK
a. Xác định ngõ vào của các FF. (0.25đ)

om
𝑫𝟏 = (𝑿𝑸𝟐 𝑸𝟑 )⨁𝑸𝟏 = ∑(𝟒, 𝟓, 𝟔, 𝟕, 𝟏𝟏, 𝟏𝟐, 𝟏𝟑, 𝟏𝟒)
𝑫𝟐 = (𝑿𝑸𝟑 )⨁𝑸𝟐 = ∑(𝟐, 𝟑, 𝟔, 𝟕, 𝟗, 𝟏𝟎, 𝟏𝟑, 𝟏𝟒)

.c
𝑫𝟑 = 𝑿⨁𝑸𝟑 = ∑(𝟏, 𝟑, 𝟓, 𝟕, 𝟖, 𝟏𝟎, 𝟏𝟐, 𝟏𝟒)

b. Phân tích và vẽ giản đồ trạng thái của bộ đếm. (1.0đ)


ng
co

X Q1Q2Q3 XQ2Q3 XQ3 D1 D2 D3


an

X=0
0 0 0 0 0 0 0 0 0
th

0 0 0 1 0 0 0 0 1 000 X=1
0 0 1 0 0 0 0 1 0 0
g

0 0 1 1 0 0 0 1 1 1
111 001 0
on

0 1 0 0 0 0 1 0 0
0 1 0 1 0 0 1 0 1 1
du

1
0 1 1 0 0 0 1 1 0 0
0 1 1 1 0 0 1 1 1 110 010
0
u

1 0 0 0 0 0 0 0 1 1
cu

1 0 0 1 0 1 0 1 0 1
1 0 1 0 0 0 0 1 1 101 011
1 1 0
1 0 1 1 1 0 0 1
1
0
1 1 0 0 0 0 1 0 1
1 1 0 1 0 1 1 1 0 100
1 1 1 0 0 0 1 1 1 0
1 1 1 1 1 1 0 0 0
c. Cho biết ý nghĩa của bộ đếm trên. (0.25đ)
Khi X = 0, bộ đếm giữ nguyên trạng thái.
Khi X = 1, ta có bộ đếm lên đầy đủ.

Trang 6 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Câu 6: (1.0đ)
Thiết kế một hệ tuần tự kiểu Mealy có 2 ngõ vào (E và X) và 1 ngõ ra (Z). Biết khi E = ‘1’, thì hệ
tuần tự sẽ cho ngõ ra Z bằng 1 khi ngõ vào X nhận được chuỗi là “110” hoặc “1111”; còn khi E =
‘0’, thì hệ không đọc chuỗi X (xem như giữ nguyên trạng thái hiện tại).

Tìm giản đồ trạng thái (hoặc bảng trạng thái) của hệ (ghi rõ ý nghĩa của từng trạng thái).

Cách 1:

Tr. Thái Ý nghĩa


S0 Trạng thái bắt đầu, cũng là tt ngõ vào X chưa có bit đúng chuỗi (Z=0)
S1 Ngõ vào X có 1 bit 1 (Z=0)
S2 Ngõ vào X có 2 bit liên tiếp 1,1 (Z=0)
S3 Ngõ vào X chưa có bit đúng chuỗi (Z=1)

om
S4 Ngõ vào X có 3 bit liên tiếp 1,1 1 (Z=0)

.c
S5 Ngõ vào X có 3 bit liên tiếp 1,1 1 (Z=1)

ng
co
TT TT KẾ TIẾP NGÕ RA (Z)
an

HIỆN TẠI
EX = 00 01 10 11 00 01 10 11
th

S0 S0 S0 S0 S1 0 0 0 0
g
on

S1 S1 S1 S0 S2 0 0 0 0
du

S2 S2 S2 S3 S4 0 0 1 0

S3 S3 S3 S0 S1 1 1 0 0
u
cu

S4 S4 S4 S3 S5 0 0 1 1

S5 S5 S5 S3 S5 1 1 1 1

Trang 7 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
Cách 2: (ko đủ trường hợp nhưng vẫn có thể chấp nhận SV đủ điểm nếu làm giống)

Tr. Thái Ý nghĩa


S0 Trạng thái bắt đầu, cũng là tt ngõ vào X chưa có bit đúng chuỗi
S1 Ngõ vào X có 1 bit 1
S2 Ngõ vào X có 2 bit liên tiếp 1,1
S3 Ngõ vào X có 3 bit liên tiếp 1,1,1

TT TT KẾ TIẾP NGÕ RA (Z)


HIỆN TẠI
EX = 00 01 10 11 00 01 10 11

S0 S0 S0 S0 S1 0 0 0 0

om
S1 S1 S1 S0 S2 0 0 0 0

.c
S2 S2 S2 S0 S3 0 0 1 0

S3 S3 S3 S0 S3 ng 0 0 1 1
co
TTHT TTKT, Ngõ ra Z
an

E=0 E=1
X= – X=0 X=1
th

S0 S0, 0 S0, 0 S1, 0


S1 S1, 0 S0, 0 S2, 0
g
on

S2 S2, 0 S0, 1 S3, 0


S3 S3, 0 S0, 1 S3, 1
du

Câu 7: (2.5đ)
u

Cho hệ tuần tự có giản đồ trạng thái (graph trạng thái) như hình vẽ. Hệ có 1 ngõ vào là X, 2 ngõ ra
cu

Z1 (kiểu MOORE) và Z2 (kiểu MEALY). Khi có xung clock cạnh xuống thì hệ chuyển trạng thái.

a. Xác định trạng thái kế tiếp và vẽ xung ngõ


ra Z1, Z2 theo xung ngõ vào X và Clock. Biết
S0 1/1 S1 rằng trạng thái ban đầu là S1. (1.0đ)
1 0 0/0
1/1 Clock
0/1

1/1 Trạng thái S1 S1 S0 S3 S2 S1


1/0
(0.5đ)
S3 0/1 S2 Z1
0 1
0/0 Z2 (0.5đ)

Trang 8 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt
Đại Học Bách Khoa TP.HCM – Khoa Điện-Điện Tử - Bộ Môn Điện Tử
b. Với gán trạng thái QAQB: S0 = 10, S1 = 11, S2 = 00 và S3 = 01.
Thiết kế hệ trên bằng PLA và T-FF. (1.5đ)

X QA QB Z1 Z2 QA+ QB + TA TB
0 0 0 1 1 0 1 0 1 𝒁𝟏 = ̅̅̅̅
𝑸𝑩
0 0 1 0 0 0 0 0 1 ̅ ̅̅̅̅
𝒁𝟐 = 𝑿𝑸𝑩 + 𝑿 𝑸𝑩 + 𝑸𝑨 ̅̅̅̅
𝑸𝑩
0 1 0 1 1 0 1 1 1
0 1 1 0 0 1 1 0 0 ̅ ̅̅̅̅
(𝒉𝒂𝒚 𝒁𝟐 = 𝑿𝑸𝑩 + 𝑿 𝑸𝑩 + 𝑿𝑸𝑨 )
1 0 0 1 0 1 1 1 1 ̅̅̅̅
𝑻𝑨 = 𝑿𝑸 ̅̅̅̅
𝑨 + 𝑸𝑨 𝑸𝑩
1 0 1 0 1 1 0 1 1
1 1 0 1 1 0 0 1 0 𝑻𝑩 = ̅̅̅̅ ̅ ̅̅̅̅
𝑸𝑨 + 𝑿𝑸𝑩 + 𝑿 𝑸𝑩
1 1 1 0 1 1 0 0 1

PLA
X QA QB Z1 Z2 TA TB
Z1 - - 0 1 0 0 0

om
X
1 - 1 0 1 0 1
0 - 0 0 1 0 1
Z2

.c
- 1 0 0 1 1 0
QA 1 0 - 0 0 1 0
TA T Q - 0 - 0 0 0 1
ng
QB Q
co
X QA QB Z1 Z2 TA TB
- - 0 1 0 0 0
TB T Q 1 - 1 0 1 0 1
an

0 - 0 0 1 0 1
Q 1 1 - 0 1 0 0
th

CK
- 1 0 0 0 1 0
1 0 - 0 0 1 0
g

- 0 - 0 0 0 1
on
du

* Z1 có thể từ ngõ ra Q bù của flipflop B


u

PLA
cu

X QA QB Z2 TA TB
1 - 1 1 0 1
X Z2
0 - 0 1 0 1
- 1 0 1 1 0
1 0 - 0 1 0
QA - 0 - 0 0 1
TA T Q

QB Q
X QA QB Z2 TA TB
1 - 1 1 0 1
TB T Q 0 - 0 1 0 1
1 1 - 1 0 0
Q Z1 - 1 0 0 1 0
CK
1 0 - 0 1 0
- 0 - 0 0 1

Trang 9 / 9

CuuDuongThanCong.com https://fb.com/tailieudientucntt

You might also like