Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

Journal of the Korean Physical Society, Vol. 45, No. 4, October 2004, pp.

1069∼1073

Barrier Characteristics of TaN Films Deposited by Using the Remote


Plasma Enhanced Atomic Layer Deposition Method

Ju Youn Kim, Keun Woo Lee, Hee Ok Park, Young Do Kim and Hyeongtag Jeon∗
Division of Materials Science & Engineering, Hanyang University, Seoul 133-791

Yangdo Kim
School of Materials Science and Engineering, Pusan National University, Pusan 609-735

(Received 4 June 2004)

Remote plasma-enhanced atomic-layer deposition with tertbutyllimidotris(diethylamido)tantal-


um as the Ta precursor and NH3 gas or NH3 plasma as the reactant was used to deposit TaN films,
the TaN films deposited at 250 ◦ C showed a lower carbon impurity contents when NH3 plasma
was used as the reactant. The resistivities of the TaN films processed with NH3 gas and NH3
plasma were approximately 3,000 and 1,000 µΩ·cm, respectively. The growth rates of the TaN film
processed with NH3 gas and NH3 plasma were about 1.3 and 1.4 Å per cycle, respectively. The
TaN films showed a relatively smooth interface and amorphous characteristics exhibited excellent
step coverage on 0.25-µm-wide by 2.5-µm-deep contact hole structure. The barrier characteristics
of Cu/TaN/Si samples were observed after annealing at various temperatures, and the TaN films
failed after annealing at 700 ◦ C.

PACS numbers: 81.15.Gh, 85.40.Ls, 85.40.Sz


Keywords: Remote PEALD, TaN, Diffusion barrier

I. INTRODUCTION talline and provide inadequate protection because grain


boundaries may presumably serve as fast diffusion paths
for copper. Among these materials, TaN is one of most
Aluminum (Al) and its alloys have been widely used widely investigated barrier layer candidates for copper
for contact and interconnection materials in ultra-large- due to its high melting temperature and thermal stabil-
scale integrated (ULSI) circuits. However, the rapid in- ity against Cu [7].
creases in the RC delay time and electromigration have TaN barrier layers are usually deposited by using the
become the major problems as integrated circuits ad- physical vapor deposition (PVD) and the chemical va-
vance into deep sub-micron levels [1, 2]. For these rea- por deposition (CVD) methods [8]. However, as the in-
sons, new contact and interconnection materials with a terconnect line width shrinks continuously to deep sub-
lower electrical resistivities and superior electromigra- micron dimensions, the conventional PVD and CVD
tion resistances are necessary to replace Al and its al- methods exhibit problems such as poor step coverage
loys. Copper (Cu) is now being evaluated and used as a in deep contacts and via trenches due to the shadow-
contact and/or interconnect metal for deep sub-micron ing effect, and high impurity contents and high depo-
devices due to its low resistivity and superior electromi- sition temperatures, respectively. To solve these prob-
gration resistance [3]. However, Cu is quite mobile in lems, many researchers have investigated the potential
Si and SiO2 at elevated temperatures, and its presence application of the atomic layer deposition (ALD) method
in Si creates trap levels in the forbidden band gap that for barrier layer deposition [9, 10]. However, this ALD
are deleterious to device operation [4]. Therefore, an ef- method with halogen precursors has problems such as
fective diffusion barrier layer is required to prevent Cu high Cl contamination and slow growth rate [11, 12].
diffusion. Binary transition-metal nitrides, such as TiN, Therefore, the ALD method with metal-organic precur-
TaN, and WN, have been widely investigated and used sors has been suggested to solve these problems. How-
as diffusion barriers for Cu metallization in ULSI devices ever, the diffusion barrier layers deposited using the ALD
due to its relatively low resistivities, high melting points, method with metal-organic precursors (MOALD) shows
high thermal stabilities and good adhesion characteris- relatively high carbon impurity incorporation and poor
tics [5,6]. However, most of these barriers are polycrys- film density [13].
Recently, many studies have suggested the use of a
∗ E-mail: hjeon@hanyang.ac.kr plasma to improve the film quality and to overcome the
-1069-
-1070- Journal of the Korean Physical Society, Vol. 45, No. 4, October 2004

problems involved in the conventional ALD method [14– examined using cross-sectional transmission electron mi-
16]. Therefore, we suggest the remote plasma enhanced croscopy (XTEM), and the step coverages of the TaN
ALD (PEALD) method for overcoming the various prob- films were observed using field emission scanning electron
lems related with previous deposition methods [17]. Re- microscope (FESEM). The barrier characteristics of the
mote PEALD is designed for the substrate to be placed TaN layers were investigated by measuring the resistivi-
outside of the plasma region, which should reduce the ties and surface morphologies of the Cu/TaN/Si samples
carbon impurity and underlayer damages. In this paper, after they had been annealed at various temperatures.
we will analyze the characteristics of TaN thin film dif-
fusion barriers deposited by using remote PEALD with
NH3 plasma and compare them to those for films de- III. RESULTS AND DISCUSSION
posited by using ALD method with NH3 gas.
Figures 1(a) and (b) show typical AES depth pro-
files of TaN films deposited with NH3 gas and NH3
II. EXPERIMENT plasma at 250 ◦ C, respectively. The TaN films showed
relatively constant compositional variations with non-
TaN films were grown on boron-doped p-type Si (100) stoichiometric characteristics and low carbon impurity.
substrates with a resistivity of 5 ∼ 10 Ω-cm by using The stoichiometry of Ta and N was approximately 2
remote PEALD with tertbutyllimidotris(diethylamido) to 1. This is probably due to the high reactivity of
tantalum (TBTDET) as the Ta precursor and NH3 gas the reactant which efficiently released aminocompounds
or NH3 plasma as the reactant. The Si substrates were (NHa (C2 H5 )b ) and hydrocarbons (Cn Hm ) after combin-
cleaned by dipping them in a piranha solution (H2 SO4 ing with the reactants. The carbon and the oxygen im-
: H2 O2 = 4 : 1) for 10 minutes and then in a diluted purities in the TaN films deposited by using the conven-
HF solution (HF : H2 O = 1 : 50) for 1 minute to remove tional ALD method with NH3 gas were about 20 and 25
organic and native oxide, respectively. A downstream-
type remote PEALD reactor with a 13.56-MHz RF power
source was used in this study. Process parameters, such
as the reaction time, the gas flow rate, the growth tem-
perature, and the plasma power, were varied to optimize
process conditions. TBTDET was delivered from an ex-
ternal reservoir at 90 ◦ C and was pulsed into the reactor
using an Ar carrier gas with the flow rate of 35 sccm. The
NH3 reactant gas and the NH3 plasma reactant gas flow
rates were fixed at 50 and 400 sccm, respectively. The
total pressure was kept constant at 1 Torr. The flow rate
of the Ar purge gas was fixed at 100 sccm. The chemi-
cal reaction of the TBTDET pulse and the NH3 -gas or
the NH3 -plasma pulse constituted one basic cycle in this
experiment. An Ar-purge gas was introduced for com-
plete separation of the precursor and the reactant gases.
All gas flows were automatically controlled with the aid
of a solenoid valve. The sequential times of the TBT-
DET, the Ar purge, the NH3 -gas or NH3 -plasma, and
the Ar-purge pulses were fixed to be 5 s each, but the
reactant gas process time was 10 s. The TaN films were
deposited at 250 ◦ C, and the plasma power was fixed
at 200 W. To investigate the diffusion barrier character-
istics of TaN, we deposited Cu films with thicknesses of
1000 Å on the TaN/Si samples by using an e-beam evap-
orator. After Cu deposition, the Cu/TaN/Si structures
were heat-treated at various temperatures in intervals of
50 ◦ C for 1 hour in a vacuum furnace.
The resistivities of TaN films were measured using a
four-point probe technique. Auger electron spectroscopy
(AES) and X-ray photoelectron spectroscopy (XPS) were
utilized to analyze the chemical compositions, impurity
contents, and chemical bonding structures. The mi- Fig. 1. Typical AES depth profiles of TaN films deposited
crostructures and the crystallinities of the TaN films were with (a) NH3 gas and (b) NH3 plasma at 250 ◦ C.
Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced · · · – Ju Youn Kim et al. -1071-

Fig. 3. Cross-sectional micrographs of the TaN films de-


posited with (a) NH3 gas and (b) NH3 plasma.

content.
To investigate the nitridation effect for TaN film de-
posited with NH3 gas and NH3 plasma at 250 ◦ C, we used
XPS to examine the chemical bonds of the TaN films de-
posited using NH3 gas and NH3 plasma, as shown in Fig.
Fig. 2. High-resolution XPS spectra of (a) Ta and (b) N 2. The Ta4f peak of pure Ta shows a doublet of Ta4f7/2
in TaN films deposited with NH3 gas and NH3 plasma at 250
◦ and Ta4f5/2 . The chemical states of the Ta4f peak in TaN
C.
films were mainly TaN and TaOx . The binding energies
of TaN and TaOx in the Ta4f peak were 23.5 and 26.5 eV,
respectively. As Fig. 2(a) shows, the TaN films deposited
at.%, respectively. However, the TaN films deposited by with NH3 plasma showed stronger TaN peaks than the
using the remote PEALD method with a NH3 plasma TaN films deposited with NH3 gas. Also, TaN films de-
showed carbon and oxygen impurity contents below 7 posited with NH3 gas showed stronger TaOx peaks than
and 10 at.%, respectively. Thus, the TaN films deposited TaN films deposited with NH3 plasma. In the case of
by using the remote PEALD method showed decreased TaN films deposited with NH3 plasma, a TaN peak asso-
impurity contents compared to those of TaN films de- ciated with a binding energy of 397.5 eV was observed,
posited by using the conventional ALD. The decreased as shown in Fig. 2(b). However, TaN films deposited
impurity contents for remote PEALD are probably due with NH3 gas did not show that TaN peak. Also, an N1s
to the enhanced reactivity of ammonia radicals which ef- peak with a binding energy of 405 eV was seen in the
ficiently decompose the diethyl-amino (N(C2 H5 )2 ) and spectra for both types of films, which indicates that N
the tertbutyl (C(CH3 )3 ) ligands in the plasma process atoms segregate at the interstitial sites in tantalum films
without dissociating the ligands. Especially, activated as impurities. Since the TaN films deposited with NH3
ammonia radicals are thought to play a major role in gas only showed a peak at 405 eV while those deposited
the plasma process by effectively breaking the bonds be- with NH3 plasma showed peaks at 397.5 and 405 eV, it
tween Ta and ligands. is obvious that the nitridation effect for TaN is increased
The resistivity of TaN films is one of the most im- with NH3 plasma.
portant factors for metal diffusion barrier applications. To compare the crystallization of the TaN films de-
The resistivities of TaN films processed with NH3 gas posited with NH3 gas and NH3 plasma, we used XTEM
and NH3 plasma were approximately 3,000 and 1,000 to observe their microstructures, as shown in Fig. 3.
µΩ·cm, respectively. These values are lower than those of The XTEM micrographs of the TaN films showed a rela-
TaN films deposited by using the other deposition meth- tively smooth interface and amorphous phase. The thick-
ods [18]. The resistivity of TaN films is reported to be nesses of the TaN films deposited using NH3 gas and NH3
closely related with the impurity content, and the re- plasma for 100 cycles were about 130 and 140 Å, respec-
mote PEALD method significantly reduces the impurity tively. The growth rates of the TaN films deposited using
-1072- Journal of the Korean Physical Society, Vol. 45, No. 4, October 2004

Fig. 4. Step coverage of TaN films deposited with (a) NH3


gas and (b) NH3 plasma on about 0.25-µm-wide by 2.5-µm-
deep contact holes. Fig. 5. Changes in the surface morphology of the
Cu/TaN/Si samples deposited by ALD with NH3 gas for an-
nealing temperatures of (a) 650 ◦ C and (b) 700 ◦ C.

NH3 gas and NH3 plasma were about 1.3 and 1.4 Å per
cycle, respectively.
Poor step coverage of and inhomogeneous impurity
distribution in TaN films generally change the contact
resistance and cause poor adhesion. Figure 4 shows the
step coverage of TaN films deposited with NH3 gas and
NH3 plasma on contact holes about 0.25-µm-wide and
2.5-µm-deep. The TaN film showed a conformal step cov-
erage on the contact hole, and the thicknesses of the TaN
films deposited by using conventional ALD with NH3 gas
and remote PEALD with NH3 plasma were very uniform
on the bottoms and the sidewalls of the contact holes.
The results for TaN films deposited by using NH3 gas
and NH3 plasma were similar, which means that TaN
growth was controlled by a self-limiting reaction on the
substrate.
To understand the failure mechanism of a TaN film
as a diffusion barrier, we examined the surface morphol-
ogy and sheet resistance. The surface morphologies and
the sheet resistances of Cu/TaN/Si samples deposited
by using the ALD methods both with NH3 gas and with
NH3 plasma as functions of the annealing temperature Fig. 6. Changes in the surface morphology of the
are observed in Figs. 5 and 6. The Rs value did not vary Cu/TaN/Si samples deposited by remote PEALD with NH3
significantly up to an annealing temperature of 650 ◦ C. plasma for annealing temperatures of (a) 650 ◦ C and (b) 700
However, the Rs value suddenly increased at an anneal- ◦
C.
ing temperature of 700 ◦ C. For a precise analysis of the
increased Rs value at an annealing temperature of 700

C, the Cu films were selectively removed in a HNO3 The etch pits appeared at an annealing temperature of
: H2 O = 1 : 10 solution, and the TaN films were re- 700 ◦ C, which indicates that the TaN films as barriers
moved in an NH4 OH : H2 O2 : H2 O = 1 : 2 : 6 solution showed failure characteristic at an annealing tempera-
; then, the Si surface was etched in a (K2 Cr2 O7 : H2 O ture of 700 ◦ C. This observation implies that the barrier
= 1 : 10) : HF = 1 : 1 solution for 5 s. Etch pits were failure temperatures of the TaN films deposited by using
observed by using scanning electron microscopy (SEM). two different deposition methods were the same.
Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced · · · – Ju Youn Kim et al. -1073-

IV. CONCLUSIONS [2] C. K. Hu, B. Luther, F. B. Kaufman, J. Hummel, C.


Uzoh and D. J. Pearson, Thin Solid Films 262, 84 (1995).
[3] S. P. Murarka, Mater. Sci. Eng. R 19, 87 (1997).
TaN films were successfully deposited using a TBT- [4] M. Takeyama, A. Noya, T. Sase and A. Ohta, J. Vac.
DET precursor with NH3 gas or NH3 plasma. The TaN Sci. & Technol. B 14, 674 (1996).
films deposited using remote PEALD showed much lower [5] H. Y. Kim, J. Y. Kim, J. Y. Park, Y. Kim, Y. D. Kim,
W. M. Kim and H. Jeon, J. Korean. Phys. Soc. 41, 739
impurity contents than those of the films deposited by
(2002).
using other methods wiht the same precursor. TaN films [6] C. W. Lee, Y. T. Kim, H. S. Lee, Y. K. Park, T. H. Lee,
deposited with NH3 plasma showed lower impurity con- Q. Chen and N. V. Richardson, J. Korean. Phys. Soc.
tents and better thin-film uniformity than the films de- 39, 1019 (2001).
posited with NH3 gas. The resistivities of TaN films [7] A. E. Kalayeros, X. Chen, T. Stark, K. Kumor, S. Seo,
processed with NH3 gas and NH3 plasma were approxi- G. G. Peterson, H. L. Frisch, B. Arkles and J. Sullivan,
mately 3,000 and 1,000 µΩ·cm, respectively. The growth J. Electrocem. Soc. 146, 170 (1999).
rates of the TaN films deposited using NH3 gas and NH3 [8] M. H. Tsai, S. C. Sun, C. E. Tasi, S. H. Chuang and H.
plasma were about 1.3 and 1.4 Å per cycle, respec- T. Chiu, J. Appl. Phys. 79, 6932 (1996).
tively. The TaN films showed relatively smooth inter- [9] H. Jeon, J. W. Lee, Y. D. Kim, D. S. Kim and K. S. Yi,
J. Vac. Sci. & Technol. 18, 1595 (2000).
faces, amorphous characteristics, conformal step cover-
[10] P. Martensson, M. Juppo, M. Ritala, M. Leskelä and J.
age. Failure of the barrier characteristics of TaN film Carlsson, J. Vac. Sci. & Technol. 17, 2122 (1999).
was observed after annealing at 700 ◦ C. [11] J. Lee, J. Koo, H. Sim, Y. Won and H. Jeon, J. Korean.
Phys. Soc. 44, 915 (2004).
[12] P. Martensson, M. Juppo, M. Ritala, M. Leskela and
J-O. Carlsson, J. Vac. Sci. Technol. 17, 2122 (1999).
ACKNOWLEDGMENTS
[13] J. Park, H. Park and S. Kang, J. Electrocem. Soc. 149,
C28 (2002).
This work was supported by the National Program for [14] H. Kim, C. Cabral, J. R, C. Lavoie and S. M. Rossnagel.
Tera-level Nanodevices of the Ministry of Science and J. Vac. Sci. & Technol. 20, 1321 (2002).
Technology as one of the 21 century Frontier Programs. [15] H. Kim and S. M. Rossnagel. J. Vac. Sci. & Technol. 20,
802 (2002).
[16] S. W. Choi, C. M. Jang, D. Y. Kim, J. S. Ha, H. S.
Park, W. Koh and C. S. Lee, J. Korean. Phys. Soc. 42,
REFERENCES 975 (2003).
[17] J. Y. Kim, Y. Kim and H. Jeon, Jpn. J. Appl. Phys. 42,
L414 (2003).
[1] A. Jain, A. V. Gelatos, T. T. Kodas, M. J. Hampden-
[18] C. Whitman, M. M. Moslehi, A. Paranjpe, L. Velo and
Smith, R. Marsh and C. J. Magab, Thin Solid Films
T. Omstead, J. Vac. Sci. & Technol. 17, 1893 (1999).
262, 52 (1995).

You might also like