Tài liệu hướng dẫn thực hành môn Thiết kế hệ thống số

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 41

HỌC VIỆN KỸ THUẬT MẬT MÃ

KHOA ĐIỆN TỬ VIỄN THÔNG

TÀI LIỆU THỰC HÀNH

CHUYÊN NGÀNH: HỆ THỐNG NHÚNG VÀ ĐIỀU KHIỂN TỰ ĐỘNG

MÔN HỌC: THIẾT KẾ HỆ THỐNG SỐ

Giới thiệu
Bộ tài liệu thực hành thiết kế hệ thống số được soạn thảo nhằm mục đích hỗ trợ sinh viên
chuyên ngành Hệ thống nhúng và điều khiển tự động tiếp xúc với ngôn ngữ mô tả phần cứng
(VHDL) và hỗ trợ cho môn học Thiết kế hệ thống số.

Tài liệu này bao gồm 5 bài, tương ứng với 5 buổi thực hành. Nội dung chủ yếu hướng đến
việc hướng dẫn sinh viên sử dụng ngôn ngữ VHDL để lập trình và mô phỏng trên phần mềm
chuyên dụng ISE 14.7, đồng thời thao tác trên board phát triển Spartan 6 SP601 của hãng
Xilinx.

Mặc dù đã rất cố gắng, nhưng trong quá trình biên soạn không tránh khỏi các sai sót. Vì vậy
mong nhận được các ý kiến đóng góp từ phía các thầy cô trong khoa cũng như các bạn sinh
viên chuyên ngành Hệ thống nhúng và điều khiển tự động.
Chân thành cảm ơn.

1
Bài 1. Tổng quan về phần mềm thiết kế trên FPGA

Mục tiêu:

 Làm quen với board phát triển Spartan 6 SP601


 Cài đặt và làm quen với phần mêm thiết kế ISE 14.7

I. Giới thiệu Board phát triển Spartan 6 SP601 của Xilinx


1. Giới thiệu
Board phát triển SP601 của hãng sản xuất thiết bị nổi tiếng Xilinx sử dụng chip FPGA XC6SLX16 thuộc
họ Spartan-6. Các thông số cũng như tính năng kỹ thuật của SP601 phù hợp với những kỹ sư hay sinh
viên mới bắt đầu tìm hiểu về môi trường phát triển FPGA.
Board SP601 có những tính năng nổi bật như xử lý bộ nhớ DDR2, parallel flash, ethernet 10/100/1000,
các cổng GPIO và kết nối UART. Các tính năng bổ sung có thể được thêm vào thông qua cổng kết nối
mở rộng VITA 57.1.1

2
2. Thành phần, thông số kỹ thuật
Board phát triển SP601 cung cấp những tính năng dưới đây:
 1. Spartan-6 XC6SLX16-2CSG324 FPGA
 2. Bộ nhớ DDR2 128 MB
 3. SPI x4 Flash
 4. Linear Flash BPI
 5. 10/100/1000 Tri-Speed Ethernet PHY
 7. IIC Bus
 8Kb NV memory
 External access 2-pin header
 VITA 57.1 FMC-LPC connector
 8. Clock Generation
 Oscillator (Differential)
 Oscillator Socket (Single-Ended, 2.5V or 3.3V)
 SMA Connectors (Differential)
 9. VITA 57.1 FMC-LPC Connector
 10. LEDs trạng thái
 FPGA_AWAKE
 INIT
 DONE
 13. Cổng I/O
 LEDs
 Công tắc DIP
 Nút nhấn pushbuttons
 Header GPIO đực
 14. FPGA_PROG_B Pushbutton Switch
 15. Configuration Options
 3. SPI x4 Flash (both onboard and off-board)
 4. Linear Flash BPI
 JTAG Configuration
 16. Power Management
 AC Adapter and 5V Input Power Jack/Switch
 Onboard Power Supplies

3
Sơ đồ khối và các ngoại vi của SP601:

4
Mô tả chi tiết:

Các thành phần hiển thị ở hình trên được chú thích theo bảng dưới đây:

STT Tên Chú thích


1 Spartan-6 FPGA XC6SLX-2CSG324
Elpida EDE1116ACBG 1 Gb
2 DDR2
DDR2 SDRAM
3 SPIx4 flash và headers SPI và chân cắm ngoài
StrataFlash 8-bit (J3 device), 3 pins
4 Linear Flash BPI
shared w/ SPI x4
5 10/100/100 Ethernet GMII Marvell Alaska
6 RS232 UART (USB bridge) Sử dụng kết nối CP2103 Serial-to-USB
7 IIC Kết nối đến chân cắm và VITA 57.1 FMC
8 Clock, socket, SMA
9 VITA 57.1 FMC-LPC Tín hiệu LVDS, clocks, PRSNT
10 Leds Led trạng thái của Ethernet PHY

5
11 LED, Header FPGA awake led, header ngắt
12 LEDs FPGA INIT, DONE
LED I/O (tích cực mức cao)
Công tắc DIP switch I/O (tích cực mức cao)
13 Nút nhấn PushButton I/O, CPU_RESET (tích cực mức cao)
Header đực 6x2, 08 chân I/O (tích cực mức
Header 12-chân (8 chân I/O)
cao)
14 Nút nhấn Pushbutton FPGA_PROG_B
15 USB JTAG Đầu nối USB-to-JTAG, cáp nạp
16 Module nguồn Cung cấp nguồn cho board SP601

II. Cài đặt phần mềm thiết kế ISE của Xilinx


1. Giới thiệu phần mêm thiết kế ISE design suite
ISE (Intergrated Synthesis Environment) là bộ công cụ được đề xuất bởi Xilinx để tổng hợp và phân
tích các thiết kế HDL. Bộ design suite này giúp các lập trình viên trong việc biên dịch các thiết kế, kiểm
tra các mô hình RTL đồng thời cũng là một công cụ mô phỏng rất mạnh mẽ.
ISE design suite được Xilinx khuyến nghị sử dụng cho các sản phẩm của hãng như các dòng
Spartan®-6 và Virtex®-6 trở xuống. Phần mềm này hoạt động ổn định trên các hệ điều hành như
Window XP/7/Server và Linux, riêng phiên bản ISE 14.7 có hỗ trợ thêm Window 10. Với các dòng sản
phẩm mới hơn như Virtex®-7, Kintex®-7, Artix®-7, and Zynq®-7000, Xilinx đề nghị sử dụng bộ phần
mềm mới hơn là Vivado® Design Suite.
Môn thiết kế hệ thống số với mục đích giúp sinh viên chuyên ngành hệ thống nhúng và điều khiển
tự động bước đầu làm quen với FPGA và ngôn ngữ mô tả phần cứng VHDL nên vẫn sử dụng Board
Spartan 6 SP601 và bộ phần mềm ISE 14.7. Ở những học kỳ sau với những môn chuyên ngành chuyên
sâu hơn, khoa Điện tử Viễn thông sẽ cung cấp cho sinh viên những Board phát triển mới hơn như
Artix®-7, and Zynq®-7000 và bộ phần mềm Vivado® Design Suite.

2. Cài đặt
 File cài đặt của ISE design suite 14.7 có thể được tải về trên trang chủ của Xilinx theo đường link
sau:

https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-
design-tools/archive-ise.html

 Sinh viên lựa chọn phiên bản thích hợp với hệ điều hành trên máy tính của mình.
Cấu hình đề xuất để desktop và Laptop chạy ổn định ISE là:
CPU: từ Intel CoreI3 thế hệ thứ 8 trở lên

6
RAM: Tử 8GB DDR4 trở lên
HDD: Yêu cầu dung lượng trống để cài đặt từ 30GB trở lên
Khuyến khích sử dụng SSD.
 Sau khi tải file cài đặt về máy, tiến hành cài đặt như bình thường.
 Lưu ý: Nếu sinh viên sử dụng Window 10 thì phải bật chế độ Virtualization trong BIOS, do Xilinx
chạy ISE trên Window10 thông qua máy ảo Oracle VM VirtualBox.
III. Chương trình trên ISE design suite
Phần 3 của buổi 1 sẽ hướng dẫn sinh viên cách viết một chương trình giao tiếp I/O đơn giản trên phần
mềm ISE 14.7 và nạp chương trình lên board SP601. Chương trình này sẽ sử dụng 04 công tắc GPIO DIP
SW để điều khiển đèn GPIO LED trên board.
1. Tạo project
Bước 1: Có 2 cách để tạo một project mới trong ISE 14.7,
vào File > New Project
hoặc chọn New Project ngay tại màn hình bắt đầu.

Bước 2: Sau khi chọn New Project, chương trình hiện ra cửa sổ New Project Wizard, ta chọn thư
mục lưu project cũng như đặt tên cho project tại đây:

7
Bước 3: Ở cửa sổ tiếp theo, trong tab Evaluation Development Board chọn Spartan-6 SP601
Evaluation platform.

Bước 4: Sau khi tạo xong project, click phải chuột vào xc6slx 16-2csg324 trong mục hierarchy rồi
chọn New Source

8
Tiếp theo chọn VHDL Module và đặt tên cho file thiết kế sử dụng ngôn ngữ VHDL:

Nhấn Next để tiếp tục chuyển đến cửa sổ soạn thảo như dưới đây:

9
2. Viết chương trình và biên dịch
Sinh viên có thể viết trực tiếp ở cửa sổ của ISE hoặc trên các phần mềm khác như Notepad++ rồi
paste lại. Nếu có sẵn file .vhd thì chỉ cần thêm file vào project thông qua add source. Chương trình
I/O interfacing được viết dưới đây sử dụng ngôn ngữ VHDL để điều khiển 2 leds đơn trên board SP601
bằng 2 switches. Sinh viên cũng có thể viết bằng Verilog nếu thích.

Để thực hiện biên dịch và tổng hợp thiết kế ta click đúp vào Synthesize trong cửa sổ Design, ta còn
có thể kiểm tra cú pháp (check syntax) và xuất sơ đồ RTL thông qua Synthesize.

10
Xuất sơ đồ RTL của thiết kế:

3. Tạo file testbench để mô phỏng


Ngôn ngữ mô tả phần cứng VHDL có rất nhiều các phần mềm mô phỏng mạch số được phát hành bởi
các công ty khác nhau, ở đây ta sử dụng công cụ ISIM được tích hợp sẵn trong bộ công cụ ISE design
suite.
Để tiến hành mô phỏng thiết kế ở phần trên ta thực hiện các bước sau:
Bước 1: tạo file testbench, thông qua click phải chuột vào xc6slx16-2csg324 và chọn New Source,
sau đó chọn VHDL Test Bench và đặt tên cho file, ở đây tên file được đặt là IO_interfacing_TB

11
Bước 2: Sau khi tạo xong file testbench, ta tiến hành viết mã nguồn để mô phỏng hành vi của Leds và
các công tắc (switches) như dưới đây:

Chuyển từ trạng thái Implementation sang Simulation, tiếp theo chọn file IO_Interfacing và click đúp
vào Simulate Behavioral để tiến hành mô phỏng. Kết quả mô phỏng được hiển thị ở hình dưới và nó
phản ánh chính xác theo đúng như code VHDL đã thể hiện, switch1 điều khiển led1, switch2 điều khiển
led2.

12
4. Cấu hình chân và nạp chương trình lên board SP601
Sau khi hoàn tất việc mô phỏng, ta có thể nạp code vừa được tổng hợp (synthesize) ở trên vào FPGA
Board để kiểm tra nếu có. Trong trường hợp không có kít thì chỉ có thể kiểm tra dựa vào waveform
sinh ra sau khi mô phỏng như trên.
Bước 1: Để nạp được code vào Board, ta cần kiểm tra các thành phần và linh kiện mà Board đó cung
cấp đồng thời thấy thông tin về chân của các linh kiện đó, như code ví dụ ở các bước trên ta cần 2
GPIO Leds và 02 GPIO switches. Thông tin về chân và các thành phần của Board được cung cấp
trong tài liệu SP601 Hardware User Guide, công bố bởi Xilinx. Sau khi tra cứu trong Appendix C:
SP601 master UCF ( trang 48) ta có được thông tin về GPIO Leds và GPIO switches trên board SP601
như sau:

Bước 2: Khi đã xác định được các thành phần cần thiết, ta thực hiện gán các chân này cho các port
mà ta đã khai báo trong ENTITY, cụ thể là switch1, switch2, led1, led2.
Bước 3: Để thực hiện gán chân, ta chọn xc6slx16-2csg324, click phải chọn New Source, ở cửa sổ
tiếp theo ta chọn Implementation Constraints File rồi đặt tên cho file này, ở đây người viết đặt
IO_interfacing_const rồi finish. Tiếp theo trong giao diện constrains file ta thực hiện gán chân như
hình dưới đây và lưu file:

13
Bước 4: Tiến hành tổng hợp thiết kế (synthesize), nếu đã tổng hợp trước đó thì có thể sang bước tiếp
theo Implement Design và Generate Programming File.
Bước 5: Nạp thiết kế vào board Spartan 6 SP601, trước khi nạp code cần kiểm tra lại xem board đã
được cấp nguồn vào kết nối với máy tính thông qua USB JTAG hay chưa.

Nếu nguồn và cổng USB JTAG đã được kết nối ta tiến hành Configure Target Device

Ở cửa sổ ISE IMPACT hiện ra chọn Boundary scan, click phải chuột ở giao diện mới, chọn Add Xilinx
Device rồi tiến hành add file .bit tạo ra từ quá trình Configuration, vd như ở đây file của người viết là
IO_Interfacing.bit. Giao diện Boundary Scan sẽ hiện ra biểu tượng IC FPGA của Xilinx, click phải

14
chuột chọn Program -> Apply -> OK. Nếu chương trình được nạp thành công, hộp thông báo màu
xanh “Program Succeeded” sẽ hiện ra như hình dưới.

Kết quả hiển thị trên Board Spartan6 SP601 như hình dưới

15
Bài 2: Điều khiển màn hình chỉ thị số LED (LCD 1602)

I. Mục đích
Giúp sinh viên hiểu phương pháp điều khiển hiển thị lên màn hình chỉ thị LED, cụ thể là LCD1602. Đây
là bài thực hành tiêu biểu cho bài toán hiển thị vì chức năng này là bắt buộc với hầu hết các hệ thống
số.
Bài thực hành giúp sinh viên hiểu và có khái niệm rõ ràng hơn khi so sánh chương trình viết cho Vi điều
khiển và viết cho FPGA sử dụng VHDL.
II. Chuẩn bị và thực hiện
 Máy tính cài đặt phần mềm ISE design suite 14.7
 Board Spartan-6 SP601
 Sinh viên thực hiện bài thực hành theo yêu cầu của giảng viên
 Làm báo cáo thực hành
III. Nội dung
Màn hình chỉ thị LCD 1602 có hình dáng và kích thước như hình dưới:

Khi sản xuất LCD, nhà sản xuất đã tích hợp IC điều khiển (HD44780) bên trong lớp vỏ và chỉ ra đưa
các chân giao tiếp cần thiết. Các chân này được đánh số thứ tự và đặt tên như hình dưới:

Sơ đồ chân của LCD1602 được liệt kê theo bảng dưới đây:

16
Chân Ký hiệu I/O Mô tả
1 Vss - GND
2 Vcc - VCC
3 Vee - Chỉnh độ tương phản
4 RS I RS=0 chọn thanh ghi lệnh, RS=1 chọn thanh ghi dữ liệu
5 R/W I R/W=1 đọc dữ liệu, R/W=0 ghi dữ liệu
6 E I/O Tín hiệu cho phép
7 DB0 I/O Bus dữ liệu
8 DB1 I/O Bus dữ liệu
9 DB2 I/O Bus dữ liệu
10 DB3 I/O Bus dữ liệu
11 DB4 I/O Bus dữ liệu
12 DB5 I/O Bus dữ liệu
13 DB6 I/O Bus dữ liệu
14 DB7 I/O Bus dữ liệu

Các thanh ghi:


- Thanh ghi IR: Mỗi lệnh được nhà sản xuất LCD đánh địa chỉ rõ ràng. Người dùng chỉ việc cung cấp
địa chỉ lệnh bằng cách nạp vào thanh ghi IR.
Ví dụ:
Lệnh “hiển thị màn hình và con trỏ” có mã lệnh là 00001110
- Thanh ghi DR : Thanh ghi DR dùng để chứa dữ liệu 8 bit để ghi vào vùng RAM DDRAM hoặc
CGRAM ( ở chế độ ghi) hoặc dùng để chứa dữ liệu từ 2 vùng RAM này gửi ra cho MPU (ở chế độ
đọc).
- Cờ báo bận BF: (Busy Flag)
Khi đang thực thi các hoạt động bên trong, LCD bỏ qua mọi giao tiếp với bên ngoài và bật cờ
BF( thông qua chân DB7 khi có thiết lập RS=0, R/W=1) lên để cho biết nó đang “bận”.
- Bộ đếm địa chỉ AC : (Address Counter)
Khi một địa chỉ lệnh được nạp vào thanh ghi IR, thông tin được nối trực tiếp cho 2 vùng RAM (việc
chọn lựa vùng RAM tương tác đã được bao hàm trong mã lệnh). Sau khi ghi vào (đọc từ) RAM, bộ
đếm AC tự động tăng lên (giảm đi) 1 đơn vị.

Sơ đồ khối của HD44780:

Để hiểu rõ hơn chức năng các chân và hoạt động của chúng, ta tìm hiểu sơ qua chíp HD44780 thông
qua các khối cơ bản của nó.

17
Sơ đồ khối của HD44780

Các thanh ghi :


Chíp HD44780 có 2 thanh ghi 8 bit quan trọng : Thanh ghi lệnh IR (Instructor Register) và thanh
ghi dữ liệu DR (Data Register)

- Thanh ghi IR : Để điều khiển LCD, người dùng phải “ra lệnh” thông qua tám đường bus DB0-DB7.
Mỗi lệnh được nhà sản xuất LCD đánh địa chỉ rõ ràng. Người dùng chỉ việc cung cấp địa chỉ lệnh

18
bằng cách nạp vào thanh ghi IR. Nghĩa là, khi ta nạp vào thanh ghi IR một chuỗi 8 bit, chíp HD44780
sẽ tra bảng mã lệnh tại địa chỉ mà IR cung cấp và thực hiện lệnh đó.
VD : Lệnh “hiển thị màn hình” có địa chỉ lệnh là 00001100 (DB7…DB0)
Lệnh “hiển thị màn hình và con trỏ” có mã lệnh là 00001110
- Thanh ghi DR : Thanh ghi DR dùng để chứa dữ liệu 8 bit để ghi vào vùng RAM DDRAM hoặc
CGRAM
(ở chế độ ghi) hoặc dùng để chứa dữ liệu từ 2 vùng RAM này gởi ra cho MPU (ở chế độ đọc). Nghĩa
là, khi MPU ghi thông tin vào DR, mạch nội bên trong chíp sẽ tự động ghi thông tin này vào DDRAM
hoặc CGRAM. Hoặc khi thông tin về địa chỉ được ghi vào IR, dữ liệu ở địa chỉ này trong vùng RAM
nội của HD44780 sẽ được chuyển ra DR để truyền cho MPU.
=> Bằng cách điều khiển chân RS và R/W chúng ta có thể chuyển qua lại giữ 2 thanh ghi này khi giao
tiếp với MPU. Bảng sau đây tóm tắt lại các thiết lập đối với hai chân RS và R/W theo mục đích giao
tiếp.

RS R/W Chức năng

0 0 Ghi vào thanh ghi IR để ra lệnh cho LCD

0 1 Đọc cờ bận ở DB7 và giá trị của bộ đếm địa chỉ ở DB0-DB6

1 0 Ghi vào thanh ghi DR

1 1 Đọc dữ liệu từ DR

Chức năng chân RS và R/W theo mục đích sử dụng

b> Cờ báo bận BF: (Busy Flag)


Khi thực hiện các hoạt động bên trong chíp, mạch nội bên trong cần một khoảng thời gian để hoàn
tất. Khi
đang thực thi các hoạt động bên trong chip như thế, LCD bỏ qua mọi giao tiếp với bên ngoài và bật cờ
BF (thông qua chân DB7 khi có thiết lập RS=0, R/W=1) lên để báo cho MPU biết nó đang “bận”. Dĩ
nhiên, khi xong việc, nó sẽ đặt cờ BF lại mức 0.
c> Bộ đếm địa chỉ AC : (Address Counter)
Như trong sơ đồ khối, thanh ghi IR không trực tiếp kết nối với vùng RAM (DDRAM và CGRAM)
mà thông qua bộ đếm địa chỉ AC. Bộ đếm này lại nối với 2 vùng RAM theo kiểu rẽ nhánh. Khi một địa
chỉ lệnh được nạp vào thanh ghi IR, thông tin được nối trực tiếp cho 2 vùng RAM nhưng việc chọn lựa
vùng RAM tương tác đã được bao hàm trong mã lệnh.
Sau khi ghi vào (đọc từ) RAM, bộ đếm AC tự động tăng lên (giảm đi) 1 đơn vị và nội dung của AC
được xuất ra cho MPU thông qua DB0-DB6 khi có thiết lập RS=0 và R/W=1 (xem bảng tóm tắt RS -
R/W).

19
Lưu ý: Thời gian cập nhật AC không được tính vào thời gian thực thi lệnh mà được cập nhật sau khi
cờ BF lên mức cao (not busy), cho nên khi lập trình hiển thị, bạn phải delay một khoảng tADD khoảng
4uS-5uS (ngay sau khi BF=1) trước khi nạp dữ liệu mới. Xem thêm hình bên dưới.

Giản đồ xung cập nhật AC

Vùng RAM hiển thị DDRAM : (Display Data RAM)


Đây là vùng RAM dùng để hiển thị, nghĩa là ứng với một địa chỉ của RAM là một ô kí tự trên màn hình
và khi bạn ghi vào vùng RAM này một mã 8 bit, LCD sẽ hiển thị tại vị trí tương ứng trên màn hình một
kí tự có mã 8 bit mà bạn đã cung cấp. Hình sau đây sẽ trình bày rõ hơn mối liên hệ này :

Mối liên hệ giữa địa chỉ của DDRAM và vị trí hiển thị của LCD

Vùng RAM này có 80x8 bit nhớ, nghĩa là chứa được 80 kí tự mã 8 bit. Những vùng RAM còn
lại không dùng cho hiển thị có thể dùng như vùng RAM đa mục đích.
Lưu ý là để truy cập vào DDRAM, ta phải cung cấp địa chỉ cho AC theo mã HEX
Vùng ROM chứa kí tự CGROM: Character Generator ROM

20
Vùng ROM này dùng để chứa các mẫu kí tự loại 5x8 hoặc 5x10 điểm ảnh/kí tự, và định địa chỉ bằng 8
bit. Tuy nhiên, nó chỉ có 208 mẫu kí tự 5x8 và 32 mẫu kí tự kiểu 5x10 (tổng cộng là 240 thay vì 2^8
= 256 mẫu kí tự). Người dùng không thể thay đổi vùng ROM này.

Mối liên hệ giữa địa chỉ của ROM và dữ liệu tạo mẫu kí tự.

Như vậy, để có thể ghi vào vị trí thứ x trên màn hình một kí tự y nào đó, người dùng phải ghi vào vùng
DDRAM tại địa chỉ x (xem bảng mối liên hệ giữa DDRAM và vị trí hiển thị) một chuỗi mã kí tự 8 bit trên
CGROM. Chú ý là trong bảng mã kí tự trong CGROM ở hình bên dưới có mã ROM A00.
Ví dụ : Ghi vào DDRAM tại địa chỉ “01” một chuỗi 8 bit “01100010” thì trên LCD tại ô thứ 2 từ trái
sang (dòng trên) sẽ hiển thị kí tự “b”.

21
Bảng mã kí tự (ROM code A00)

Vùng RAM chứa kí tự đồ họa CGRAM : (Character Generator RAM)


Như trên bảng mã kí tự, nhà sản xuất dành vùng có địa chỉ byte cao là 0000 để người dùng có thể tạo
các mẫu kí tự đồ họa riêng. Tuy nhiên dung lượng vùng này rất hạn chế: Ta chỉ có thể tạo 8 kí tự loại
5x8 điểm ảnh, hoặc 4 kí tự loại 5x10 điểm ảnh.
Để ghi vào CGRAM, hãy xem hình 6 bên dưới.

22
Mối liên hệ giữa địa chỉ của CGRAM, dữ liệu của CGRAM, và mã kí tự.

Tập lệnh của LCD :


Trước khi tìm hiểu tập lệnh của LCD, sau đây là một vài chú ý khi giao tiếp với LCD :
* Tuy trong sơ đồ khối của LCD có nhiều khối khác nhau, nhưng khi lập trình điều khiển LCD ta chỉ
có thể tác động trực tiếp được vào 2 thanh ghi DR và IR thông qua các chân DBx, và ta phải thiết lập
chân RS, R/W phù hợp để chuyển qua lại giữ 2 thanh ghi này. (xem bảng 2)
* Với mỗi lệnh, LCD cần một khoảng thời gian để hoàn tất, thời gian này có thể khá lâu đối với tốc độ
của MPU, nên ta cần kiểm tra cờ BF hoặc đợi (delay) cho LCD thực thi xong lệnh hiện hành mới có
thể ra lệnh tiếp theo.

23
* Địa chỉ của RAM (AC) sẽ tự động tăng (giảm) 1 đơn vị, mỗi khi có lệnh ghi vào RAM. (Điều này
giúp chương trình gọn hơn)
* Các lệnh của LCD có thể chia thành 4 nhóm như sau :
• Các lệnh về kiểu hiển thị. VD : Kiểu hiển thị (1 hàng / 2 hàng), chiều dài dữ liệu (8 bit / 4 bit), …
• Chỉ định địa chỉ RAM nội.
• Nhóm lệnh truyền dữ liệu trong RAM nội.
• Các lệnh còn lại .
Tập lệnh của LCD:

Tên lệnh Hoạt động


Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = 0 0 0 0 0 0 0 1
Clear Lệnh Clear Display (xóa hiển thị) sẽ ghi một khoảng trống-blank (mã hiện kí tự 20H)
Display vào tất cả ô nhớ trong DDRAM, sau đó trả bộ đếm địa AC=0, trả lại kiểu hiển thị gốc
nếu nó bị thay đổi. Nghĩa là : Tắt hiển thị, con trỏ dời về góc trái (hàng đầu tiên), chế
độ tăng AC.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
Return DBx = 0 0 0 0 0 0 1 *
home Lệnh Return home trả bộ đếm địa chỉ AC về 0, trả lại kiểu hiển thị gốc nếu nó bị thay
đổi. Nội dung của DDRAM không thay đổi.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = 0 0 0 0 0 1 [I/D] [S]
I/D : Tăng (I/D=1) hoặc giảm (I/D=0) bộ đếm địa chỉ hiển thị AC 1 đơn vị mỗi khi có
Entry hành động ghi hoặc đọc vùng DDRAM. Vị trí con trỏ cũng di chuyển theo sự tăng
mode set giảm này.
S : Khi S=1 toàn bộ nội dung hiển thị bị dịch sang phải (I/D=0) hoặc sang trái (I/D=1)
mỗi khi có hành động ghi vùng DDRAM. Khi S=0: không dịch nội dung hiển thị. Nội
dung hiển thị không dịch khi đọc DDRAM hoặc đọc/ghi vùng CGRAM.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = 0 0 0 0 1 [D] [C] [B]
Display D: Hiển thị màn hình khi D=1 và ngược lại. Khi tắt hiển thị, nội dung DDRAM không
on/off thay đổi.
control C: Hiển thị con trỏ khi C=1 và ngược lại.
B: Nhấp nháy kí tự tại vị trí con trỏ khi B=1 và ngược lại.
Chu kì nhấp nháy khoảng 409,6ms khi mạch dao động nội LCD là 250kHz.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = 0 0 0 1 [S/C] [R/L] * *
Lệnh Cursor or display shift dịch chuyển con trỏ hay dữ liệu hiển thị sang trái mà
không cần hành động ghi/đọc dữ liệu. Khi hiển thị kiểu 2 dòng, con trỏ sẽ nhảy xuống
Cursor dòng dưới khi dịch qua vị trí thứ 40 của hàng đầu tiên. Dữ liệu hàng đầu và hàng 2
or dịch cùng một lúc. Chi tiết sử dụng xem bảng bên dưới:
display S/C R/L Hoạt động
shift 0 0 Dịch vị trí con trỏ sang trái (Nghĩa là giảm AC một đơn vị).
0 1 Dịch vị trí con trỏ sang phải (Tăng AC lên 1 đơn vị).
1 0 Dịch toàn bộ nội dung hiển thị sang trái, con trỏ cũng dịch theo.
1 1 Dịch toàn bộ nội dung hiển thị sang phải, con trỏ cũng dịch
theo.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
Function DBx = 0 0 1 [DL] [N] [F] * *
set DL: Khi DL=1, LCD giao tiếp với MPU bằng giao thức 8 bit (từ bit DB7 đến DB0).
Ngược lại, giao thức giao tiếp là 4 bit (từ bit DB7 đến bit DB0). Khi chọn giao thức 4

24
bit, dữ liệu được truyền/nhận 2 lần liên tiếp. với 4 bit cao gởi/nhận trước, 4 bit thấp
gởi/nhận sau.
N : Thiết lập số hàng hiển thị. Khi N=0 : hiển thị 1 hàng, N=1: hiển thị 2 hàng.
F : Thiết lập kiểu kí tự. Khi F=0: kiểu kí tự 5x8 điểm ảnh, F=1: kiểu kí tự 5x10 điểm
ảnh.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
Set
DBx = 0 1 [ACG][ACG][ACG][ACG][ACG][ACG]
CGRAM
Lệnh này ghi vào AC địa chỉ của CGRAM. Kí hiệu [ACG] chỉ 1 bit của chuỗi dữ liệu 6
address
bit. Ngay sau lệnh này là lệnh đọc/ghi dữ liệu từ CGRAM tại địa chỉ đã được chỉ định.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = 1 [AD] [AD] [AD] [AD] [AD] [AD] [AD]
Set Lệnh này ghi vào AC địa chỉ của DDRAM, dùng khi cần thiết lập tọa độ hiển thị
DDRAM mong muốn. Ngay sau lệnh này là lệnh đọc/ghi dữ liệu từ DDRAM tại địa chỉ đã
address được chỉ định.
Khi ở chế độ hiển thị 1 hàng: địa chỉ có thể từ 00H đến 4FH. Khi ở chế độ hiển thị 2
hàng, địa chỉ từ 00h đến 27H cho hàng thứ nhất, và từ 40h đến 67h cho hàng thứ 2.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx =[BF] [AC] [AC] [AC] [AC] [AC] [AC] [AC] (RS=0,R/W=1)
Read BF Như đã đề cập trước đây, khi cờ BF bật, LCD đang làm việc và lệnh tiếp theo (nếu
and có) sẽ bị bỏ qua nếu cờ BF chưa về mức thấp. Cho nên, khi lập trình điều khiển, phải
address kiểm tra cờ BF trước khi ghi dữ liệu vào LCD.
Khi đọc cờ BF, giá trị của AC cũng được xuất ra các bit [AC]. Nó là địa chỉ của
CG hay DDRAM là tùy thuộc vào lệnh trước đó.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
Write DBx = [Write data] (RS=1, R/W=0)
data to Khi thiết lập RS=1, R/W=0, dữ liệu cần ghi được đưa vào các chân DBx từ mạch
CG or ngoài sẽ được LCD chuyển vào trong LCD tại địa chỉ được xác định từ lệnh ghi địa
DDRAM chỉ trước đó (lệnh ghi địa chỉ cũng xác định luôn vùng RAM cần ghi)
Sau khi ghi, bộ đếm địa chỉ AC tự động tăng/giảm 1 tùy theo thiết lập Entry mode.
Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
DBx = [Read data] (RS=1, R/W=1)
Read
Khi thiết lập RS=1, R/W=1,dữ liệu từ CG/DDRAM được chuyển ra MPU thông qua
data
các chân DBx (địa chỉ và vùng RAM đã được xác định bằng lệnh ghi địa chỉ trước
from CG or
đó).
DDRAM
Sau khi đọc, AC tự động tăng/giảm 1 tùy theo thiết lập Entry mode, tuy nhiên nội
dung hiển thị không bị dịch bất chấp chế độ Entry mode.

5> Giao tiếp giữa LCD và MPU :


a> Đặc tính điện của các chân giao tiếp :
LCD sẽ bị hỏng nghiêm trọng, hoặc hoạt động sai lệch nếu bạn vi phạm khoảng đặc tính điện sau
đây:

Chân cấp nguồn (Vcc-GND) Min:-0.3V , Max+7V

Các chân ngõ vào (DBx,E,…) Min:-0.3V , Max:(Vcc+0.3V)

Nhiệt độ hoạt động Min:-30C , Max:+75C

Nhiệt độ bảo quản Min:-55C , Max:+125C

25
Maximun Rating

Đặc tính điện làm việc điển hình: (Đo trong điều kiện hoạt động Vcc = 4.5V đến 5.5V, T = -30 đến
+75C)

Chân cấp nguồn Vcc-GND 2.7V đến 5.5V

Điện áp vào mức cao VIH 2.2V đến Vcc

Điện áp vào mức thấp VIL -0.3V đến 0.6V

Điện áp ra mức cao (DB0-DB7) Min 2.4V (khi IOH = -0.205mA)

Điện áp ra mức thấp (DB0-DB7) Max 0.4V (khi IOL = 1.2mA)

Dòng điện ngõ vào (input leakage current) -1uA đến 1uA (khi VIN = 0 đến
ILI Vcc)

Dòng điện cấp nguồn ICC 350uA(typ.) đến 600uA

190kHz đến 350kHz (điển hình là


Tần số dao động nội fOSC
270kHz)

Bảng 7: Miền làm việc bình thường

Sơ đồ nối mạch điển hình:


- Sơ đồ mạch kết nối giữa mô đun LCD và VĐK 89S52 (8 bit).
- Sơ đồ mạch kết nối giữa môđun LCD và VĐK (4 bit).
Bus Timing:

26
Khởi tạo LCD:
Khởi tạo là việc thiết lập các thông số làm việc ban đầu. Đối với LCD, khởi tạo giúp ta thiết lập
các giao thức làm việc giữa LCD và MPU. Việc khởi tạo chỉ được thực hiện 1 lần duy nhất ở đầu
chương trình điều khiển LCD và bao gồm các thiết lập sau :
• Display clear : Xóa/không xóa toàn bộ nội dung hiển thị trước đó.
• Function set : Kiểu giao tiếp 8bit/4bit, số hàng hiển thị 1hàng/2hàng, kiểu kí tự 5x8/5x10.
• Display on/off control: Hiển thị/tắt màn hình, hiển thị/tắt con trỏ, nhấp nháy/không nhấp nháy.
• Entry mode set : các thiết lập kiểu nhập kí tự như: Dịch/không dịch, tự tăng/giảm (Increment).
Mạch khởi tạo bên trong chíp HD44780:

27
Mỗi khi được cấp nguồn, mạch khởi tạo bên trong LCD sẽ tự động khởi tạo cho nó. Và trong thời
gian khởi tạo này cờ BF bật lên 1, đến khi việc khởi tạo hoàn tất cờ BF còn giữ trong khoảng 10ms
sau khi Vcc đạt đến 4.5V (vì 2.7V thì LCD đã hoạt động). Mạch khởi tạo nội sẽ thiết lập các thông số
làm việc của LCD như sau:
• Display clear : Xóa toàn bộ nội dung hiển thị trước đó.
• Function set: DL=1 : 8bit; N=0 : 1 hàng; F=0 : 5x8
• Display on/off control: D=0 : Display off; C=0 : Cursor off; B=0 : Blinking off.
• Entry mode set: I/D =1 : Tăng; S=0 : Không dịch.
Như vậy sau khi mở nguồn, bạn sẽ thấy màn hình LCD giống như chưa mở nguồn do toàn bộ hiển
thị tắt. Do đó, ta phải khởi tạo LCD bằng lệnh.
Khởi tạo bằng lệnh: (chuỗi lệnh)
Việc khởi tạo bằng lệnh phải tuân theo lưu đồ sau của nhà sản xuất :

28
29
Như đã đề cập ở trên, chế độ giao tiếp mặc định của LCD là 8bit (tự khởi tạo lúc mới bật điện lên).
Và khi kết nối mạch theo giao thức 4bit, 4 bit thấp từ DB0-DB3 không được kết nối đến LCD, nên lệnh
khởi tạo ban đầu (lệnh chọn giao thức giao tiếp – function set 0010****) phải giao tiếp theo chế độ 8
bit (chỉ gởi 4 bit cao một lần, bỏ qua 4 bit thấp). Từ lệnh sau trở đi, phải gởi/nhận lệnh theo 2 nibble.
Lưu ý là sau khi thiết lập function set, bạn không thể thay đổi function set ngoại trừ thay đổi giao
thức giao tiếp (4bit/8bit).

30
IV. Mô phỏng và thực hành
Chương trình điều khiển hiển thị LCD1602. Sinh viên tham khảo mã nguồn dưới đây và viết chương
trình để hiển thị tên mình lên màn hình LCD1602.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity lcd is

port ( clk : in std_logic; --clock i/p


lcd_rw : out std_logic; --read & write control
lcd_e : out std_logic; --enable control
lcd_rs : out std_logic; --data or command control
data : out std_logic_vector(7 downto 0)); --data line

end lcd;
architecture Behavioral of lcd is
constant N: integer :=22; type arr is array (1 to N) of std_logic_vector(7 downto
0);
constant datas :
arr :=(X"38",X"0c",X"06",X"01",X"C0",X"50",x"41",x"4e",x"54",x"45",x"43",x"48",x"
20",x"53",x"4f",x"4c",x"55",x"54",x"49",x"4f",x"4e",X"53"); --command and data to
display

begin
lcd_rw <= '0'; --lcd write
process(clk)
variable i : integer := 0;
variable j : integer := 1;
begin

if clk'event and clk = '1' then


if i <= 1000000 then
i := i + 1;
lcd_e <= '1';
data <= datas(j)(7 downto 0);
elsif i > 1000000 and i < 2000000 then
i := i + 1;
lcd_e <= '0';
elsif i = 2000000 then
j := j + 1;
i := 0;
end if;

if j <= 5 then
lcd_rs <= '0'; --command signal
elsif j > 5 then
lcd_rs <= '1'; --data signal
end if;
if j = 22 then --repeated display of data
j := 5;
end if;
end if;

end process;

end Behavioral;

31
Bài 3: Điều khiển hiển thị ma trận LED

I. Mục đích
Giúp sinh viên hiểu phương pháp điều khiển hiển thị trên ma trận LED. Đây là bài thực hành tiêu biểu
cho bài toán hiển thị vì chức năng này là bắt buộc với hầu hết các hệ thống số.
Bài thực hành giúp sinh viên hiểu và có khái niệm rõ ràng hơn khi so sánh chương trình viết cho Vi điều
khiển và viết cho FPGA sử dụng VHDL.
II. Chuẩn bị và thực hiện
 Máy tính cài đặt phần mềm ISE design suite 14.7
 Board Spartan-6 SP601
 Sinh viên thực hiện bài thực hành theo yêu cầu của giảng viên
 Làm báo cáo thực hành
III. Nội dung
Dưới đây là chương trình tham khảo điều khiển ma trận LED8x8. Sinh viên thực hành theo chương
trình mà mở rộng bài toán thành hiển thị các chữ cái từ “A” đến “Z” trên ma trận LED8x8.

Library IEEE;
Use IEEE.STD_LOGIC_1164.ALL;
Use IEEE.STD_LOGIC_ARITH.ALL;
Use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY LED_matrix IS
Port ( A: out STD_LOGIC_VECTOR (16 downto 0);
Clk: in STD_LOGIC
);
End LED_matrix;
ARCHITECTURE Behavioral of LED_matrix IS
Signal counter: STD_LOGIC_VECTOR (22 downto 0) := (others => ‘0’);
Signal row: STD_LOGIC_VECTOR (7 downto 0):= “11111110”;
Signal col: STD_LOGIC_VECTOR (7 downto 0):= “00000001”;
Begin
Count: process(clk)
Begin
If rising_edge(clk) then
Counter <= counter+1;
If counter = 0 then
Col <= col (6 downto 0)& col(7);
If col = “1000000” then
Row <= row(6 downto 0)& row(7);
End if;
End if;

32
A(7 downto 0) <= row;
A(15 downto 0) <= col;
End if;
End process;
End behavioral;

33
Bài 4: Điều khiển hiển thị VGA

I. Mục đích
Giúp sinh viên tìm hiểu phương pháp điều khiển hiển thị thông qua giao tiếp VGA. Đây là bài thực hành
tiêu biểu cho bài toán hiển thị vì chức năng này là bắt buộc với hầu hết các hệ thống số.
Bài thực hành giúp sinh viên hiểu và có khái niệm rõ ràng hơn khi so sánh chương trình viết cho Vi điều
khiển và viết cho FPGA sử dụng VHDL.
II. Chuẩn bị và thực hiện
 Máy tính cài đặt phần mềm ISE design suite 14.7
 Board Spartan-6 SP601
 Sinh viên thực hiện bài thực hành theo yêu cầu của giảng viên
 Làm báo cáo thực hành
III. Nội dung
Cổng hiển thị VGA sử dụng đầu nối DB15 tới các màn hình hiển thị, sơ đồ chân của cổng DB15 và
giá trị của 3 màu cơn bản Đỏ (red), Xanh lá cây (green) và xanh da trời (blue) được hiển thị theo 2
bảng dưới đây:

34
Đoạn chương trình VHDL dưới đây điều khiển hiển thị VGA trên board mạch Spartan-6 SP601:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity VGA is

port(clk50_in : in std_logic; -----system clock i/p

red : out std_logic; -----primrary colour output

green : out std_logic;

blue : out std_logic;

hs_out : out std_logic; ------horizontal control signal

vs_out : out std_logic); ------vertical control signal

end VGA;

architecture Behavioral of VGA is

35
signal clk25 : std_logic;

signal hs : std_logic_vector (9 downto 0);

signal vs : std_logic_vector (9 downto 0);

begin

-- generate a 25Mhz clock

process (clk50_in)

begin

if clk50_in'event and clk50_in='1' then

if (clk25 = '0') then

clk25 <= '1';

else

clk25 <= '0';

end if;

end if;

end process;

------display logic for message "PANTECH SOLUTIONS"

process (clk25)

begin

if clk25'event and clk25 = '1' then

if hs = "0011001000" and vs >= "0011001000" and vs <= "0011111010" then -


--horizantal and vertical line display constraint

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0011001000" and vs >= "0100101100" and vs <= "0101000101"


then

red <= '1' ;

36
blue <= '0';

green <= '0' ;

elsif hs = "0011111010" and vs >= "0011001000" and vs <= "0011100001"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0011111010" and vs >= "0101000101" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0100000100" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0100000100" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0100110110" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0100110110" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

37
blue <= '0';

green <= '0' ;

elsif hs = "0101000000" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0101000000" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0101110010" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0101111110" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0110101110" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0110010101" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

38
green <= '0' ;

elsif hs = "0110111000" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0111010001" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "0111110100" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1000001101" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1000110000" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1001100010" and vs >= "0011001000" and vs <= "0011111010"


then

red <= '1' ;

blue <= '0';

39
green <= '0' ;

elsif hs = "1000110000" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1001100010" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1001101100" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

elsif hs = "1010011110" and vs >= "0100101100" and vs <= "0101011110"


then

red <= '1' ;

blue <= '0';

green <= '0' ;

-------------------------------------------------------------------------
-------

else ----------blank signal display

red <= '0' ;

blue <= '0';

green <= '0' ;

end if;

if (hs > "0000000000" )

and (hs < "0001100001" ) -- 96+1 -----horizontal tracing

40
then

hs_out <= '0';

else

hs_out <= '1';

end if;

if (vs > "0000000000" )

and (vs < "0000000011" ) -- 2+1 ------vertical tracing

then

vs_out <= '0';

else

vs_out <= '1';

end if;

hs <= hs + "0000000001" ;

if (hs= "1100100000") then ----incremental of horizontal line

vs <= vs + "0000000001"; ----incremental of vertical line

hs <= "0000000000";

end if;

if (vs= "1000001001") then

vs <= "0000000000";

end if;

end if;

end process;

end Behavioral;

41

You might also like