Professional Documents
Culture Documents
Ecad Vlsi Manual
Ecad Vlsi Manual
Ecad Vlsi Manual
PROGRAM- 1
PROGRAM-1(A):
Block Diagram:
Logic Diagram:
1
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1a is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1a;
begin
C<=A and B;
end Behavioral;
2
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(B):
Block Diagram:
Logic Diagram:
3
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1b is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1b;
begin
C<=A or B;
end Behavioral;
4
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(C):
Block Diagram:
Logic Diagram:
5
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
TruthTable:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1c is
Port ( A : in STD_LOGIC;
C : out STD_LOGIC);
end program1c;
begin
C<= not A;
end Behavioral;
6
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(D):
Block Diagram:
Logic Diagram:
7
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1d is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1d;
begin
C<=A nor B;
end Behavioral;
8
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(E):
Block Diagram:
Logic Diagram:
9
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1e is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1e;
begin
C<=A nand B;
end Behavioral;
10
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(F):
Block Diagram:
Logic Diagram:
11
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1f is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1f;
begin
C<=A xor B;
end Behavioral;
12
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
PROGRAM-1(G):
Block Diagram:
Logic Diagram:
13
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program1g is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : out STD_LOGIC);
end program1g;
begin
C<=A xnor B;
end Behavioral;
14
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
Result: The Logic Gates Design have been realized and Simulated using VHDL Code.
15
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 2
PROGRAM:
Block Diagram:
16
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Logic Diagram:
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program2 is
Port ( I : in STD_LOGIC_VECTOR (1 downto 0);
en : in STD_LOGIC;
17
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The 2 to 4 Decoder Designs have been Realized and Simulated using VHDL Code.
18
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 3
PROGRAM:
VHDL Code for 8 to 3 Encoder:
Block Diagram:
Logic Diagram:
19
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program3 is
Port ( S : in STD_LOGIC_VECTOR (7 downto 0);
D : out STD_LOGIC_VECTOR(2 downto 0));
end program3;
architecture Behavioral of program3 is
begin
process(S)
begin
case S is
when "00000001"=>D<="000";
when "00000010"=>D<="001";
when "00000100"=>D<="010";
when "00001000"=>D<="011";
when "00010000"=>D<="100";
20
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
when "00100000"=>D<="101";
when "01000000"=>D<="110";
when "10000000"=>D<="111";
when others=>D<="000";
end case;
end process;
end Behavioral;
Waveform:
Result: The 8 to 3 Encoder Designs have been Realized and Simulated using VHDL Code.
21
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 4
PROGRAM:
VHDL Code for 8 to 1 Multiplexer:
Block Diagram:
Logic Diagram:
Truth Table:
22
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program4 is
Y : out STD_LOGIC);
end program4;
begin
process(I,S)
begin
case S is
when "000"=>y<=I(0);
23
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
when "001"=>y<=I(1);
when "010"=>y<=I(2);
when "011"=>y<=I(3);
when "100"=>y<=I(4);
when "101"=>y<=I(5);
when "110"=>y<=I(6);
when "111"=>y<=I(7);
when others=>null;
end case;
end process;
end Behavioral;
Waveform:
Result: The 8 to 1 Multiplexer Designs have been Realized and Simulated using VHDL Code.
24
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 5
Aim: Write a VHDL code for 4-Bit Binary to Gray Code Converter.
PROGRAM:
Block Diagram:
Logic Diagram:
Truth Table:
25
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
Entity program5 is
End binarytogray;
Begin
Process(B)
Begin
Case B is
26
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
End case;
End process;
End behavioral;
Waveform:
27
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The 4 Bit Binary to Gray Converter Designs have been Realized and Simulated using
VHDL Code.
PROGRAM- 6
28
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-6(A):
VHDL Code for 1 to 4 Demultiplexer:
Block Diagram:
Logic Diagram:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
29
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program6a is
Port ( E : in STD_LOGIC;
S : in STD_LOGIC_VECTOR (1 downto 0);
Y : out STD_LOGIC_VECTOR (3 downto 0));
end program6a;
architecture Behavioral of program6a is
begin
process(E,S)
begin
case S is
when "00"=>Y<="0001";
when "01"=>Y<="0010";
when "10"=>Y<="0100";
when "11"=>Y<="1000";
when others=>null;
end case;
end process;
end Behavioral;
Waveform:
30
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The 1 to 4 Demultiplexer Design have been Realized and Simulated using VHDL Code
PROGRAM-6(B):
VHDL Code for 4 bit comparator:
Block Diagram:
Logic Diagram:
31
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program6b is
AeqB,AltB,AgtB:out bit);
end program6b;
process(a,b)
begin
32
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
AeqB<='0';AltB<='0';AgtB<='0';
end if;
end process;
end Behavioral;
Waveform:
Result: The 4 Bit Comparator Design have been Realized and Simulated using VHDL Code.
33
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 7
PROGRAM:
Block Diagram:
Logic Diagram:
34
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program7 is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : in STD_LOGIC;
35
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
Result: The Full Adder Designs have been Realized and Simulated using VHDL Code.
PROGRAM- 8
36
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-8(A):
Block Diagram:
Logic Diagram:
Truth Table:
37
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program8a is
port(S,R,CLK: in std_logic;
end program8a;
process(CLK)
begin
if CLK='1' then
end if;
end process;
end Behavioral;
38
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
Result: The SR-FlipFlop Design have been Realized and Simulated using VHDL Code.
PROGRAM-8(B):
Block Diagram:
Logic Diagram:
39
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Truth Table:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program8b is
D : in STD_LOGIC;
Q : out STD_LOGIC);
end program8b;
40
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
begin
process(CLK)
begin
if(CLK' event and CLK='1')then
Q<=D;
end if;
end process;
end Behavioral;
Waveform:
Result: The D-FlipFlop Design have been Realized and Simulated using VHDL Code.
PROGRAM-8(C):
41
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Block Diagram:
Logic Diagram:
Truth Table:
42
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program8c is
Port ( JK : in STD_LOGIC_VECTOR(1 downto 0);
CLK : in STD_LOGIC;
--RST : in STD_LOGIC;
Q : out STD_LOGIC;
Qb : out STD_LOGIC);
end program8c;
architecture Behavioral of program8c is
begin
process(CLK)
variable temp1,temp2:std_logic;
begin
if rising_edge(CLK)then
case JK is
43
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
when "01"=>temp1:='0';
when "10"=>temp1:='1';
when "00"=>temp1:=temp1;
when "11"=>temp1:=not temp1;
--when "00"=>temp1:=temp1;
--when "11"=>temp1:=not temp1;
when others=>null;
end case;
Q<=temp1;
temp2:=not temp1;
Qb<=temp2;
end if;
end process;
end Behavioral;
Waveform:
Result: The JK-FlipFlop Design have been Realized and Simulated using VHDL Code.
PROGRAM-8(D):
44
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Block Diagram:
Logic Diagram:
Truthtable:
45
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
T Qn+1
0 Qn
1 Qnbar
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program8d is
Port ( T : in STD_LOGIC;
CLK : in STD_LOGIC;
Q : out STD_LOGIC);
end program8d;
architecture Behavioral of program8d is
begin
process(CLK)
begin
if(CLK 'event and CLK='1')then
Q<=not T;
end if;
46
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
end process;
end Behavioral;
Waveform:
Result: The T-FlipFlop Design have been Realized and Simulated using VHDL Code.
47
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM- 9
Aim: Write a VHDL code for BCD Counter.
PROGRAM:
Block Diagram:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program9 is
port(
reset : in STD_LOGIC;
clk : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR(3 downto 0)
48
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
);
end program9;
architecture Behavioral of program9 is
begin
count : process (reset,clk) is
variable m : std_logic_vector (3 downto 0) := "0000";
begin
if (reset='1') then
m := "0000";
elsif (rising_edge (clk)) then
m := m + 1;
end if;
if (m="1010") then
m := "0000";
end if;
dout <= m;
end process count;
end Behavioral;
Waveform:
Result: The BCD Counter Design have been Realized and Simulated using VHDL Code.
PROGRAM- 10
49
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity program10 is
port( clk : in std_logic; --clock signal
reset : in std_logic; --reset signal
S_in : in std_logic; --serial bit Input sequence
S_out : out std_logic); -- Output
end program10;
architecture Behavioral of program10 is
--Defines the type for states in the state machine
type state_type is (S0,S1,S2,S3,S4);
--Declare the signal with the corresponding state type.
signal Current_State, Next_State : state_type;
begin
-- Synchronous Process
process(clk)
begin
if( reset = '1' ) then --Synchronous Reset
Current_State <= 'S0';
50
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
51
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The FSM Design have been Realized and Simulated using VHDL Code.
52
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PART-B
PROGRAM- 1
53
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To simulate the Logic gates and observe its input and output waveforms.
PROGRAM-1(A):
Waveform:
54
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-1(B):
55
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
56
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-1(C):
57
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
58
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-1(D):
59
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
60
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-1(E):
61
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
62
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The response of logic gates is observed for the given input waveform.
PROGRAM-2
63
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To simulate the CMOS Nand gate & CMOS Inverter and observe its input and output
waveforms.
PROGRAM-2(A):
Waveform:
64
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-2(B):
65
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Waveform:
66
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The response of CMOS Nand gate & Inverter is observed for the given input waveform.
PROGRAM-3
Aim: To simulate the CMOS and observe its input and output waveforms.
67
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM:
Waveform:
68
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The response of CMOS is observed for the given input waveform.
PROGRAM-4
Aim: To simulate the Analog Inverter and observe its input and output waveforms.
69
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM:
Waveform:
70
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The response of Analog Inverter is observed for the given input waveform.
PROGRAM-5
Aim: To simulate the PLL and observe its input and output waveforms.
71
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM:
Waveform:
72
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The response of PLL is observed for the given input waveform.
73
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PART-C
PROGRAM- 1
74
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
NMOS CHARACTARISTICS
Aim: To perform layout extraction, parasitic values estimation, schematic and design rule
checking of NMOS.
Schematic Diagram:
Netlist:
A) Keeping Vds 5v constant vary the Vgs from 0 to 5v for nmos and plot Id vs. Vgs.
dc vgs 0 5 0.5
.print dc I(m1)
.End
75
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
B) Keeping Vgg 5v constant vary the Vds from 0 to 5v for nmos and plot Id vs. Vds.
dc vds 0 5 0.5
Vgs 0 5 0.5
.print dc i(m1)
.End
C) Keeping Vgs and V ds constant and vary Vbb from –5 to 0v for NMOS and plot Ids Vs Vdd
curve
Vgs 0 5 0.5
.print dc i(m1)
.End
Output Waveform:
76
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The schematic, layout extraction, parasitic values estimation, design rule checking and
wave forms of NMOS Charactaristics are observed.
PROGRAM-2
CMOS CHARACTARISTICS
77
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To perform layout extraction, parasitic values estimation, schematic and design rule
checking of CMOS (NOT GATE, NAND GATE, NOR GATE).
PROGRAM-2(A):
Schematic Diagram:
Netlist:
* C:\Program Files\LTC\LTspiceIV\examples\krish\Draft6.asc
M1 Z A 0 0 NMOS
M2 Z A 1 1 PMOS
V1 A 0 5v
V2 1 0 5v
.backanno
.end
Output Waveform:
78
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-2(B):
Netlist:
M1 Z A 2 2 NMOS
M2 2 B 0 0 NMOS
79
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
M3 Z A 1 1 PMOS
M4 Z B 1 1 PMOS
V1 1 0 5v
V2 A 0 0v
V3 B 0 5v
.backanno
.end
Output Waveform:
NAND(a=b=5v=1)
80
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
PROGRAM-2(C):
Netlist:
* C:\Program Files\LTC\LTspiceIV\examples\krish\Draft7.asc
M3 Z B 0 0 NMOS
81
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
M4 Z A 0 0 NMOS
V1 N001 0 5v
V2 A 0 0v
V3 B 0 5V
.backanno
.end
82
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The schematic, layout extraction, parasitic values estimation, design rule checking and
wave forms of CMOS CHARACTARISTICS are observed.
PROGRAM-3
DIFFERENTIAL AMPLIFIER
83
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To perform layout extraction, parasitic values estimation, schematic and design rule
checking of DIFFERENTIAL AMPLIFIER.
Schematic Diagram:
Netlist:
* C:\Program Files\LTC\LTspiceIV\examples\krish\Draft8.asc
M1 Vout1 5 3 3 NMOS
M2 Vout2 0 3 3 NMOS
V1 5 0 5v
V2 4 0 12v
I1 3 0 50A
84
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
.backanno
.end
Output Waveform:
Result: The schematic, layout extraction, parasitic values estimation, design rule checking and
wave forms of DIFFERENTIAL AMPLIFIER are observed.
PROGRAM-4
85
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To perform layout extraction, parasitic values estimation, schematic and design rule
checking of common source amplifier.
Schematic Diagram:
Net list:
* C:\Program Files\LTC\LTspiceIV\examples\krish\Draft9.asc
M1 2 3 4 4 NMOS
86
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
V2 1 0 12v
.backanno
Output Waveform:
Result: The schematic, layout extraction, parasitic values estimation, design rule checking and
wave forms of common source amplifier is observed.
PROGRAM-5
87
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Aim: To perform layout extraction, parasitic values estimation, schematic and design rule
checking of common drain amplifier.
Schematic Diagram:
Net list:
* C:\Program Files\LTC\LTspiceIV\examples\krish\Draft10.asc
M1 1 3 4 4 NMOS
V2 1 0 12v
88
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
.tran 0 2ms 1m 1m
.backanno
.end
Output Waveform:
89
SPHOORTHY ENGINEERING COLLEGE
IV BTech I Semester ECAD & VLSI Lab ECE Department
Result: The schematic, layout extraction, parasitic values estimation, design rule checking and
wave forms of common drain amplifier is observed.
90
SPHOORTHY ENGINEERING COLLEGE