Download as pdf or txt
Download as pdf or txt
You are on page 1of 194

1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-POWER SEMI-CONDUCTOR DEVICES

Module objectives

• To know about what is power electronics

• To understand the block diagram of a simple power electronic system,

• To know about the various types of power electronic converters

• To know about the advantages and disadvantages of power electronic


converters

• To know about applications of power electronics

Basic concepts

• Power

• Rate at which energy (E) is dissipated, absorbed, or transmitted in a system.

• i.e. 𝒑 = 𝒅𝑬 /𝒅𝒕
2

• where p is the instantaneous power and is expressed in Watts if the energy is


in Joules and the time is in seconds.

• Energy is simply the ability to do work. Thus, energy and work done have
the same unit – Joule (J)

• The average power, 𝑷 = 𝑬𝒏𝒆𝒓𝒈𝒚 X 𝑻𝒊𝒎𝒆 = 𝑾𝒐𝒓𝒌 𝒅𝒐𝒏𝒆/ 𝑻𝒊𝒎𝒆

Classification of Electronics

• Electronics may be classified:

• Analog Electronics

• Digital Electronics

• Micro Electronics

• Nano Electronics

• Use of discrete devices, integrated circuits (ICs) with very large scale
integration (VLSI)

“what is power electronics?”

Power electronics is one of the contemporary subjects of electrical engineering


which has seen a lot of advancements in recent times and has impacted human
life in almost every sphere.

We our selves use so many power electronic applications in our daily life,
without even realizing it.

Power electronics belongs partly to power engineers and partly electronics


engineers.
3

Power engineering

• mainly concerned with generation, transmission, distribution and utilization


of electric energy at high efficiency.

• Based on electro magnetic principles

Electronics engineering

• focused on distortion less production, transmission and reception of data


and signals of very low power level

• ( few watts/ milli watts) with low efficiency.

• Based on physical phenomenon in vacuum, gases, vapors and semi


conductors.

Power electronics is a subject that concerns the application of electronic


principles into situations that are rated at power level rather than signal
level.

• Power electronics is a subject that deals with the apparatus and


equipment working on the principle of electronics but at rated power
level rather than signal level.

• Power electronics is defined as a subject which is a hybrid of power


engineering, analogue electronics, semiconductor devices and control
systems.

• The fundamentals of each subject has been derived and applied it in an


combined way so as to get a regulated form of electrical energy.
4

• Electrical energy itself is not usable until it is converted into a noticeable


form of energy such as motion, light, sound, heat etc.

• In order to regulate these forms of energy, an effective way is to regulate the


electrical energy itself and this forms the content of the subject power
electronics.

• The development of commercial thyristors or silicon controlled rectifiers


(SCR) by General Electric Co. in 1958.

• Before this, the control of electrical energy was mainly done using
thyratrons and mercury arc rectifiers which works on the principle of
physical phenomena in gases and vapours.

• After SCR, a great many power electronic devices have emerged like GTO,
IGBT, SIT, MCT, TRIAC, DIAC, IEGT, IGCT and so on.

• These devices are rated for several hundreds of volt and ampere unlike the
signal level devices which work at few volts and mill amperes.

• SCR – Silicon controlled rectifier

• TRIAC- Bidirectional triode thyristor

• GTO- Gate turn-off thyristor

• IGCT- Integrated gate commutated thyristor

• In order to achieve the purpose of power electronics, the devices are made to
work as nothing more than a switch.

• All the power electronic devices act as a switch and have two modes, i.e.
ON and OFF.
5

For example, a BJT (Bipolar Junction Transistor) has three regions of


operation in its output characteristics cut-off, active and saturation.

In analogue electronics where the BJT is supposed to work as an amplifier,


the circuit is so designed to bias it in active region of operation.

However in power electronics, BJT will work in cutoff region when it is


OFF and in saturation region when it is ON.

i.e. when the switch is ON, it has zero voltage drop across it and carries full
current through it,
and when it is in OFF condition, it has full voltage drop across it and zero
current flowing through it.

• Now since in both the mode either of the quantity V or I is zero, the switch
power also turns out to be zero always.

• This characteristic is easy to visualize in a mechanical switch and the same


has to be followed in power electronic switch also.

• However practically there always exists a leakage current through the


devices when in OFF condition, i.e Ileakage ≠ 0 and there is always a
forward voltage drop in ON condition, i.e Von ≠ 0.
6

• However the magnitude of Von or I leakage is very less and hence the power
across the device is also very less, in order of few millwatts.

• During the on and off periods, has very low power loss in it as compared to
the power delivered by the source to the load.
This results in higher energy efficiency of the PECS

• (power electronic converter system)

• This power is dissipated in the device and hence proper heat evacuation
from the device is an important aspect.

• Apart from this ON state and OFF state losses, there are switching losses
also in power electronic devices.

• This is mainly while the switch toggles from one mode to another and V and
I across the device changes.

• In power electronics, both the losses are important parameters of any device
and essential in determining its voltage and current ratings.

• The power electronic devices alone are not that useful in practical
applications and hence require to be designed with a circuit along with other
supporting components.

• These supporting components are like the decision making part which
controls the power electronic switches in order to achieve the desired output.
This includes the firing circuit and the feedback circuit.

Block diagram of a simple power electronic system


7

• The Control Unit takes the output feedback from sensors and compares it
with references and accordingly gives input to the firing circuit.

• Firing circuit is basically a pulse generating circuit which gives pulse


output in a fashion so as to control the power electronic switches in the main
circuit block.

• The net result is that the load receives the desired electrical power and hence
delivers the desired result.

• A typical example of the above system would be speed control of motors.


8

Maximum Ratings of Power Semiconductor Devices

Reverse conducting thyristor (RCT)/ asymmetrical SCR (ASCR)

* The reverse conducting thyristor (RCT) is differentiating itself from the


normal high power thyristor by having an integrated reverse diode.
9

• Because of the reverse diode, this thyristor is not capable of reverse


blocking.

• These devices are advantageous where a reverse or freewheel diode must be


used.

* An SCR incapable of blocking reverse voltage is known as an


asymmetrical SCR, abbreviated ASCR.

The static induction thyristor (SIT, SITh) is a thyristor with a buried


gate structure in which the gate electrodes are placed in n-base region.

Since they are normally on-state, gate electrodes must be negatively or


anode biased to hold off-state.

An MOS-controlled thyristor (MCT) is a voltage-controlled fully


controllable thyristor, controlled by MOSFETs (metal-oxide-semiconductor
field-effect transistors). It was invented by V.A.K. ...
10

MCTs are similar in operation to GTO thyristors, but have voltage


controlled insulated gates.

Static induction transistor (SIT) is a high power, high


frequency transistor device.

It is a vertical structure device with short multichannel.

Being a vertical device, the SIT structure offers advantages in obtaining


higher breakdown voltages than a field-effect transistor (FET).

For the SIT, it is not limited by the surface breakdown between gate and
drain, and can operate at a very high current and voltage.

Five types of power electronic circuits

• Rectifiers – converts fixed AC to variable DC (such as half wave rectifiers


or full wave rectifiers)

• Choppers – converts fixed DC to variable DC

• Inverters – converts DC to AC having variable amplitude and variable


frequency

• Voltage Regulators – converts fixed AC to variable AC at same input


frequency

Cycloconverters – converts fixed AC to AC with variable frequency

AC/DC power

AC

• Phasors
11

• 1-phase

• 3-phase

• S=P+jQ

• Frequency

DC

• Magnitudes only

• Non-zero average

• P

• Time variant/invariant

• Devoid of frequency

Source – Load Compatibility


Sources (AC/DC) must be compatible with loads (AC/DC)
12

• The field of power electronics mainly deals with the conversion of power
from one form to another and the change from one voltage level to
another by using different power electronic converters.

• There are many control strategies used in the converters to aid this
conversion.

• Another important aspect of using power converters is conditioning.

• The conditioning of signals helps us to ensure clean and pure, i.e. free
from harmonics, input and output signals.

• It is not possible to obtain absolutely clean signals, but there are ways and
means to reduce the harmonic content, the simplest of which is the use of
a simple low-pass LC filter.

Note:
13

* Power electronics converters mainly comprise of solid-state switches, such


as Power MOSFET, Power BJT, IGBT, Thyristors etc., and lossless
components, namely inductors and capacitors.

* Inductors and capacitors are ideally suited for use in power converters as
the power loss in these components are zero as compared to resistances.

* Resistances lead to a loss of power, and thus a loss in efficiency and power
converters are required to be highly efficient as power loss during
conversion leads to lowering of the efficiency of the whole system.

* In power electronics, the solid state devices are used as switches.

* They can be either on or off.

* They are never used for amplification.

* The frequency with which the solid state devices are switched on and off is
called the switching frequency.

* The inductor and capacitors used can lead to an increase in weight and
also an increase in the volume of the power converters which leads to a
decrease in the power density of the converters.

* This can be remedied by using a higher switching frequency which


reduces the size of the components used in the converter.

* But higher switching frequency leads to higher switching losses.

* However, switching losses are small compared to conduction losses.


14

• Higher switching losses will lead to higher temperatures across the


junctions, and a temperature difference of more than a 100ºC between the
body and junction can lead to damage to the solid-state device.

• This can be taken care of with a suitably sized heat sink.

• The main types of conversion are DC to DC, AC to DC, DC to AC and AC


to AC.

• The use of DC to DC converters to step-up or step-down a DC voltage is a


great boon because AC voltages can be stepped up or stepped down easily
using a transformer but using a transformer with DC leads to saturation of
the core and will ultimately damage the transformer.

• The conversion of AC to DC is known as rectification which is used to


supply DC loads, such as DC motors, using AC power supply.

• DC to AC conversion is known as inversion and is a very useful important


part of our daily lives nowadays where we are trying to remove our
dependency on fossil fuels.

• Inverters can take power from DC sources, such as batteries, and convert
them to AC power for use in AC motors as can be seen in Totos, etc.

• AC to AC conversion is done using either Cycloconverters or Matrix


Cycloconverters.

• These converters are very powerful in a sense they can be used for a wide
range of industrial uses, such as cement and ball mill drives, Rolling mill
drives etc.
15

• Cycloconverters can even convert a single-phase AC supply to a three-


phase supply and vice-versa.

• Control of converters deals with the logic implemented, either with analog
electronics or digital based microcontrollers, DSP processors or
FPGA’s, to switch on and off the solid-state devices.

• The simplest is the Pulse Width Modulation (PWM) scheme.

• Control of the converters becomes complicated when the converters use


feedback loops.

• Power Electronics

• Power semiconductor devices have the same functionality and symbol as


their conventional counterparts but are different from them in the following
aspects-

• Current rating

• Voltage rating

• Doping levels & dimensions

Thus Power Electronics may be defined as an interface, comprising power


semiconductor devices, between power source and load that facilitates
compatibility and conditioning of electrical energy flow.
16

Advantages of Power Electronic Converters


17

• High efficiency due to low loss in power semiconductor device.

• High reliability of power electronic converters systems.

• Long life and less maintenance due to the absence of any moving parts

• Fast dynamic response of the Power Electronic systems

• Small size and less weight results in less floor space and hence lower
installation cost.

• Mass production of power semiconductor device has resulted in lower cost


of the converter equipment.

• Mass Production : Due to huge development in the production techniques


of semiconductor devices, these semiconductor based power electronic
18

devices are now produced in huge bulk and hence have resulted into very
low price.

• These devices are available in a variety of voltage and current ratings to


choose from.

• Highly Reliable : Since these devices have no mechanical moving parts,


there are very less failure chances and hence has a very rugged performance
and long life, provided it is operated under rated conditions.

• Highly Efficient :

• In most of the applications these devices acts as a switch and we know that
in both the modes of the switch, i.e. ON and OFF the power loss in it is very
less, and the switching losses are also very low.

• Negligible Maintenance :

• Again due to absence of mechanical moving parts, the power electronic


systems require almost nil maintenance.

• Fast :

In comparison to mechanical or electro-mechanical devices the power


electronic systems have way faster dynamic response.

• Size :

These power electronic systems are very small in size when compared to
mechanical systems for similar power ratings and hence less weight, less
floor space, less handling issues, less installation cost, less packing and
transportation prices and many more.
19

Disadvantages of Power Electronic Converters

• Power electronic converters have a tendency to generate harmonics in the


supply system as well as in the load circuit.

• Regeneration of power is difficult in power electronic converters systems.

• AC to DC and AC to AC converters operate at a low input power factor


under certain operating conditions. (to avoid low pf, some special measures
have to be adopted)

• Power electronic controllers have low overload capacity. Hence, they


should be rated for taking momentary overloads. ( results in increased cost
of Power electronic controllers)

• Harmonics :

• This is the only serious disadvantages of power electronic systems that it


injects considerable harmonics both the sides, to the connected load side and
to the power source side.

• Since the converters alter the sinusoidal waveform according to the


requirement, harmonics are generated in the output voltage and current of
the converter and also in the input current to the converter.

• Now these harmonics create a lot of trouble on both the sides.

• On the load side if we have motors, harmonics cause problems such as


excess heating, more acoustic noise, torsional vibration of motor shaft,
commutation issues in DC motors, etc.

• Hence nowadays we have special VFD motors which are designed to better
handle the effects of harmonics.
20

• Apart from this we also have filter circuits to limit the harmonics to the load.

• On the supply side also harmonics create a lot of trouble.

• The performance of other equipments connected to the same supply is


seriously affected.

• Harmonics in supply lines also leads to radio interference with


communication lines, audio and video equipments.

• Apart from this the input side transformer also gets overheated and its
efficiency gets reduced.

• Special converter transformers are used when the output has considerable
power electronic systems, such as motor drives in industries. Harmonics also
increases skin effect in the cables and hence more heating.

• Thus we need to install filters in the input side also.

Low Power Factor :

Certain power electronic converters operate at very low input power factor
and hence it might be required to install reactive power compensation
equipments.

• Low Overload Capacity :

• Power electronic devices work on rated voltage and current provided proper
heat evacuation system is provided.

• Excess current causes hot spots at junctions and burning of devices. High
dv/dt leads to false triggering and hence a power electronic device along
21

with it needs compulsory protection arrangements such as snubber circuit


etc.

• Hence the cost of overall system increases a bit compared to system where
normal motors, transformers and no filter circuits are used.

• However the advantages possessed by power electronic systems are so


overwhelming that these disadvantages get over shadowed and are
continuously gaining popularity and exponentially increasing in
applications.

Applications

• While electrical energy is still generated in large quantities using three-


phase synchronous generators, referred to as alternators, from relatively
much smaller areas despite the forays made by renewable energy resources
based generating systems spread over large areas, the number of applications
that use electrical energy in the dc form is wide-ranging and ever increasing.

• LED lighting, single-phase sourced dc power supplies for avionics,

• mobile phone charging, printers, microcontrollers,

• microprocessors, digital displays, smart watches,

• digital clocks, audio-video systems including ipods,

• handycams, digital cameras, laptops, music playback and recording devices,


emergency lamps etc.

• The three-phase sourced dc applications include


22

• welding, electroplating, electrolysis based metal extraction, aircraft systems,


HVDC, electric vehicle propulsion, solid state ac and dc drives etc.

• The effective operation of the various dc systems is dependent on the


effectiveness of the ac to dc conversion process and, therefore,
rectification has to be achieved not only with maximum possible
efficiency but also in conformity with the present-day power quality
stipulations.

Application of Power Electronics


23
24
25
26

It is literally impossible to list all the applications of power electronics


today; it has penetrated almost all the fields where electrical energy is in the
picture.

This trend is an ever increasing one especially with present trends of new
devices and integrated design of power semiconductor devices and
controllers.

The ease of manufacturing has also led to availability of these devices in a


vast range of ratings and gradually has appeared in high voltage and extra
high voltage systems also.
27

The day is not far when all of the electrical energy in the world will pass
through power electronic systems.

• Our Daily Life:

• Fan regulator, light dimmer, air-conditioning, induction cooking, emergency


lights, personal computers, vacuum cleaners, UPS (uninterrupted power
system), battery charges, etc.

• Automotives and Traction:

• Subways, hybrid electric vehicles, trolley, fork-lifts, and many more.

• A modern car itself has so many components where power electronic is used
such as ignition switch, windshield wiper control, adaptive front
lighting, interior lighting, electric power steering and so on.

• extensively used in modern traction systems and ships.

• Industries:

• Almost all the motors employed in the industries are controlled by power
electronic drives, for eg. Rolling mills, textile mills, cement mills,
compressors, pumps, fans, blowers, elevators, rotary kilns etc.

• Other applications include welding, arc furnace, cranes, heating applications,


emergency power systems, construction machinery, excavators etc.

• Defense and Aerospace:

• Power supplies in aircraft, satellites, space shuttles, advance control in


missiles, unmanned vehicles and other defense equipments.
28

• Renewable Energy: Generation systems such as solar, wind etc. needs


power conditioning systems, storage systems and conversion systems in
order to become usable.

• For example solar cells generate DC power and for general application we
need AC power and hence power electronic converter is used.

• Utility System:

• HVDC transmission, VAR compensation (SVC), static circuit breakers,


generator excitation systems, FACTS, smart grids, etc.

Assessment questions:

1. What is power electronics

2. Describe the block diagram of a simple power electronic system,

3. Explain the various types of power electronic converters.

4. What are the advantages of power electronic converters?

5. What are the disadvantages of power electronic converters?

6. Mention the applications of power electronics.

7. Write a short note on reverse conducting thyristor (RCT)/ asymmetrical SCR


(ASCR)

8. Write a short note on MCT

9. Write a short note on SIT and SITH.


29
1

SSN College of Engineering


( An Autonomous Institution, Affiliated to Anna University)
Academic Year -2021-22
Department of EEE
Class : III Year /V Sem EEE
UEE 1504-Power Electronics
Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE
UNIT-I-Power Semiconductor Devices
Power Bipolar Junction Transistor
Features - operation- configurationsSteady-State/Static Characteristics
• Input-output characteristics-Switching characteristics
Module objectives
• To understand the basic structure of power BJT and its operation
• To learn about its types and configurations
• To understand the Input-output characteristics and switching
characteristics of BJT
• To know its applications
Introduction
2

• Bipolar Two polarities are involved when transistor is operating


• Polarities Carriers involved in the operation (holes and electrons)
• If only one carrier is involved, {holes (or) electrons}, is said to be
unipolar ( eg: schottky diode)
• William Shockley -1949
• The word Transistor is an acronym, and is a combination of the words
Transfer Varistor used to describe their mode of operation.
• Active devices with highly non-linear characteristics
• BJT- 3 layer, two junction, three terminal device.
Features of power BJT
* Power BJT’s are self-commutating devices that are characterized by a
switching frequency greater than those of the thyristors
* Their turn-on / turn-off times are lower than those of the thyristors and
hence, the corresponding losses i.e. the switching losses are lower too.
• Their conduction losses are higher.
• Have lower ratings of voltage and current. (used in low and medium
ower applications)
Note:
• Current Controlled -Eg: Power transistors
3

• Voltage Controlled -Power MOSFET’s , Power IGBT

Physical Structure of BJT

Types of BJT
4
5

Constructional difference between BJT and power BJT


1. Power BJTs have a 'vertically oriented' alternating layers of 'p' and 'n' doped
layers.
This facilitates large current flow as this structural feature maximizes the cross
sectional area for the electrons (or holes) to move across the junction.
2. The high 'Gain' is maintained by enhancing the doping level of the Emitter
several times that of the Base.
The doping level of a normal BJT can be enhanced but the thing that really
differentiates the two is the construction.
By changing doping one can control the magnitude of current flow but that has
to be reciprocated by the constructional design of the semiconductor device.
Difference between BJT and Power BJT
* It is the maximum current carrying capacity which differentiates them.
Power BJT has current carrying capacity in Amps where as amplifier type of
BJT has less rating than Power varieties.
Usually these are used in Power supply type of circuits.
• These power BJTs have low beta compared its amplifier counterpart.
• In power transistors, current gain factor β is decreased by increase in
emitter region doping level.
6

• * They also have bigger metal body to dissipate heat generated due to
the large currents they carry.
• * Instead of plastic outer shell , the actual semiconductor is enclosed by a
metallic layer so that attaching a heat shield to it would be much easier.

Layer width and doping


7

Biasing of PNP & NPN transistor


8

Current in NPN Transistor


9

• Emitter, collector- much more heavily doped


• Depletions regions penetrate deep in the base
• Due to this penetration, distance between 2 depletion regions is very
short.
Junction barrier voltages
• Positive on emitter & collector ( n-type)
• Negative on base ( p-type)
BJT with external Bias Voltages
10

Current in PNP Transistor

IE = IC + IB
I C = IE
Common base current gain =IC / IE

Common emitter current gain =IC / IB

IC = IE + ICBO
ICBO = minority collector current. This is usually so small that it can be ignored,
except in high power transistors and in high temperature environments.
When IB = 0A the transistor is in cutoff, but there is some minority current
flowing called ICEO.
ICBO
ICEO = IB = 0 μ A
1 − α

Beta ()
11

=IC / IB
=ΔIC / Δ IB , VCE=constant
indicates the amplification factor of a transistor.
is sometimes referred to as hfe, a term used in transistor modeling calculations

Relationship between alpha & beta


• Proof :
β = / (1- )
IE = IC + IB ---(1)

I C = IE -----(2)

Substituting (1) in (2)


IC = (IC + IB )
I C = IC + IB
I C - I C = IB
IC (1- )= IB
IC = IB /(1- ) ----(3)
=IC / IB
IC = IB ----(4)
Substituting (4) in (3)
IB = IB /(1- )
β = / (1-α )

• Proof :
= β/ (1+β)
IE = IC + IB ---(1)

I C = IB -----(2)

I C = IE ----(3)
12

Substituting (1) and (2) in (3)


• IB = (IC + IB )
= IC + IB
= I B + IB
IB = IB (+1)
= β/ (1+β)
α = β/ (1+β)
• β = α/ (1- α)
Modes of operation
• 1, Cut-off mode

• Both JBE & JCB junctions are reverse biased.


• IC =0
2, Saturation mode
• BJT operating region - IC =maximum value
• Both JBE & JCB junctions are forward biased.
• IC= VCC/RC+RE
13

3, Active mode
• BJT operating region between saturation (max IC) & Cutoff ( min IC)
• JBE = forward biased

• JCB= reverse biased


• Common Emitter (CE) configuration
14

Steady-State/Static Characteristics of npn-transistors

Input Characteristics of Power BJT


15

Output Characteristics of Power BJT


16

Transfer characteristics of Power BJT


17

Model of npn-power BJT

Parameters - SS Characteristics of npn-transistors


Cut-off
• VCE = VCC, IC = ICEO ;IB = 0
Saturation
• VCE = VCE (sat), IC = ICS
IB = IBS = ICS /β , VBE = VBE (sat)
Switching/Transient/Dynamic Characteristics of npn-transistors
Model with current gain

Model with transconductance


18

Transistor with resistive load

Switching times of power BJTs


19

Switching waveforms of NPN power BJTs

Parameters - Switching Characteristics of power BJT


1. Ccb and Cbe are the capacitances of the CBJ and BEJ, respectively.
2. The transconductance, gm of a power BJT is the ratio of IC to VBE
i.e. 𝒈𝒎 = 𝒊𝑪 𝑽𝒃𝒆
3. rce = ro and rbe are the resistances of the collector to emitter and base to
emitter, respectively
4. The current gain,β = hFE = 𝒊𝑪/ 𝒊𝑩 , has already been defined.
5. For achieving saturation state of the power BJT the base current IB > IBS
usually.
The ratio of IB to IBS is called the overdrive factor, ODF
i.e. ODF = IB/ IBS .
6. The excess minority carrier charge is stored in the base region.
This extra charge, called the saturating charge is proportional to the excess
base drive, Ie
20

i.e. Ie = IB - IBS
7. Ie = IB - IBS = IB – 𝑰𝑪𝑺 /β = ODF . IBS – IBS = IBS (ODF-1)
8. The saturating charge is given by, Qs=𝝉s Ie = 𝝉s IBS (ODF-1) where 𝝉s is
the storage time constant of the transistor.
Explanation
1. Due to internal capacitances, the transistor does not turn-on instantly. The
waveforms and times are illustrated in the figure.
2. As the input voltage vB rises from zero to V1 and the base current rises to
IB1, the collector current does not respond immediately. There is a time
interval, known as delay time, td before any collector current flows. This
time is required to charge up the capacitance of the BEJ to the forward
bias voltage VBE (0.7 V approx.)
3. After this delay the collector current rises to its steady-state value of ICS.
The rise time tr is the time for iC to rise from 10% of ICS to 90% of ICS.
It is dependent on the time constant determined by the input capacitances.
4. The turn-on time, ton is the sum of the delay and rise times
i.e. ton= td + tr.
5. When the input voltage is reversed from V1 to -V2 and the base current is
also changed to –IB2, the collector current does not change for a time, ts
called the storage time. ts is required to remove the saturating charge
from the base.
6. Since vBE is still positive with approximately 0.7 V, the base current
reverses its direction due to the change in the polarity of vB from V1 to
V2.
7. Once the extra charge is removed, the BEJ capacitance charges to the
input voltage –V2, and the base current falls to zero.
The fall time, tf depends on the time constant determined by the capacitance
of the reverse biased BEJ.
8. The turn-off time, tonf is the sum of the storage and fall times
i.e. toff= ts + tf
Performance Parameters - of power BJTs
1. DC gain, Current gain
21

2. Collector-emitter saturation voltage, vCE(sat)


3. Collector-base saturation voltage, vBE(sat)
4. Turn-on time, ton
5. Turn-off time, toff
6. Second breakdown, SB
7. Forward-biased safe operating area, FBSOA
8. Reverse-biased safe operating area, RBSOA
9. Breakdown voltages
Secondary breakdown
* The secondary breakdown, which is a destructive phenomenon, results
from current flow to a small portion of the base, producing localized hot
spots.
* If the energy in these hot spots is sufficient, the excessive localized heating
may damage the transistor.
* Thus secondary breakdown is caused by a localized thermal runaway,
resulting from high current concentrations.
* The current concentration may be caused by defects in the transistor
structure.
Secondary breakdown is a failure mode in bipolar power transistors.
In a power transistor with a large junction area, under certain conditions of
current and voltage, the current concentrates in a small spot of the base-
emitter junction.
This causes local heating, progressing into a short between collector and
emitter.
This often leads to the destruction of the transistor.
Secondary breakdown can occur both with forward and reverse base drive.
• For power semiconductor devices (such as BJT,MOSFET,Thyristor or
IGBT), the safe operating area (SOA) [1] is defined as the Voltage and
current conditions over which the device can be expected to operate
without self-damage.
22

• SOA is usually presented in transistor datasheets as a graph with Vce


(collector-emitter voltage) on the abscissa and Ice (collector-emitter
current) on the ordinate; the safe 'area' referring to the area under the
curve.
• The SOA specification combines the various limitations of the device —
maximum voltage, current, power, junction temperature, secondary
breakdown — into one curve, allowing simplified design of protection
circuitry.
Forward-biased safe operating area (FBSOA)
• During turn-on and on-state conditions, the average junction
temperature and second breakdown limit the power handling capability of
a transistor.
• The manufacturers usually provide the FBSOA curves under specified
test conditions.
• FBSOA indicates the ic Vs vCE limits of the transistor; for reliable
operation the transistor must not be subjected to greater power dissipation
than that shown by the FBSOA curve.
23

Illustration of safe operating area of a bipolar power transistor. Any


combination of collector current and voltage below the line can be
tolerated by the transistor.

• Often, in addition to the continuous rating, separate SOA curves are


plotted for short duration pulse conditions (1 ms pulse, 10 ms pulse,
etc.).
• The safe operating area curve is a graphical representation of the
power handling capability of the device under various conditions.
• The SOA curve takes into account the wire bond current carrying
capability, transistor junction temperature, internal power
dissipation and secondary breakdown limitations.
• Except at low collector-emitter voltages, the secondary breakdown limit
restricts the collector current more than the steady-state power dissipation
of the device.
Reverse-biased safe operating area (RBSOA)
• During turn-off, a high current and high voltage must be sustained by the
transistor, in most cases with the base-to emitter junction reverse
biased.
• The collector–emitter voltage must be held to a safe level at, or below, a
specified value of collector current.
• The manufacturers provide the IC Vs VCE limits during reverse-biased
turn-off as RBSOA.
24

Assessment questions
1. Differentiate uncontrollable switches and controllable switches
2. Differentiate self-Commutating switches/devices and self-latching
switches/devices
3.Mention the features of power BJT?
4. Compare the conventional BJT with power BJT.
5. Explain the basic structure of power BJT
6. Explain the operation of power BJT.
7. What are the various modes of operation of BJT?
8. Define and β
9. Prove that  = β/ (1+β)
10. Prove that β = / (1- )
11. Explain the input and output characteristics of common emitter
configuration of NPN power BJT(base is used as input terminal)
12.Mention the various regions present in output characteristics of CB and CE
configurations.
13. Draw the model with current gain and model with transconductance.
14. Draw and explain the switching characteristics of power BJT.
15. Define: over drive factor, saturating charge and excess base drive
25

16. Define: storage time constant


17. Define delay time and rise time.
18. Define: storage time and fall time.
19. Write the expressions for tun-on time and turn-off time.
20. Write a short note on secondary breakdown in power BJT.
21. Write a short note on FBSOA.
22. Write a short note on RBSOA.
------------------------------------------**********---------------------------------
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

Power MOSFET

Module objectives;

 To understand the basic structure of power MOSFET and its operation


 To learn about its types and configurations
 To understand the drain and transfer characteristics of MOSFET
 To know its applications

MOSFET(or) IGFET - Metal-Oxide-Semiconductor- FET


(or) Insulated Gate FET

-structure, operation, types, drain characteristics,transfer


characteristics Introduction
MOSFETs have characteristics similar to JFETs and additional
characteristics that make them very useful.

There are 2 types:


2

 Depletion-Type MOSFET (DE-MOSFET)

 E MOSFET Enhancement-Type MOSFET (E-MOSFET)


* MESFET ~ Metal-Semiconductor-Field-Effect Transistor
Power MOSFETs are voltage-controlled devices and require a small input current.
Their switching speed is very high and the switching times are of the order of
nanoseconds.
They are used in low power high frequency applications.
They do not have the problem of second breakdown as typical of power BJTs.
3

Low power MOSFET

 On p-substrate ( body( two heavily doped n+ regions are diffused.


 An insulating layer of silicon di oxide (SiO2) is grown on the surface.
4

 insulating layer is etched in order to embed metallic source and drain


terminals.
 A layer of metal is also deposited on SiO2 layer so as to form the gate of
MOSFET

Disadvantage of n-channel planar MOSFET


 Conducting n-channel in between drain and source gives large on-state
resistance.
 This leads to high power dissipation in n-channel.
 Planar MOSFET is suitable only for low power applications.
Enhancement-Type MOSFET Construction
5

N-channel Enhancement MOSFET

n-channel Enhancement MOSFET-Basic structure


6

Highly resistive P-type substrate

Two blocks of heavily doped n-type material are diffused in the


substrate Surface is coated with layer of SiO 2.
Holes are cut through the SiO2 to make contact with n-type
blocks. Metal is deposited through the holes for source and
drain terminals The Drain (D) and Source (S) connect to the
to n-doped regions.
Metal plate is deposited on the surface area between drain and source.

The Gate (G) connects to the p-doped substrate via a thin insulating
layer of SiO2.

There is no channel.
The n-doped material lies on a p-doped substrate that may have an
additional terminal connection called SS.
7

 An n-channel enhancement-type MOSFET has no channel as shown in


figure.
8

 If VGS is positive, an induced voltage will attract the electrons from the
p-substrate and accumulate them at the surface beneath the oxide layer.
 If VGS ≥ VT (threshold voltage), a sufficient number of electrons are
accumulated to form a virtual n-channel and the current flows from the drain
to source.
 The polarities of VDS, IDS, and VGS are reversed for a p-channel
enhancement-type MOSFET.
Basic structure of n-channel Diffused Metal-oxide-semiconductor (DMOS)
Power MOSFET
9

Power MOSFET –Steady State Characteristics


 The MOSFETs are voltage-controlled devices and have very high input
impedance.
 The gate draws a very small leakage current in the order of nanoamperes.
 The current gain, which is the ratio of drain current, ID, to input gate
current, IG is typically of the order of 109. However, it is not an important
10

parameter.
 The transconductance, gm which is the ratio of drain current to gate voltage,
defines the transfer characteristics and is a very important parameter.

 For the depletion-type MOSFETs, the gate voltage could be either positive or
negative.
 But the enhancement-type MOSFETs respond to a positive gate voltage only.
 The power MOSFETs are generally of enhancement type.
11

n-channel Vs p- channel Enhancement MOSFETs


 A p-channel enhancement-type MOSFET will have a virtual p-channel and
therefore has hole movement that will have a mobility far less than that of the
electrons.
 Therefore in power electronics the n-channel enhancement type power
MOSFET is the one usually used.
 n-channel enhancement MOSFET has faster switching speed and hence
lower turn-on and turn-off times.
 Because of lower switching times its switching frequency will be higher
and its switching losses will be lower than those of the p-channel
enhancement MOSFET.
 n-channel Enhancement MOSFET- Circuit diagram
12

Equivalent circuit

Operation of Enhancement type MOSFET

The Enhancement-type MOSFET only operates in the enhancement


mode
Case:1 When a positive VDS is applied, Gate is open circuited.
Two n-type blocks and p-type substrate form back-to-back pn junctions
connected by resistance of p-type material.

PN junction close to the drain terminal is reverse biased

Only a small ( reverse leakage) current flows from drain to


source. Case:2 Positive VGS is applied (enhancement mode)
 Negative charge carriers (e¯ ) within the substrateare attracted
13

from the substrate to the (positive) metal plate that constitutes


the gate.

 These charge carriers (e¯ ) cannot cross the gate due to SiO2 layer.

 Hence charge carriers (e¯ ) accumulate close to thesurface of the


substrate, thereby creating a channel between drain and source.

 This results in decrease in channel resistance and a increase in


drain current.

 Channel resistance is controlled by VGS

 ID is controlled by VGS
 Channel conductivity is said to be enhanced by positive values of VGS
 Increase in I D with more positive values of VGS,, this mode of
operation is called enhancement mode.
14

VGS is always positive. As VGS increases, ID increases

But if VGS is kept constant and V DS is increased, then I D saturates (IDSS).


The saturation level, V DSsat is reached.

The p-channel Enhancement-type MOSFET is similar to the n-channel


except that the voltage polarities and current directions are reversed.

Output Characteristics of n-channel enhancement MOSFET

 There are three regions of operation-


(1) cut-off region, where VGS ≤ VT ,
(2) pinch-off or saturation region, where VDS ≥ VGS – VT , and
(3) linear region, where V DS ≤ VGS – VT.
The pinch –off occurs at VDS= VGS-VT.
 In the cut-off region, the MOSFET functions as a open switch i.e. VGS ≤ VT
and therefore, I D = 0 and V DS = V DD
 In the linear region, the drain current ID varies in proportion to the
drain-source voltage , VDS.
 Due to high drain current and low drain voltage, the power MOSFETs are
operated in the linear region for switching actions and function as closed
15

switches.
 In the saturation region, the drain current remains almost constant for any
increase in the value of VDS and the transistors are used in this region for
voltage amplification.
 It should be noted that saturation has the opposite meaning to that for bipoloar
transistors.
Parameters - SS Characteristics power MOSFET
 The transconductance, g m determines the transfer characteristics and is a very
important parameter.
It is defined as the ratio of drain current to gate voltage, and is given by,
 gm = 𝑰𝑫 / 𝑽𝑮𝑺│VDS=constant
 The output resistance ro=RDS ,which is defined as
 RDS = 𝚫𝐕𝐃𝐒/ 𝚫𝑰𝑫 is normally very high in the pinch-off region,(typically MΩ)
and very low in the linear region, (typically mΩ ).

Switching model of the power MOSFET

Switching Characteristics of the power MOSFET


16

Switching Characteristics of the power MOSFET are influenced to large extent


by the internal capacitance of the device and the internal impedance of the
gate drive circuit.
The turn-on delay, t d(on) , is the time required to charge the input capacitance
to the threshold voltage level.
 The rise time (tr), is the gate charging time from the threshold level to the
full-gate voltage (VGSP), which is required to drive the MOSFET into the linear
region. ( on-state)
During tr, drain current rises from zero to full on –state current ID
 The turn-on time. ton is given by-
 ton = t d(on)+ t r

 Note:
turn-on time can be reduced by using low impedance gate drive source.
As MOSFET is a majority carrier device, turn-off process is initiated soon after
the removal of gate voltage at time t1.
 The turn-off delay time, td(off) , is the time required for the input
capacitance to discharge from the overdrive gate voltage ( V1), to the
pinch-off region.
 VGS must decrease significantly before V DS begins to rise.
 The fall time (tf), is the time that is required for the input capacitance to
discharge from the pinch-off region to threshold voltage.
 The turn-off time is given by-
t off = t d(off) + t f
During tf, drain current falls from full on –state current ID to zero.
If VGS≤VT , the MOSFET turns off completely.
Note:
MOSFETs are widely used in switched mode power supplies.
Ratings: 500 V, 140 A
Switching waveforms of power MOSFET
17

Features of Power MOSFET


 Power MOSFETs do not exhibit secondary breakdown, and their safe
operating area is limited only by maximum current (the capacity of the
bonding wires), maximum power dissipation and maximum voltage.
 However, Power MOSFETs have parasitic PN and BJT elements within the
structure, which can cause more complex localized failure modes resembling
secondary breakdown.

Assessment questions:
1. What is power MOSFET?
2. Mention the salient features of power MOSFET.
3. Classify power MOSFET
4. What is meant by IGFET?
5. Explain the basic structure of Enhancement type power MOSFET
6. Explain the operation of E-MOSFET.
7.Draw the symbols of N- channel and P-channel E-MOSFET
8.Compare n-channel and p-channel E-MOSFET
18

9. Explain about drain and transfer characteristics of E-MOSFET.


10. Mention the various regions present in the drain characteristics of E-MOSFET.
11.Define: Transconductance, gm and output resistance ro
12. Draw the switching model of the power MOSFET
13. Draw and explain switching characteristics of E-MOSFET.
14. Define turn-on delay time and rise time.

15. Define: turn-off delay time and fall time.

16. Write the expressions for tun-on time and turn-off time.

17. Mention the applications of power MOSFET.


1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

IGBT

Insulated Gate Transistor (IGT)


(or)
IGBTs (Insulated Gate Bipolar Transistors)
(or)
Metal-Oxide-Semiconductor- Insulated gate Transistor MOSIGT
(or)
Gain modulated FET (GEMFET)

Conductively modulated FET (CMFET)


Module objectives

 To understand the basic structure of power IGBT and its operation


 To understand the output and transfer characteristics of MOSFET
 To know about its switching characteristics.
2

Comparison between power BJT and power MOSFET


3

Comparison between power BJT and power MOSFET


4

IGBTs (Insulated Gate Bipolar Transistors)

 Combine the advantages of the power BJT and Power MOSFET.


 IGBT has high input impedance as MOSFET and low on-state power loss as in
BJT.
 IGBTs have the input characteristics of the power MOSFET and the output
characteristics of the power BJT.
* They are used in medium power medium frequency applications.
* They do not have the problem of second breakdown typical of power BJTs.
* An IGBT is turned on by applying a positive gate voltage with respect to emitter
and is turned off by removing the gate voltage.
 Their ratings are higher than those of the power MOSFET but lower than those
of the power BJT,
i.e. (MOSFET)p rating < (IGBT)p rating < (BJT)p rating
 Its conducting losses are lower than those of the MOSFETs but greater than
those of the power BJT,
i.e. (BJT)c losses < (IGBT)c losses < (MOSFET)c losses
 Its switching speed is higher than that of the power BJT but lower than that of
the power MOSFET,
i.e. (BJT)sw speed < (IGBT)sw speed < (MOSFET)sw speed
 Its switching losses are lower than those of the power BJT but higher than
those of the MOSFETs,
i.e. (BJT)sw losses > (IGBT)sw losses > (MOSFET)sw losses
 Thus, the IGBT has properties intermediate between those of the power
MOSFET and power BJT.
5
6

IGBT
7
8

Circuit of an IGBT
9

Output characteristics of IGBT


10

Transfer characteristics of IGBT


11
12
13

Characteristics and Parameters of IGBT

 The terminals are gate, collector, and emitter.


 Typical output characteristics are shown in figure- iC versus vCE for various values
of vGE
 Typical transfer characteristics are shown in figure- iC versus vGE
 The parameters and their symbols are similar to those of power MOSFETs
 The rating of an IGBT can be 1200 V, 400 A with a switching frequency up to
20 kHz.

Switching characteristics of IGBT


14

Applications & Current trends of IGBT

 IGBTs are finding increasingly deployed in medium power applications such


as dc and ac motor drives, power supplies, solid-state relays, and contactors.
 As upper limits of commercially available IGBT ratings are increasing – 6500
V, 2400 A – they are replacing power BJTs and conventional MOSFETs in
many applications.
15
16

Assessment questions:
1. Compare power BJT with power MOSFET
2. Mention the features of IGBT
3. Explain the construction of IGBT
4. Explain the output and transfer characteristics of IGBT
5. Explain the switching characteristics of IGBT
6. Compare IGBT with BJT and MOSFET
17

7. Differentiate punch through and Non punch through IGBT.


8. Mention the applications of IGBT.
9. Define: turn on-delay time, rise time.
10. Define: turn off delay time, initial fall time and final fall time.
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

Thyristors
Silicon Controlled Rectifier (SCR)
-structure-operation-types
-V-I characteristics--Triggering circuit
Switching characteristics
Module objectives
 To understand the basic structure of thyristor
 To understand the different modes of operation and V-I-characteristics of
thyristor
 To know about triggering circuit/firing circuit
 To understand the switching characteristics

Introduction

 Thyristors =Thyratron + Transistor

 Solid state device like transistor

 Has characteristics similar to that of thyratron tube.


2

Silicon Controlled Rectifier


(SCR) Silicon is used for its
construction Controlled Rectifier
 Operation as a rectifier can be controlled with the help of Gate (G) terminal

 Offers very low resistance in the forward direction

 Offers very high resistance in the reverse direction


Thyristors (Self-latching type of Power Semiconductor Devices)
Usually these devices are referred to as thyristors and have more than two pn
junctions
Power semiconductor devices that possess the self-latching property.
The self-latching property is defined as the ability of a power semiconductor
to continue to be in the conduction state even after the gate/control signal is
removed.

 The gate /control signal is therefore used only to turn-on the device and is
not required to sustain conduction.
 The gate/control signal is referred to as the triggering/firing signal and the
corresponding circuit used to generate the signal is called
firing/triggering/control circuit.
 Turn-off process i.e. commutation is relatively more difficult than turn-on in
thyristors

 Commutation is achieved in thyristors using a commutation circuit that


include bulky elements like capacitor, inductor, etc.
3

 The commutation circuit is therefore bulky, costly and occupies


considerable space.
 dv/dt protection is necessary for thyristors ( and other power semiconductor
devices also) and is provided by a well-designed Snubber Circuit.

 SCR – Silicon controlled rectifier

 TRIAC- Bidirectional triode thyristor

 GTO- Gate turn-off thyristor

 IGCT- Integrated gate commutated thyristor

 A thyristors is a four-layer semiconductor device of pnpn-structure with three


pn-junctions.
 It has three terminals- anode, cathode, and gate.
 Because a thyristor is basically a silicon-made controlled device. It is also
known as Silicon-controlled rectifier (SCR).
4

Basic Structure of thyristor

Structure of SCR

 Four layer (P-N-P-N) , three junction, three terminal, semiconductor


switching device
 End P layer acts as anode

 End N layer acts as cathode


P layer nearer to cathode acts as gate
Symbol
5

 Like the diode, SCR is an uni-directional device, that blocks the current
flow from cathode to anode

 Unlike the diode, thyristor also blocks the current flow from anode to
cathode until it is triggered into conduction by a proper gate signal
between gate and cathode terminals
Elementary circuit

Modes of operation:
1.Reverse blocking mode
2.Forward blocking mode
3. Forward conduction mode

 Reverse blocking mode


6

When cathode is made is made positive w.r.to anode with switch S open,
thyristor is reverse biased.
J1 and J3 are seen to be reverse biased

J2 is forward biased

Device behaves as if 2 diodes are connected in series with reverse voltage applied
across them.

A small leakage current of the order of few micro ampere (or) few milliampere
(depending upon the SCR rating) flows.

If the reverse voltage is increased, then at a critical breakdown level ( reverse


breakdown voltage-VBR) an avalanche occurs at J 1 and J3.
Reverse current increases rapidly.
7

When applied reverse voltage is less than V BR, device offers a high impedance in
the reverse direction.
SCR in the Reverse blocking mode acts as open switch

 Forward blocking mode

When anode is made is made positive w.r.to cathode with switch S open,
thyristor is forward biased.

Junctions J1 and J3 are seen to be forward biased


Junction J2 is reverse biased
A small current called forward leakage current flows.
8

In case forward voltage is increased, then the reverse biased J 2 junction will have
an avalanche breakdown at a voltage called forward breakover voltage (VBO).
When forward voltage is less than V BO, SCR offers a high impedance.

A thyristor can be treated as an open switch even in the forward blocking mode.

 Forward conduction mode

Thyristor conducts currents from anode to cathode with very small voltage drop
across it.
A thyristor is brought from forward blocking mode to forward conducting mode
by turning it on by exceeding the V BO (or) by applying a gate pulse between
anode and cathode.
9

Thyristor is in on-state, behaves as a closed switch.


Note:
Voltage drop across thyristor in the order of 1 to 2 V depending on the rating of
SCR.

This voltage drop increase slightly with an increase in anode current.

Anode current is limited by load impedance alone as V.drop across SCR is quite
small.
This small V.drop (VT) across the device is due to ohmic drop in the four layers

V-I characteristics of SCR


10

-------------------------------------------------------------------
11

Thyristor turn-on methods

 Forward voltage triggering

 Gate triggering

 dV/dt triggering

 Temperature triggering

 Light triggering (LASCR)


12
13

Elementary LASCR
14

Structural details of conventional centre gate thyristor


15

 If a thyristor is forward biased, injection of gate current by applying positive


gate voltage between the gate and cathode terminals turn-on the thyristor.
 As the gate current is increased, the forward blocking voltage is decreased as
shown in figure.
 Effect of gate current on forward blocking voltage

SCR/Thyristor –Important parameters

 Latching current, IL – is the minimum anode current required to maintain the


thyristor in the on-state immediately after it has been turned on and the gate
signal has been removed.
 Holding current, IH is the minimum anode current to maintain the thyristor in
on-state. The holding current is in the order of mA and is less than the latching
16

current, IL.
 Forward breakdown voltage, VBO is the forward biasing anode to cathode
voltage, VAK which results in avalanche breakdown of the middle junction J2
of the thyristor and sends it into the conduction state without the gate signal.

 Latching current:

Minimum current required to latch (or) trigger the device from its OFF state to
ON state
Minimum value of anode current which it must attain during turn-on process to
maintain conduction when gate signal is removed.

Associated with turn-on process

IL= 2 to 3 times IH
 Holding current:

Minimum value of current required to hold the device in the ON state.

To turn off the device, anode current should be reduced below the I H value

Associated with turn-off process .

Applications of SCR

 Motor speed control, Light dimming control

 Heater control, Phase control, Relay control

 Battery charger, Inverters, Static switches, Rectifier power supplies

Two transistor version of SCR


17

Switching characteristics of thyristors


18

Thyristor Voltage and current waveforms during turn-on and turn-off


processes

Switching characteristics during turn-on


19
20
21

Switching characteristics during turn-off


22
23
24

Thyristor –Turn-on/Gate Control/Triggering circuit


 The following points are considered in designing the gate control circuit-
 The gate signal should be removed after the thyristor is turned on.
 A continuous signal would increase the power loss in the gate junction.
 When the thyristor is reverse biased there should be no gate signal, otherwise
the thyristor may fail due to an increased leakage current.
25

 The width of the gate pulse t G must be longer than the time required for the
anode current to rise to holding current value I H .
 In practice, the pulse width tG is made greater than the turn-on time, ton of
the thyristor.
26

SCR/Thyristor – Turn-off
 A thyristor in the on-state can be turned off by reducing the forward current
to a level below the holding current, IH.
 Successful commutation is achieved if the anode current is maintained below
the holding current for a sufficiently long time, so that all the excess carriers
in the four layers are swept out or recombined.

Line/ natural Commutated thyristor circuit


27

SCR Commutation –Important parameters


 Turn-off time, tq – is the minimum value of time interval between the instant
when the on-state current has decreased to zero and the instant when the
thyristors is capable of withstanding forward voltage without turning on.
28

 tq = trr + trc
 Reverse recovery time, trr (FB junction) may be defined as the time interval
between the instant the current passes through zero during the changeover
from forward conduction to reverse blocking condition and the instant the
reverse current has decayed to 25% of its peak reverse value, IRR.
 Recombination time, trc - (RB junction) The inner pn-junction J2 requires a
time known as recombination time, trc to recombine the excess carriers.
 Forced Commutated thyristor circuit

Applications of SCR
 Motor speed control
29

 Light dimming control


 Heater control
 Phase control
 Relay control
 Battery charger
 Inverters
 Static switches
* Rectifier power supplies

Assessment questions
1. What is thyristor?
2. What is meant by SCR?
3. Compare SCR with PN diode.
4. Explain the basic structure of thyristor
5. Explain the different modes of operation of thyristor
6. Draw and explain the characteristics of thyristor.
7. Define: holding current
8. Define: latching current
9. Mention the applications of thyristor
10.What is meant by triggering?
11. Mention the different methods of triggering of thyristor.
12. Write a short note on LASCR.
13. Write a short note on gate triggering.
14. Write a short note on forward voltage triggering.
15. Write a short note on temperature triggering.
16. Draw and explain the switching charasteristics of SCR.
17. Define: delay time, rise time.
18. Write a short note on spread time.
19.Write a short note on reverse recovery time
20. Write a short note on gate recovery time.
21. Write the expression for duty cycle.
22. Write a short note on pulse gating and high frequency carrier gating.
23. Differentiate natural commutationa nd forced commutation.
24.Explain how thyristor can be protected against over voltages.
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

TRIAC
- structure
- operation
- types
- V-I characteristics
- applications
• To understand the basic structure of TRIAC
• To understand the different modes of operation and characteristics of
TRIAC
• To know its applications
Introduction
• A Triac is a bidirectional device, it allows current to flow in both the
directions.
• In the SCR family, after the SCR, Triac is the most widely used device for
power control.
2

• Triac is a three-terminal device, with the terminals named as main


terminals 1, 2 (MT1 and MT2) and gate, out of which gate is the control
terminal.
• Triacs with large voltage and current ratings are now available in the market.
(Note that SCR is a unidirectional device).
Basic Structure of TRIAC
3

• A triac is a five layer, three terminal semiconductor device.


• The terminals are marked as MT1, MT2 as anode and cathode terminals in
case of SCR.
• And the gate is represented as G similar to the thyristor.
• The gate terminal is connected to both N4 and P2 regions by a metallic
contact and it is near to the MT1 terminal.
• The terminal MT1 is connected to both N2 and P2 regions, while MT2 is
connected to both N3 and P1 regions.
• Hence, the terminals MT1 and MT2 connected to both P and N regions of the
device and thus the polarity of applied voltage between these two terminals
decides the current flow through the layers of the device.
• With the gate open, MT2 is made positive with respect to MT1 for a
forward biased traic.
• Hence traic operates in forward blocking mode until the voltage across the
triac is less than the forward breakover voltage.
• Similarly for a reverse biased triac, MT2 is made negative with respect to
MT1 with gate open.
• Until the voltage across the triac is less than the reverse breakover voltage,
device operates in a reverse blocking mode.
• A traic can be made conductive by either positive or negative voltage at the
gate terminal.
• Symbol
4

• Its operation is equivalent to two SCRs connected in antiparallel.


• The two main terminals are designated MT1 and MT2 (main terminal 2 and
main terminal 1).
• The gate is near MT1.
• When the gate is open, the triac will block both the polarities of the voltage
across MT1 and MT2 if the magnitude of voltage is less than the breakover
voltage of the device.
• That means the triac will remain in the OFF state.

l, ll, III and VI are the four modes of operation of a TRIAC where I or IIl
represents the quadrant of operation and (+) and (-) signs indicate the direction of
gate current.
The sensitivity of a mode is defined as the minimum gate current required to turn
on a TRIAC in that mode.
The sensitivity of I mode is the highest while that of III mode is the lowest.
5

TRIAC working and Vi Characteristics

• The characteristics of the triac are similar to those of an SCR both in blocking
and conducting states.
• The only difference is that the SCR conducts only in the forward direction
(anode-cathode) whereas the triac conducts in both the directions.
• The other difference in the operation is the triggering mechanism.
• The triac can be turned on by applying either a positive or negative voltage
to the gate with respect to terminal MT.
• Whereas the SCR can be triggered only by a positive gate signal.
• As seen from the Figure, the characteristics of the triac are the same as that of
two back-to-back connected SCRs.
• The effect of gate current is also the same i.e. with an increase in the gate
current the breakdown voltage decreases.
• In triac, the gate current can be positive or negative whereas in SCR the
gate current can be only positive.
• Blocking state or off state.
• Transition or unstable state.
• Conduction state or on the state.
• Depending on the polarity of the voltage applied between its MT2 and MT1
terminals, it will operate in either the first quadrant or the third quadrant as
shown in Figure.
• MT2 positive w.r.t. MT1: Operation is in the first quadrant
• MT2 negative w.r.t. MT1: Operation is in the third quadrant.
6

• Forward blocking state : (MT2 positive with respect to MT1):


• When a forward voltage less than the breakover voltage Vn is applied with the
gate terminal open, the triac can successfully block the forward voltage
without getting turned on.
• Reverse blocking state: (MT1 positive with respect to MT2):
• When the reverse voltage is less than the breakover voltage Vpo with the gate
open-circuited, the triac will block the reverse voltage without getting
turned on.
Conduction or ON state:
• The triac is equivalent to two SCRs connected back to back.
• Therefore it is a bidirectional device that can conduct in positive as well as
negative half cycles of the supply voltage.
• The gate current can be positive or negative.
• The forward and reverse breakover voltage reduces with an increase in
the gate current.
• Depending on the polarity of supply voltage and the polarity of the gate
current the triac can operate in four different modes of operation as follows: l,
ll. lll and IV.
V-I characteristics of TRIAC
7

• The traic function like a two thyristors connected in anti-parallel and hence
the VI characteristics of triac in the 1st and 3rd quadrants will be similar to
the VI characteristics of a thyristors.
• When the terminal MT2 is positive with respect to MT1 terminal, the traic
is said to be in forward blocking mode.
• A small leakage current flows through the device provided that voltage
across the device is lower than the breakover voltage.
• Once the breakover voltage of the device is reached, then the triac turns ON.
• However, it is also possible to turn ON the triac below the VBO by applying a
gate pulse in such that the current through the device should be more than the
latching current of the triac.
8

* Similarly, when the terminal MT2 is made negative with respect to MT1, the
traic is in reverse blocking mode.
* A small leakage current flows through the device until it is triggered by
breakover voltage or gate triggering method.
* Hence the positive or negative pulse to the gate, triggers the triac in both
directions.
* The supply voltage at which the triac starts conducting depends on the gate
current.
* If the gate is current is being greater, lesser will be the supply voltage at which
the triac is turned ON.
Above discussed mode -1 triggering is used in the first quadrant whereas
mode-3 triggering is used in 3rd quadrant.
Note:
* Due to the internal structure of the triac, the actual values of latching current,
gate trigger current and holding current may be slightly different in different
operating modes.
* Therefore, the ratings of the traics considerably lower than the thyristors.
9

• The triac has on and off state characteristics similar to SCR but now the
characteristic is applicable to both positive and negative voltages.
• This is expected because triac consists of two SCRs connected in parallel but
opposite in directions.
• MT2 is positive with respect to MTX in the first quadrant and it is negative in
the third quad rant. The gate triggering may occur in any of the following four
modes.
• Quadrant I operation : VMT2 positive ; VG1 positive
• Quadrant II operation : VMT2 positive ; VG1 negative
• Quadrant III operation : VMT2 negative ; VG1 negative
• Quadrant IV operation : VMT2 negative ; VG1 positive
• where VMT21 and VG1 are the voltages of terminal MT2 and gate with
respect to terminal MT1.

• The device, when starts conduction permits a very heavy amount of current to
flow through it.
• This large inrush of current must be restricted by employing external
resistance, otherwise the device may get damaged.
• The gate is the control terminal of the device.
• By applying proper signal to the gate, the firing angle of the device can be
controlled.
• The circuits used in the gate for triggering the device are called the gate-
triggering circuits.

• The gate-triggering circuits for the triac are almost same like those used for
10

SCRs.
• These triggering circuits usually generate trigger pulses for firing the device.
• The trigger pulse should be of sufficient magnitude and duration so that
firing of the device is assured.
• Usually, a duration of 35 μs is sufficient for sustaining the firing of the device.

Mode 1: MT2 is Positive, Positive Gate Current


11

Mode 2: MT2 is Positive, Negative Gate Current


12

Mode 3: MT2 is Negative, Positive Gate Current


13

Mode 4: MT2 is Negative, Negative Gate Current


14

• Instantaneous on-state voltage – 1.5 Volts


15

• On-state current – 25 Amperes


• Holding current, IH – 75 Milli Amperes
• Average triggering current, IG – 5 Milli Amperes

Advantages of TRIAC
• It is a bi-directional device. So we can control the power delivered to load in
both the half cycles of ac supply.
• It is equivalent to two SCRs connected back to back.
• It can be turned on by using a positive as well as negative gate current.
• It is more suitable for resistive loads.
• Triac is more economical than SCRs since inside the same package we get
two SCRs connected back to back.
• It can control the power delivered to ac loads such as a fan motor.
• It is not necessary to use a protection diode across the Triac.
• A single heat sink can be used..

• Triac can be triggered by both positive and negative polarity voltages applied
at the gate.
• It can operate and switch both half cycles of an AC waveform.
• As compared with the anti-parallel thyristor configuration which requires two
heat sinks of slightly smaller size, a triac needs a single heat sink of slightly
larger size.
• Hence the triac saves both space and cost in AC power applications.
• In DC applications, SCRs are required to be connected with a parallel diode to
protect against reverse voltage. But the triac may work without a diode, a safe
breakdown is possible in either direction.
16

Disadvantages of TRIAC
• It cannot be used as a controlled rectifier.
• Low dv/dt rating than SCR. So the possibility of an accidental turn on is
higher than that of SCR.
• Low di/dt rating.
• Suitable for resistive loads only.
• Not suitable for controlling power to highly inductive loads.
• Its power rating is lower than that of SCR.
• Triggering circuits need to be designed more carefully.
• These are available in lower ratings as compared with thyristors.
• A careful consideration is required while selecting a gate trigger circuit since a
triac can be triggered in both forward and reverse biased conditions.
• These have low dv/dt rating as compared with thyristors.
• These have very small switching frequencies.
• Triacs are less reliable than thyristors.

• Applications of TRIAC
Lamp dimmer
• Proximity detector
• As a static switch
• In the AC voltage stabilizers
• Fan speed regulator
• Flasher circuit
• Temperature controller.
17

Triac as a High Power Switch

As the triac uses low gate voltage and currents to control the high load voltage and
currents, it is often used as switching device in many switching operations.
The figure below shows the use of triac as ON/OFF AC switch to control the high
power lamp.
When the switch S is at position 1, the triac is in forward blocking mode and hence
the lamp remains in OFF state.
If the switch is thrown into position 2, a small gate current flows through the gate
terminal and hence the triac is turned ON.
This further makes the lamp to switch ON to give a full output.
18

Phase control method of TRIAC

Like SCRs, a phase control method of varying average power to the load is also
possible with the triacs.
By controlling the triggering angle in each half cycle of the input AC, the power
delivered to the load is controlled.
The delay for which the triggering is delayed is termed as delay angle and the
angle for which the triac conducts is termed as conduction angle.
The figure below shows the use of triac for phase control method in order to
produce the variable power to the load.
Diodes D1 and D2 passes the current flow to the gate terminal in positive and
negative half cycles respectively.

• As soon as the input AC supply is given to the circuit, triac is in blocking state
(either forward or reverse) provided that the applied voltage is less than the
VBO or gate current is less than the minimum gate current.
• During the positive half cycle of the input, diode D1 is forward biased and
hence a positive gate current is applied to the gate.
• Therefore, the gate is triggered thereby triac comes into the conduction state.
19

• During the negative half cycle of the input, diode D2 is forward biased, hence
the gate current flows through it thereby triac is turned ON.

• Likewise, AC power delivered to the load is controlled in either direction by


applying a proper gate signal.
• The conduction angle of the triac is controlled by varying the resistance R2 in
the above circuit.
Triac Vs SCR

• Triac is a bidirectional device, whereas SCR is a unidirectional device.


• Triac terminals are MT2, MT1 and gate whilst SCR has anode, cathode and
gate terminals.
• For both positive and negative gate currents, traic conducts but with only
direction on gate current turn ON the SCR.
• Four different modes of operation are possible with triac, whereas with SCR
one mode of operation is possible.
• Triac are available in less ratings compared with SCRs.
• Triac characteristics are laying in first and third quadrants while SCR
characteristics lay in the first quadrant.
• Reliability is less compared with SCRs.
Assessment questions
1. What is TRIAC?
2. Compare SCR with TRIAC
3. Explain the basic structure of TRIAC.
4. Explain the different modes of operation of TRIAC
5. Draw and explain the characteristics of TRIAC
20

6. Mention the applications of TRIAC.


7. Mention the advantages of TRIAC.
8. Mention the disadvantages of TRIAC.
9. Explain how Triac is used as a high power switch.
10. Explain the phase control method of TRIAC
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

GTO (Gate Turn-Off Thyristor) and IGCT (Integrated Gate Commutated


Thyristor)
• To understand the basic structure of GTO and its operation
• To understand the switching characteristics of GTO
• To understand the basic structure of IGCT and its operation
• To understand the switching characteristics of IGCT
GTO (Gate Turn-Off Thyristor)
2
3

GTO symbol -Cross section -Equivalent circuit

Symbols of GTO
4

Static V-I characteristics of GTO


5

Basic Gate Drive of GTO


6
7

Voltage and current waveforms during turn-off of GTO


8

Advantages of GTO

* Faster switching speed


• Surge current capability is comparable to SCR
• Has more di/dt rating at turn-on
9

• GTO circuit configuration has lower size and weight


• GTO unit has higher efficiency (increase in gate drive loss and on-state loss
has been compensated by the elimination of forced commutation losses)
• GTO unit has reduced acoustical and electromagnetic noise due to elimination
of commutation chokes.

Disadvantages of GTO
• Magnitude of latching and holding current is more in GTO
• On-state voltage drop and associated loss is more in GTO
• Gate drive circuit losses are more.
• Its reverse voltage blocking capability is less than its forward voltage blocking
capability.
• Gate current requirement is higher due to multi-cathode structure.
Applications of GTO

• Due to the advantages like excellent switching characteristics, no need of


commutation circuit, maintenance-free operation, etc makes the GTO usage
predominant over thyristor in many applications. It is used as a main control
device in choppers and inverters. Some of these applications are
• AC drives, DC drives or DC choppers
• AC stabilizing power supplies
• DC circuit breakers
• Induction heating
• And other low power applications
10

IGCT (Integrated Gate Commutated Thyristor)

Symbol of IGCT
11

Assessment questions
1. What is GTO?
2. Compare GTO with SCR
3. Explain the basic structure of GTO
4. Explain the operation of GTO
5. Draw and explain the switching characteristics of GTO
6. Mention the applications of GTO.
7. Mention the advantages of GTO
8. Mention the disadvantages of GTO
9. What is IGCT?
10. Compart IGCT with GTO
11. Write about switching characteristics of IGCT.
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-Power Semiconductor Devices

Silicon Carbide (SiC) device

Introduction

• Power electronics are fundamental components in consumer electronics and


clean energy technologies.
• For several decades, silicon (Si) has been the primary semiconductor choice
for power electronic devices.
• Due to the many decades dedicated to the development and fabrication
optimization of Si devices, as well as the large abundance of material, the
manufacturing capability is high, and the costs are extremely low.
• However, Si is quickly approaching its limits in power conversion.
* The key for the next essential step towards an energy-efficient world lies in the
use of new materials, such as wide bandgap semiconductors which allow for
greater power efficiency, smaller size, lighter weight, lower overall cost – or all
of these together.
2

* Of the various types of WBG semiconductors, silicon carbide (SiC) have proven
to be the most promising technologies, with several devices already being sold
commercially

• Alternatively, SiC has shown tremendous high temperature capability, as


well as aptitude for high voltage applications.
• Furthermore, the cost of SiC devices has decreased within the last decade, and
the performance has proven superior to that of conventional Si devices.
• Some of the potential application areas for these WBG devices include:
transportation electrification and renewable energy.

Silicon carbide (SiC) is one of the wide bandgap semiconductor materials and its
wide bandgap and high thermal stability make it possible to operate the SiC
devices at very high junction temperatures of over 200°C.
The main advantage of SiC for power device application is its low resistance for
the drift region even when designed to support large voltage.
• Silicon Carbide (SiC) is a new material for power electronics.
• Its physical properties outperform silicon (Si) and gallium arsenide (GaAs)
by far.
SIC BENEFITS
• There are three main physical characteristics of SiC semiconductors which
makes it superior to ordinary Si devices.
• Lower leakage currents.
- Electron-hole pairs generates much slower in SiC than in Si.
- This will reduce the leakage current losses when the switch is off compared to Si
at a given temperature.
3

• Increased critical breakdown strength.


- This implies that the device can withstand a higher voltage in the same package,
or the package insulation can be reduced at the same voltage rating.
- Devices like MOSFET, JFET and the SBD can thereby be created at blocking
voltages approximately an order of magnitude higher than what is possible with Si.
• A higher thermal conductivity allows for more efficient transportation of
heat from the device.
• Additionally, the on-state resistance through the switch is lower, causing
decreased conducting losses.
SiC MOSFET Device
4

Si MOSFET Device

• Conventional Diodes
• Schottky diodes
• Very low reverse recovery time – 50 ns
• Current rating – 1 A < I < 400 A
• Voltage rating – V < 100 V

• Features
• The leakage current is higher than that of a pn-junction diode.
• A Schottky diode with relatively low-conduction voltage has relatively high
leakage current and vice-versa.
• Ideal for low-voltage and high-current dc power supplies
• Silicon Carbide (SiC) Diodes
• The Schottky SiC diodes have ultralow power losses and high reliability.
5

They also have the following features –


• ➢ No reverse recovery time

• Ultrafast switching behaviour

• ➢ No temperature influence on the switching nature


• Silicon Carbide (SiC) Diodes
• The typical storage charge, QRR is 21 nC for a 600 V , 6 A diode and is 23
nC for a 600 V, 10 A, device.
• Limitations ?
High cost
• Relatively lower current and voltage ratings
6

The present Si technology is reaching the material’s theoretical limits and can not
meet all the requirements of the transportation industries.
New semiconductor materials called wide band gap(WBG) semiconductors, such
as Silicon Carbide(SiC),Gallium Nitride(GaN) and Diamond are the possible
materials for replacing Silicon in transportation application.
SiC is a perfect material between silicon and diamond.
The crystal lattice of SiC is exactly similar to silicon and diamond, but exactly half
the lattice sites are filled by silicon atoms and remaining lattice sites by Carbon
atoms.
Like diamond SiC has electronic properties better properties to silicon.
WHY NOT SILICON?
Thermal stability of Si is lower than WBG semiconductors.
The maximum junction temperature limit for most Si electronics is 150ºC.
Conduction and switching loss is more than WBG semiconductors.
Lower breakdown voltage than WBG semiconductors.
Lower saturation drift velocity than WBG semiconductors.
WHY WBG SEMICONDUCTORS ?
Increasing the effectiveness of Si to meet the needs of the transportation industry is
not viable because it has reached its theoretical limits.
Some of the advantages compared with Si based power devices are as follows:
WBG semiconductor-based unipolar devices are thinner and have lower on-
resistance.
Lower Ron also means lower conduction losses; higher overall converter
efficiency is attainable.
WBG semiconductor-based power devices have higher breakdown voltages
because of their higher electric breakdown field; thus, while silicon schottky
7

diodes are commercially available typically at voltages lower than 300V, the first
commercial SiC schottky diodes are already rated at 600V.
WBG semiconductor-based power devices can operate at high temperatures.
The literature notes operation of SiC devices up to 600°C.
On the other hand, Si devices can operate at a maximum junction temperature of
only 150°C.
Forward and Reverse characteristics of WBG semiconductor-based power devices
vary only slightly with temperature and time; therefore, they are more reliable.

WBG semiconductor-based power devices have higher breakdown voltages


because of their higher electric breakdown field; thus, while silicon schottky
diodes are commercially available typically at voltages lower than 300V, the first
commercial SiC schottky diodes are already rated at 600V.
WBG semiconductor-based power devices can operate at high temperatures.
The literature notes operation of SiC devices up to 600°C.
On the other hand, Si devices can operate at a maximum junction temperature of
only 150°C.
Forward and Reverse characteristics of WBG semiconductor-based power devices
vary only slightly with temperature and time; therefore, they are more reliable.

CRYSTAL STRUCTURE AND POLYTYPISM OF SiC


The SiC’s crystalline structure and its polytypic nature influence of polytypism on
the physical properties of SiC.
SiC is a binary compound containing equal amount of ‘Si’ and ‘C’, where Si-C
bonds are nearly covalent with an ionic contribution of 12% (Si positively, ‘C’
negatively charged).
8

The smallest building element of any SiC lattice is a tetrahedron of a Si (C) atom
surrounded by four C(Si) atoms in strong SP3-bonds.
• Therefore, the first neighbour shell configuration is identical for all atoms in
any crystalline structure of SiC.
• The basic elements of SiC crystals are shown in figure: Basic elements of SiC
crystals: Tetrahedrons containing a) one C and four Si b) one Si and four C
atoms

PROPERTIES OF WBG SEMICONDUCTORS


WBG materials have superior electrical characteristics compared with Si.
* High electric breakdown field
* High saturation drift velocity
* High thermal stability
* Superior physical and chemical stability
HIGH SATURATED DRIFT VELOCITY
The high-frequency switching capability of a semiconductor material is directly
proportional to its drift velocity.
The drift velocities of WBG materials are more than twice the drift velocity of
silicon; therefore, it is expected that WBG semiconductor-based power devices
could be switched at higher frequencies than their Si counterparts.
Moreover, higher drift velocity allows charge in the depletion region of a diode to
be removed faster; therefore, the reverse recovery current of WBG
semiconductor- based diodes is smaller, and the reverse recovery time is short.
HIGH THERMAL STABILITY

Junction-to-case thermal resistance, Rth-jc, is inversely proportional to the thermal


9

conductivity, Where, λ is the thermal conductivity, d is the length A is the cross-


sectional area. R th-jc = d λA
COMPARISON OF COMMERCIAL SiC SCHOTTKY DIODES WITH Si
PN DIODES 1)Conduction losses 2)Switching losses
10

SYSTEM LEVEL BENEFITS


The use of SiC power electronics instead of Si devices will result in system level
benefits like reduced losses, increased efficiency, and reduced size and volume.
When SiC power devices replace Si power devices, the traction drive efficiency in
a Hybrid Electric Vehicle (HEV) increases by 10 percentage points, and the heat
sink required for the drive can be reduced to one-third of the original size.

COMMERCIAL AVAILABILITY
As of October 2003, only GaAs and SiC Schottky diodes are available for low-
power applications.
SiC Schottky diodes are available from four manufactures at ratings up to 20A at
600V or 10A at 1200V.
Silicon Schottky diodes are typically found at voltages less than 300V. GaAs
Schottky diodes, on the other hand, are available at rating up to 7.5 A at 500V.
Some companies have advertised controlled SiC switches, but none of these are
commercially available yet.
11

FORECASTING THE FUTURE


WBG semiconductors have the opportunity to meet demanding power converter
requirements.
While diamond has the best electrical properties, research on applying it for high
power applications is only in the preliminary stages.
Its processing problems are more difficult to solve than for any of the other
materials.
GaN and SiC power devices show similar advantages over Si power devices.
GaN’s intrinsic properties are slightly better than those of SiC; however, no pure
GaN wafers are available, and thus GaN needs to be grown on SiC wafers.
SiC power devices technology is much more advanced than GaN technology and is
leading in research and commercialization efforts.
The slight improvement GaN provides over SiC might not be sufficient reason to
use GaN instead of SiC.
SiC is the best suitable transition material for future power devices.

Assessment questions
1. What is WBG?
2. Why WBG is preferred?
3. Why SiC is preferred over Si?
4. Mention the salient features of SiC.
5. Write a short note on SiC Schottky diode.
6.Mention the properties of WBG semiconductors.
7. Write about the system level benefits of SiC.
8. Mention the applications of SiC.
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-POWER SEMI-CONDUCTOR DEVICES

Driver and Snubber Circuits

Module Objectives

• To know about the driver circuit


• To know about the necessity of di/dt and dv/dt protection of power
semiconductor devices such as power BJT and thyristor

Driver Circuit

• The gating circuit is an integral part of a power converter that consists of


power semiconductor devices.
• The output of a converter that depends on how the gating circuit drives the
switching, is a direct function of the switching.
• Therefore, the characteristics of the gating circuit are key elements in
achieving the desired output and the control requirements of any power
2

converter.
• The design of a gating circuit requires knowledge of gate characteristics and
needs of devices such as thyristors, gate-turn off thyristors (GTOs), power
BJTs, power MOSFETs, and IGBTs.
• Because power electronics are increasingly used in applications that require
gate drive circuits with advanced control, high speed , high efficiency, and
compactness, gate drive ICs are becoming commercially available.

• MOSFETs are voltage-controlled devices and they require very low gating
power.
• The gate signals can be isolated by pulse transformers or optocouplers.
• BJTs are current-controlled and require reverse base current during turn-off to
reduce the storage time , but they have low on-state (or saturation) voltage.
• Isolation between the power and gate circuit is necessary and pulse
transformers with very low leakage inductance are a simple way to achieve
this.
• The transformers may be saturated at a low frequency and long pulse.
• Optocouplers require separate power supply.

• For inductive loads, a pulse train reduces thyristors loss and is usually used for
gating thyristors instead of a continuous pulse. UJTs and PUTs are used for
generating triggering pulses
• There are numerous gate drive ICs that are commercially available for gating
power converters.
• These ICs integrate logic, gate isolation, protection, and control functions.
This has made discrete gate circuits obsolete.
3

• For inductive loads, a pulse train reduces thyristors loss and is usually used for
gating thyristors instead of a continuous pulse. UJTs and PUTs are used for
generating triggering pulses
• There are numerous gate drive ICs that are commercially available for gating
power converters.
• These ICs integrate logic, gate isolation, protection, and control functions.
This has made discrete gate circuits obsolete.

 Dedicated power conversion processors (PCPs) can integrate many functions


such as protection and soft shutdown for the inverter stage, current sensing,
analog-to-digital conversion for use in the algorithm for closed loop current
control, soft charge of the dc bus capacitor, etc.
 An appropriate PCP should be integrated in a modular fashion with suitably
defined serial communication protocol for local or remote control, for instance, in
a compact motor drive.

Thyristor Protection
4
5

Design of Snubber Circuit


6

Protection of Power Semiconductor Devices

• di/dt and dv/dt protection is necessary for power semiconductor devices.


• The di/dt and dv/dt limits are indicated in the data sheets of the devices.
• di/dt protection is provided by connecting an appropriate inductor in series
with the device.
• dv/dt protection is provided by a well designed Snubber circuit connected
across the device.
7

di/dt and dv/dt Limitations in Power BJTs


• Transistors require finite turn-on and turn-off times.
• Neglecting the delay time, td and storage time ts , the typical voltage and
current waveforms during switching are shown in figure.
• During turn-on, the collector current rises and the di/dt is-
• 𝐝𝐢/ 𝐝𝐭 = Δ𝐢𝐜/ 𝐭𝐫 = 𝐈𝐂𝐒/ 𝐭𝐫 (1)
• During turn-off, the collector-emitter voltage rises corresponding to the fall in
the collector current, and the dv/dt is-
• 𝐝𝐯/ 𝐝𝐭 = Δ𝐯𝐜𝐞/𝐭𝐟 = 𝐕𝐜𝐜 /𝐭𝐟 (2)
Voltage and current waveforms during switching

• The di/dt and dv/dt conditions in (1) and (2) are determined by the transistor
switching characteristics and must be satisfied during turn-on and turn-off.
• Protection circuits are normally required to keep the operating di/dt and
dv/dt within allowable limits of the transistor.
• A typical transistor switch with di/dt and dv/dt protection is shown in figure
8

along with the operating waveforms.


Transistor switch with di/dt and dv/dt protection

di/dt and dv/dt protection of thyristor


9

dv/dt protection of thyristor

di/dt and dv/dt protection of power semiconductor devices

• The RC series combination network connected across the power


semiconductor device to limit the dv/dt is known as the snubber circuit , or
snubber.
•  The inductor Ls connected in series with the power semiconductor device
which limits the di/dt is sometimes called a series snubber.
Assessment questions
1. Write the importance of driver circuit.
2. Explain about di/dt protection
10

3. Explain about dv/dt protection.


4. Write about design of a snubber circuit .
5. Differentiate series snubber and shunt snubber.
1

SSN College of Engineering

( An Autonomous Institution, Affiliated to Anna University)

Academic Year -2021-22

Department of EEE

Class : III Year /V Sem EEE

UEE 1504-Power Electronics

Course Instructor-Dr.R.Deepalaxmi, Asso.Prof/EEE, SSNCE

UNIT-I-POWER SEMI-CONDUCTOR DEVICES

Firing and Commutation Circuits

Module Objectives

• To know about the various types of firing circuits


• To know about the various types of commutation circuits

Firing circuits of thyristors


2

Main features of Firing circuits

A general layout of the firing circuit scheme for SCRs


3

a) Resistance Firing Circuits


• Simplest and most economical
• Suffer from limited range of firing angle control (0º to 90º)
• Greater dependence on temperature and difference in performance between
individual SCRs.
4
5

Resistance Firing of SCR in a half wave rectifier circuit with DC load (a) No
triggering of SCR
6

Resistance Firing of SCR in a half wave rectifier circuit with DC load (b)
α=90º
7

Resistance Firing of SCR in a half wave rectifier circuit with DC load (c)
α<90º
8
9

(b) RC firing circuits


• Limited range of firing angle control of resistance firing circuit will be
overcome by RC firing circuits

(i) RC half wave firing circuits


10
11

(ii) RC full wave firing circuits


12
13

Commutation circuits

Class A commutation-Load commutation ( self commutation/ resonant


commutation)
14

Class B- Resonant Pulse commutation


(Current commutation)
15
16
17

Class C – Complementary commutation


18
19

Class D- impulse commutation


(Auxiliary commutation/ voltage commutation/parallel capacitor
commutation)
20
21

Class E- External Pulse commutation


22

Class F- Line commutation (Natural commutation)


23
24

Assessment questions:
1. Explain the operation of Resistance firing circuit.
2. Draw and explain the general layout of the firing circuit scheme for SCRs.
3. Explain the operation of half wave resistance-capacitance firing circuit.
4. Explain the operation of full wave resistance-capacitance firing circuit.
5. What is meant by commutation
6. Mention the different types of commutation.
7. Differentiate line, load and forced commutation.
8.Explain about the Class A commutation circuit.
9. Explain about the Class B commutation circuit.
10. Explain about the Class C commutation circuit.
11. Explain about the Class D commutation circuit.
12. Explain about the Class E commutation circuit.
13. Explain about the Class F commutation circuit.

You might also like