Professional Documents
Culture Documents
Codigo CP
Codigo CP
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity design is
Port (sensor, clk, reset: in STD_LOGIC;
Contador_caj: out STD_LOGIC_VECTOR (2 downto 0);
Contador_paq: out STD_LOGIC_VECTOR (3 downto 0)
);
end design ;
component flanco
Port ( Q, clok, reset: in STD_LOGIC;
salida : out STD_LOGIC;
);
end component;
component contcajas
Port (reset_c, enable_c: in STD_LOGIC;
Salida_c : out STD_LOGIC_VECTOR (2 downto 0)
);
end component;
component contpaq
Port ( reset_p, enable_p : in STD_LOGIC;
Control: out STD_LOGIC;
Salida_p : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
begin
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Simulacion is
end Simulacion;
component desing
);
end component;
begin
Contador_paq=> Contador_paq,
Contador_caj=> Contador_caj
);
process begin
end process;
process begin
end process;
process begin
wait;
end process;
end Behavioral;
--------------------------------------------------------------------------------
-- Nombre: CAMILA FERNANDA MANTILLA ARIAS
-- Documento: 1098662350
-- Fecha: 29/06/2022
-- Proyecto: COMPONENTE PRACTICO
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity flanco is
Port (Q, clok, reset: in STD_LOGIC;
salida: out STD_LOGIC
);
end flanco;
signal D: STD_LOGIC;
begin
process (clok)
begin
if clok 'event and clok ='1' then
if reset = '1' then
C <= '0';
else
C <= Q;
end if;
end if;
end process;
salida <= Q and not C;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Simulacion is
end Simulacion;
component desing
);
end component;
signal clk : STD_LOGIC:= '0';
begin
Contador_paq=> Contador_paq,
Contador_caj=> Contador_caj
);
process begin
end process;
process begin
end process;
process begin
wait;
end process;
end Behavioral;