Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 13

BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 2


CÁC IC CHỨC NĂNG CƠ BẢN

MỤC TIÊU
 Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.
 Nắm được cách khảo sát và thiết kế hàm boolean sử dụng các IC chức năng cơ bản.
 Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ
 Đọc phần phụ lục Thí nghiệm 2 và Bài thí nghiệm 0 của tài liệu hướng dẫn phần Thí
nghiệm trên kit DE2.

PHẦN LÀM TRÊN DIGIB

THÍ NGHIỆM 1

Mục tiêu: Nắm được hoạt động của các IC chức năng cơ bản 74LS138, 74LS148, 74LS151,
74LS47, 74LS85, 74LS283.

Yêu cầu: Sinh viên ghi lại các bảng chân trị của các IC chức năng đã học trong lí thuyết và kiểm
chứng lại thực tế hoạt động của các IC.

Lưu ý: Khi khảo sát, chú ý mức tích cực của các chân ở ngõ ra và ngõ vào.

Hướng dẫn: Ví dụ về khảo sát 74LS151

74LS151 có bảng chân trị

Input Output

EN S2 S1 S0 Y Y

1 x x x 1 0

0 0 0 0 D0 D0

0 0 0 1 D1 D1

Bộ Môn Điện Tử Page | 1


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

0 0 1 0 D2 D2

0 0 1 1 D3 D3

0 1 0 0 D4 D4

0 1 0 1 D5 D5

0 1 1 0 D6 D6

0 1 1 1 D7 D7

Để khảo sát hoạt động của IC ở board CLF, nối ngõ vào của IC với SW trên board DI, nối ngõ ra
với đèn LED trên board DO. Sinh viên tiến hành khảo sát theo nguyên tắc:

- Mức 1 ở ngõ vào – SW được gạt lên, mức 0 ở ngõ vào – SW được gạt xuống.

- LED sáng – ngõ ra mức 1, LED tắt – ngõ ra mức 0.

Với EN = 1, kiểm tra ngõ ra có phụ thuộc vào các giá trị ngõ vào D i hay không.

Với EN = 0, kiểm tra sự phụ thuộc của ngõ ra vào các giá trị ngõ vào D i.

Kiểm tra:

 Sinh viên tiến hành khảo sát hết các cổng có trên board CLF và điền kết quả vào Bảng 1.

Bảng 1: Kết quả khảo sát các cổng trên board CLF

Chức năng Mã IC Mô tả HĐ (Đ/S) Ghi chú

Lấy 3 dữ liệu 74LS138 Các ngõ trạng thái Các trường hợp ngõ
đầu vào và E1=1, E2=0, E3=0: trạng thái còn lại:
chuyển thành đầu vào tối thiểu toàn bộ ngõ ra là 1
dữ liệu 8-bit CBA là 000 và đầu
vào tối đa có thể là
111. Ở đầu vào tối
thiểu, đầu ra sẽ là 0
tại Y0 và ở đầu vào
tối đa, đầu ra sẽ là 0
tại Y7

Bộ Môn Điện Tử Page | 2


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Giải mã 8 74LS148 EI mức cao, toàn bộ EI mức thấp và mức


đường dữ liệu ngõ ra mức cao. EI thấp lần lượt từ 7 về
đầu vào thành 3 mức thấp, còn lại 0, thì ngõ ra GS mức
đường đầu ra mức cao thì ngõ ra thấp, EO mức thấp
EO mức thấp, còn lại
mức cao. EI mức thấp
và mức thấp lần lượt
từ 7 về 0, thì ngõ ra
A2A1A0 sẽ mức thấp
ở vị trí bit 1 từ 111 về
000

Chọn dữ liệu 8 74LS151 EN =1, thì Y=1 và Y


sang 1 =0. EN=0 và CBA từ
000 đến 111 (0 đến 7
tượng trưng cho D0
đến D7), thì ngõ ra Y
là chính D0 đến D7,Y
là lấy bù từ D0 đến
D7

Giải mã cho 74LS47 BI/RBO, RBI, LT Từ DCBA tương


LED 7 đoạn (chân điều khiển của đương 1010 đến
7447, tùy thuộc vào 1110, LED 7 đoạn sẽ
nhu cầu sẽ nối khác hiển thị 5 kí hiệu
nhau). DCBA mức khác nhau, ở 1111,
cao ở vị trí bit 1 từ LED 7 đoạn sẽ tắt hết
0000 đến 1001, LED
7 đoạn sẽ hiển thị 1
đến 9

So sánh 2 số nhị 74LS85 So sánh từ bit trong Trường hợp tất cả các
phân 8 bit số cao nhất đến trọng bit của 2 số A và B

Bộ Môn Điện Tử Page | 3


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

số thấp nhất (từ A3 đều bằng nhau, sẽ


và B3 đến A0 và B0) dựa vào các ngõ vào
từ đó đáp án sẽ là bit nối chồng A>B,
1 ở ngõ ra A>B, A<B A<B, A=B để ra đáp
án: khi A>B và A<B
đều là 1 thì không có
đáp án, khi cả 3 ngõ
vào nối chồng đều là
0 thì ngõ ra là 1 ở cả
A>B và A<B, khi
A=B là 1 thì ngõ ra là
1 ở A=B

Thực hiện chức 74LS283


năng bộ cộng
full 4-bit

THÍ NGHIỆM 2

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean f ( x , y , z )=∑ ( 2,3,5,7 ) sử dụng IC chức năng
74LS151.

Kiểm tra:

 Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 2.

 Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 2.

Bộ Môn Điện Tử Page | 4


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

x y z f fTest

0 0 0 0 0

0 0 1 0 0

0 1 0 1 1

0 1 1 1 1

1 0 0 0 0

1 0 1 1 1

1 1 0 0 0

1 1 1 1 1

Bảng 2: Kết quả khảo sát hoạt động của Hình 2: Mạch mô tả hàm boolean
hàm boolean

- Kết quả: Đúng

 Sinh viên thực hiện thiết kế lại mạch thực hiện hàm boolean 4 biến sau:

f ( x , y , z , w )=∑ ( 2,6,8,9,11,13 )

Bộ Môn Điện Tử Page | 5


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bộ Môn Điện Tử Page | 6


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Sinh viên tiến hành vẽ lại mạch thiết kế và lắp lại mạch kiểm tra.

- Kết quả: Đúng

Bộ Môn Điện Tử Page | 7


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 3

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean f ( x , y , z )=∑ ( 0,3,4,7 ) sử dụng IC chức năng
74LS138.

Kiểm tra:

 Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 3.

 Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 3.

Bộ Môn Điện Tử Page | 8


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

x y z f fTest

0 0 0 1 1

0 0 1 0 0

0 1 0 0 0

0 1 1 1 1

1 0 0 1 1

1 0 1 0 0

1 1 0 0 0

1 1 1 1 1

Bảng 3: Kết quả khảo sát Hình 3: Mạch mô tả hàm boolean


hoạt động của hàm
boolean

 Mạch được thiết kế có hoạt động đúng hay không?

- Mạch hoạt động đúng

 Trong mạch trên sử dụng cổng NAND mấy ngõ vào? Làm sao để thực hiện trên board?

- Sử dụng cổng NAND 4 ngõ vào. Để thực hiện được trên board, dùng 1 cổng NAND 3 ngõ
vào và 1 cổng NAND 2 ngõ vào

Bộ Môn Điện Tử Page | 9


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

PHẦN LÀM TRÊN KIT DE2

THÍ NGHIỆM 4

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên
DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS138 bằng VHDL và đổ chương trình xuống kit DE2 để
kiểm tra hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW10
Chân B được nối với SW11
Chân C được nối với SW12
Chân D0 đến D7 được nối với SW0 đến SW7
Chân EN được nối với SW15
Chân Y và /Y được nối với LED0 và LED1
Thiết kế:

 Sơ đồ mức cổng của thiết kế.

Sơ đồ mức cổng của thiết kế

Bộ Môn Điện Tử Page | 10


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.

 Kết quả mô phỏng dạng sóng.

 Kết quả RTL viewer.

Bộ Môn Điện Tử Page | 11


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 5

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên
DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS151 bằng VHDL và đổ chương trình xuống kit DE2 để
kiểm tra hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW0
Chân B được nối với SW1
Chân C được nối với SW2
Chân G1 được nối với SW13
Chân G2A được nối với SW14
Chân G2B được nối với SW15
Chân Y0 đến Y7 được nối với LED0 đến LED 7

Thiết kế:

 Sơ đồ mức cổng của thiết kế.

Sơ đồ mức cổng của thiết kế

Bộ Môn Điện Tử Page | 12


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.

 Kết quả mô phỏng dạng sóng.

 Kết quả RTL viewer.

Bộ Môn Điện Tử Page | 13


Thí Nghiệm Kỹ Thuật Số

You might also like