Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

2850 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 68, NO.

8, AUGUST 2021

SAT-Based Integrated Hardware Trojan Detection


and Localization Approach Through
Path-Delay Analysis
Mohammad Sabri, Ahmad Shabani , and Bijan Alizadeh , Senior Member, IEEE

Abstract—With the rapid growth in IC outsourcing in the ICs in the presence of process variations [7]. Recently, authors
semiconductors industry, concerns have increased about the of [8] proposed an automated test pattern generation scheme
weakening ICs security against hardware Trojan attacks. In this to generate test vectors that are likely to activate trigger
brief, an integrated hardware Trojan detection and localization condition, and change critical paths. However, this method
methodology is presented by employing the proposed SAT-based
is solely able to detect timing anomaly of trigger-activated
test pattern generation scheme and the MUX-based debugging
technique. The experimental results show that our methodology Trojans, which is not always possible, especially for hard-to-
can effectively detect timing anomalies in the path-delays caused detect Trojans. Authors of [9] developed a learning assisted
by hardware Trojans with node coverage around 97% as well as delay-based analysis methodology to detect HTs by train-
localizing all Trojan’s gates with a localization resolution around ing a neural network for correlating the static timing data to
99.6%. Moreover, all timing error sites are successfully identified delay information obtained from clock sweeping [3]. Although
with zero False Negative and 0.56% False Positive rates. various hardware Trojan defensive approaches have recently
Index Terms—Hardware Trojan, boolean satisfiability, Trojan been introduced, the localization problem has been paid much
detection, hardware security, delay analysis, SAT. less attention than it deserves. Authors of [10] developed
a solution for detection and localization of Trojans by analyz-
ing the power consumption characteristics. Nevertheless, this
I. I NTRODUCTION approach is only able to estimate the Trojan-infected section,
resulting in a low localization resolution.
ITH the rapid growth in globalization of Integrated
W Circuits (ICs) design and fabrication processes, ICs are
becoming more vulnerable to malicious activities introduced
In this brief, an integrated methodology for Trojan
DEtection and Localization through Path-delay Analysis called
DELPA is presented by means of the proposed Satisfiability-
by Hardware Trojans (HTs) [1]. Trojans are potent yet stealthy
based (SAT-based) test pattern generation and Multiplexer-
attacks that are inserted by the untrusted entities to change
based (MUX-based) debugging technique. To the best of our
the genuine functionality, degrade performance, or steal vital
knowledge, this is one of the first attempt to integrate both
information of the chip. In recent years, there has been a sig-
Trojan detection and localization through path-delay analysis.
nificant effort in detecting various models of hardware Trojans
The main contributions of this work are as follows:
by either employing logic testing [2] or side channel-based
1) Presenting a new methodology for localizing Trojan’s
analysis approaches [2]–[5]. The side channel-based analysis
gates inserted by an untrusted foundry using MUX-
approaches are focusing on the anomaly in the circuit parame-
based debugging technique so that the problem is
ters (e.g., delay, power, and temperature) introduced by Trojans
adapted to a SAT instance and the MUXs selected by
to separate infected ICs from the golden ones [3]. The alter-
SAT engine would select which nodes are likely to be
native defensive approach is to modify the original circuit to
the possible Trojan location.
facilitate the post-silicon detection [2], [4]–[6]. Considering
2) Developing an automated SAT-based test generation
the path-delay analysis, authors of [3] introduced a clock-
scheme through path-delay analysis alongside the clock-
sweeping technique to measure path-delays so that it can
sweeping technique for measuring the genuine path-
generate signatures for each IC to distinguish Trojan-infected
delays [3].
circuits. They leveraged statistical approach which has been
3) Scaling up the proposed methodology to diagnosis
proved to be highly effective in identifying Trojan infected
multiple timing error sites caused by different parts of
Manuscript received February 4, 2021; revised March 17, 2021; accepted Trojan circuit.
April 13, 2021. Date of publication April 20, 2021; date of current version The rest of this brief is organized as follows. The basic back-
July 30, 2021. This work was supported in part by the Iran National Science ground and DELPA methodology is introduced in Section II.
Foundation (INSF) under Grant 98006098. This brief was recommended by The detection and localization phases will be further discussed
Associate Editor X. Li. (Mohammad Sabri and Ahmad Shabani contributed
equally to this work.) (Corresponding author: Bijan Alizadeh.)
in Sections III and IV. Finally, the experimental results and
The authors are with the Design, Verification, and Debugging of Embedded conclusion are provided in Sections V and VI.
Systems Laboratory, School of Electrical and Computer Engineering, College
of Engineering, University of Tehran, Tehran 14395-515, Iran (e-mail: II. PATH -D ELAY T ESTING AND
sabri@ut.ac.ir; ah.shabani@ut.ac.ir; b.alizadeh@ut.ac.ir). M EASUREMENT T ECHNIQUE
Color versions of one or more figures in this article are available at
https://doi.org/10.1109/TCSII.2021.3074549. In order to inspect anomaly in path-delays, generally two-
Digital Object Identifier 10.1109/TCSII.2021.3074549 vector sequences <V1 , V2 > are employed. By applying the
1549-7747 
c 2021 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See https://www.ieee.org/publications/rights/index.html for more information.

thorized licensed use limited to: AMRITA VISHWA VIDYAPEETHAM AMRITA SCHOOL OF ENGINEERING. Downloaded on September 03,2021 at 12:18:49 UTC from IEEE Xplore. Restrictions app
SABRI et al.: SAT-BASED INTEGRATED HARDWARE TROJAN DETECTION AND LOCALIZATION APPROACH THROUGH PATH-DELAY ANALYSIS 2851

Fig. 2. Proposed stuck at ‘1’ and ‘0’ models to generate test pairs <V1 , V2 >.

Algorithm 1: SAT-Based Test Patterns Generation


Input: Circuit netlist
Output: Test pattern pairs (TP)
1: for each gate in circuit netlist do
2: if Gate.Type ∈ {‘nand’, ‘and’, ‘or’, ‘nor’} then
Fig. 1. The proposed DELPA methodology. 3: Generate Stuck at ‘1’ & ‘0’ models
4: for each oi ∈ O do // O is a set of circuit outputs
5: Assign oi ← 1// ← assign operator
6: Run SAT solver
initialization vector (V1 ) to the launch flip-flips at time 7: if SAT solver returns UNSAT then
t0 , the circuit is allowed to be stabilized under vector V1 . 8: Remove constraint of oi ← 1
Next, the propagation vector (V2 ) is applied at time t1 , and 9: Continue
the outputs are sampled at t2 time from capture flip-flops. 10: else
11: Extract (V1 , V2 ) from SAT solver results
To measure the path-delays with high resolution, two tech-
12: Update TP with (V1 , V2 )
niques including single-clock and dual-clock schemes are 13: Break
mainly employed [11]. In the first scheme, the test pattern 14: end
pairs <V1 , V2 > are repeatedly applied to the circuit under 15: end
test (CUT). For each pair, the frequency of clock C1 is iter- 16: end
atively increased so that the launch and capture edges are 17: end
closed together. This process will continue until the capture
flip-flops capture the faulty output. Finally, the estimated path
delay is calculated as 1/Fs, where Fs represents the stop
point frequency at which faulty output is captured by capture embedded structures to circuit to obtain the genuine tim-
flip-flops. The second scheme, dual-clock, requires repeated ing fingerprints [12]. The final step in detection phase is
application of test pattern pairs with the difference that the to compare the suspected ICs’ fingerprints with the golden
phase of the capture clock C2 is decremented by a small t one to distinguish Trojan-infected ICs. If the presence of
relative to C1. Trojan is confirmed, the two-vector sequences by which the
timing anomaly is manifested are considered as counter exam-
ples (CXs). Otherwise, the circuit is considered as Trojan-free.
In localization phase, the main goal is to determine the
III. P ROPOSED I NTEGRATED M ETHODOLOGY (DELPA)
possible Trojan locations (PTLs) with high resolution.
In this brief, the Trojan threat model can be any malicious
modification on the main circuit, which is mounted by an
untrusted foundry. This includes any tampering resulting in an A. SAT-Based Test Pattern Generation (Pre-Silicon Stage)
added capacitance to a path, which either could be an added Unlike the clock-sweeping technique where the target test
payload to some paths or Trigger unit incorporating internal pattern are selected randomly from ATPG tool, our determin-
nets of the circuit. Fig. 1 shows the integrated flow of the istic SAT-based test pattern can efficiently target only the most
proposed DELPA methodology. The proposed methodology vulnerable nets which are more likely to be selected by a care-
mainly consists of two phases of detection and localization ful attacker. To create a distinct transition on each circuit net,
in which each phase includes some routines in both pre- two-vector sequence is generated by sequentially sticking each
silicon and post-silicon stages. In pre-silicon stage, the goal net at ‘1’ and ‘0’ values. Algorithm 1 describes the proposed
is to generate the test pattern pairs in such a way that all SAT-Based test patterns generation scheme. The algorithm is
the circuit paths are activated to expose any malicious timing fed with the circuit netlist, and its output is the test pattern
variations. By applying the generated test pattern pairs to the pairs (TP) by which the circuit paths are sensitized. To reduce
golden IC in post-silicon stage, the genuine functionality and the number of test patterns, it is avoided to generate test pat-
timing specifications are obtained in the presence of process terns for transparent gates. The transparent gate refer to the
variation. The golden ICs can be derived by either exhaus- gate whose transitions on its inputs will directly propagate to
tive testing approaches on a limited samples of fabricated ICs its output such as NOT, BUF, and XOR gates. This action
or destructive reverse engineering-based methods [6]. Instead, decreases the number of candidate nets, as only one net for
one can leverage reference-free approaches by adding some each transparent gate is required for test pattern generation.

thorized licensed use limited to: AMRITA VISHWA VIDYAPEETHAM AMRITA SCHOOL OF ENGINEERING. Downloaded on September 03,2021 at 12:18:49 UTC from IEEE Xplore. Restrictions app
2852 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 68, NO. 8, AUGUST 2021

Algorithm 2: Trojan Localization Procedure


Input: Circuit netlist, Extracted CXs (CX)
Output: Possible Trojan location (PTL)
1: Convert circuit netlist to untimed model
2: foreach Cxj ∈ CX
3: Add Cxj constraints to untimed circuit
4: End
5: Add localization cardinality constraint to untimed circuit
Fig. 3. (a) Trojan-infected circuit, (b) Timing characteristics of Trojan-free
and Trojan-infected circuits. 6: Set NL ← 1 : NL is the number of timing error sites
7: Translate circuit into CNF formula
8: While (1) do
9: Run SAT solver
First of all, stuck at ‘1’ and ‘0’ models are generated for each 10: if SAT solver returns UNSAT then
11: if PTL = {} then
target net (Line 3) by duplicating the circuit, and imposing cor- 12: Increment NL
responding ‘1’ and ‘0’ values on target net of the faulty circuit, 13: else
and eventually XORing outputs of duplicated circuit with those 14: Break
of faulty outputs. An example of stuck at ‘1’ and ‘0’ models is 15: end
shown in Fig. 2. By adjusting circuit outputs (i.e., XOR gates) 16: else
iteratively to logic ‘1’ (Lines 4-5), and running SAT Solver 17: Sse ← Find MUXs are selected by SAT solver
(Line 6), the gates located on the sensitized path are limited 18: Add  Sse to PTL list
to their controlling values allowing the generated transition 19: Add i={Sse } Si constraint to CNF formula
to be propagated toward adjusted output. If the SAT solver 20: end
returns a truth assignment (i.e., SAT), the test pattern pair 21: End
<V1 , V2 > extracted from the SAT solver is added to TP list
(Lines 10-12). Otherwise, it implies that the transition cannot
be propagated to adjusted output, thus another circuit out-
put should be selected (Lines 7-9). According to the example IV. P ROPOSED MUX-BASED T ROJAN L OCALIZATION
shown in Fig. 2, setting O2_0 and O2_1 will generate a solu-
In this section, we introduce the proposed SAT-based
tion as <V1 , V2 > = <[0, 0, 1, 0, 0, 1], [0, 0, 1, 0, 1, 1]>. The
approach to localize the trace of inserted Trojans using the
number of SAT calls for Algorithm 1 in the worst case is
MUX-based debugging technique. The key idea behind the
O(N × K), where N is the total number of compacted circuit
localization approach is that the faulty output not only depends
nets and K represents the total number of circuit outputs. One
on the propagation vector, but also on the initialization vec-
effective way to lower the complexity of Algorithm 1 is to
tor. Therefore, the PTLs are those nets that cause the faulty
skip the nets with high transition probability or nets located
output at the sampling time if they are just fed with the initial-
on the very long or very short paths since there is a less
ization vector. The localization phase consists of three main
delay variation in shorter paths. In fact, a careful attacker
steps: 1) converting circuit to untimed model, 2) appending
would select the trigger’s inputs or payload of Trojan from the
localization constraints to CNF, and 3) SAT-based multiplexer
most vulnerable nets, where they belong to the longest path
selection. In the first step, all the gates with a delay larger than
of the shortest paths [6]. Note that unlike the clock-sweeping
one-unit are replaced by a gate with one-unit delay and sur-
method, to allow applying two consecutive test patterns to
plus delay is compensated by adding extra buffers. For a wire
sequential circuits, we used a design for test (DFT) structure,
with a non-zero delay, the number of added buffers is pro-
known as the enhanced scan test, where the conventional scan
portional to the wire delay. In order to adapt the localization
circuit is enhanced by inserting hold latches and additional
problem to SAT instance, two localization constraints: 1) CX
hold signal [13].
constraint and 2) localization cardinality constraint (LCC) are
added in the next step in the form of conjunctive normal
form (CNF) such that the circuit netlist is updated by adding
B. Distinguishing Trojan-Infected ICs (Post-Silicon Stage) new hardware associated with each constraint. The first local-
We first obtain the genuine delay model of final post-place ization constraint models the timing error constraints for each
and route stage during physical design. Then, the proposed test Cxj = <v1 , v2 >, 1 ≤ j ≤ k, where k is the total number of
pattern pairs are applied to the delay model. We leverage the CXs. To represent potential timing error sites, extra multiplex-
clock-sweeping technique [3] to measure genuine Delay (GD) ers (MUXs) are added to the circuit as shown in Fig. 4. To do
in the presence of process variations. The same test pattern so, the untimed circuit model is duplicated for each Cxj . Then,
pairs are also applied to the suspected IC, and the outputs are one of the duplicated circuit (i.e., delayed circuit) are supplied
sampled at the end of GD, and compared with the original with v1 , and the MUXs are added to every gate’s output of
output values. If the sampled outputs and the original ones the other duplicated circuit, where its primary inputs are fed
are not logically matched, the CUT is set as Trojan-infected, with v2 of the same Cxj . Finally, the constraints related to v1 ,
and the test pattern pairs exposing the timing anomaly are v2 and the faulty output are appended to CNF by adding new
specified as CXs. Fig. 3(a) shows the same circuit example unit clauses. The second constraint (i.e., LCC) encodes lim-
of Fig. 2 tampered with a simple Trojan’s payload (i.e., XOR itation on the cardinality of timing errors. A new hardware
gate). After applying the generated V1 and V2 sequentially to is utilized for LCC as shown in Fig. 4. The number of tim-
the circuit, the faulty value (i.e., logic ‘1’) is manifested at ing error sites for which the localization is to be repeated is
faulty output N11 at the sampling time (time step 5). a user-specified parameter NL called localization cardinality.

thorized licensed use limited to: AMRITA VISHWA VIDYAPEETHAM AMRITA SCHOOL OF ENGINEERING. Downloaded on September 03,2021 at 12:18:49 UTC from IEEE Xplore. Restrictions app
SABRI et al.: SAT-BASED INTEGRATED HARDWARE TROJAN DETECTION AND LOCALIZATION APPROACH THROUGH PATH-DELAY ANALYSIS 2853

TABLE I
T EST PATTERN G ENERATION R ESULTS OF D IFFERENT
B ENCHMARK C IRCUITS

Fig. 4. Applying CX constraint and LCC to the netlist under test.

The reason behind selection of NL is that the different parts of


Trojan circuit might cause different timing deviations. Since information of final physical design included in the standard
the designer is not aware of Trojan model, the proposed local- delay format (SDF). We have randomly selected Trojans with
ization algorithm usually starts with NL = 1, and then its value different number of injected timing error sites (i.e., NL ) from
increases if it fails to return any solutions. We impose LCC the most vulnerable nets. To find the vulnerable nets, the short-
to implicitly enumerate subsets of NL select lines of MUXs est path passing the net is found and selected. Then, we sort the
which may simultaneously be activated [14]. The proposed selected paths and find the longest one which includes the most
localization procedure is described in Algorithm 2. The first vulnerable nets. The efficiency of the test pattern generation
step is to convert a given circuit netlist into untimed model. is evaluated by node coverage metric which is the percentage
Next, the localization constraints are imposed on circuit netlist of circuit nodes whose delay variations are observable through
which later are translated into CNF formula. The SAT solver path-delay analysis. Also, we introduce localization resolution
is utilized as a decision engine in such a way that if returns (LR) metric according to (1), where N is the total circuit nets,
a solution (i.e., active MUX’s select lines), the selected sites LMax is the maximum circuit logic depth, NPTL represents the
total number of PTLs, and LPTL Max defines the maximum point-
in each iteration are considered as PTLs (Lines 17-18). The
found MUXs in each iteration of Algorithm to-point distance in terms of logic depth between PTLs and
 2 should not be GTLs. The localization results are evaluated, on average, for
found again in the next iterations. Thus, i={Sse } Si is used to
express above constraint indicating that none of si that belong Trojans with different number of affected nets NL = 1, 2, 3,
to the {Sse } set should simultaneously be selected in the next where all locations are randomly selected 10 times from the
iterations (Line 19). After deactivation, the procedure will be most vulnerable nets.
continued until the SAT solver fails to return any other solu- NPTL × LPTL
Max
tion (Line 10). Otherwise if the SAT solver fails and the list LR = 1 − (1)
N × LMax
of PTLs is empty, NL increments (Line 10-12). The number
of SAT calls in the localization procedure is C(N, NL ), where We apply the proposed methodology to different benchmark
C(N, NL ) represents the number of combinations of NL timing circuits and measure node coverage metric as listed in Table I.
error sites extracted from the total circuit net N. For typical The first column report the number of gates whose input
circuit benchmarks, the number of SAT calls can be estimated and output transitions are not transparent (NCandidate ). Then,
as N NL /NL ! as N  NL . the circuit nets that have overlap in transition are excluded
from NCandidate , resulting in a compact list of nets (NCompact ).
Also, NUNSAT shows the number of nets for which the SAT
V. E XPERIMENTAL R ESULTS solver did not return any solution. Here, the node coverage
We have implemented the proposed methodology using C++ is defined as the percentage of compact nets that SAT solver
language, and applied it to a subset of ISCAS-85, ISCAS-89, can generate corresponding test pattern pairs. From Table I,
Trust-hub benchmarks and ITC-99 benchmark benchmarks. the proposed test generation scheme achieves the node cover-
The sequential circuits were implemented in the full scan age around 97%, in average, which is high enough to detect
mode. Since the conventional scan-based design does not almost any timing variations caused by Trojan circuits. The
allow applying two successive uncorrelated vectors, we used False Positive Rate (FPR) is the percentage of genuine cir-
enhanced-full scan mode including additional hold latches cuit nets, which are wrongly selected as Trojan’s gates. The
to address this issue as suggested by [13]. All the cir- False Negative Rate (FNR) is the percentage of Trojan gates
cuits were synthesized by Synopsys Design Complier using that are not included in our detected list of PTL. The local-
TSMC-90 nm technology, and the post-place and route accom- ization process detects all the true timing error sites caused
plished using Cadence SOC-Encounter. Moreover, the maxi- by Trojan by providing a suspected list. As a result, the FNR
mum frequency, the functional frequency, and the step size of for all the circuit benchmarks is zero. Table II reports the
clock-sweeping in our simulations are 1.5 GHz, 700 MHz, and localization results of different benchmark circuits in terms of
10 ps, respectively. To obtain the delay model, we used timing LR metric in the case of NL = 1 and #CX = 3. Results of

thorized licensed use limited to: AMRITA VISHWA VIDYAPEETHAM AMRITA SCHOOL OF ENGINEERING. Downloaded on September 03,2021 at 12:18:49 UTC from IEEE Xplore. Restrictions app
2854 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 68, NO. 8, AUGUST 2021

TABLE IV
L OCALIZATION R ESOLUTION R ESULTS (NL = 3)

Fig. 5. Effect of number of CXs on the number of identified PTLs.

TABLE II
L OCALIZATION R ESOLUTION R ESULTS (#CX = 3 & NL = 1)

on average, and 2) It can simply be upgraded to localize


multiple timing error sites caused by different parts of Trojan.

R EFERENCES
TABLE III [1] M. Tehranipoor and F. Koushanfar, “A survey of hardware Trojan tax-
L OCALIZATION R ESOLUTION R ESULTS (NL = 2) onomy and detection,” IEEE Design Test Comput., vol. 27, no. 1,
pp. 10–25, Jan./Feb. 2010, doi: 10.1109/MDT.2010.7.
[2] A. Shabani and B. Alizadeh, “PMTP: A MAX-SAT-based approach to
detect hardware Trojan using propagation of maximum transition prob-
ability,” IEEE Trans. Comput. Des. Integr. Circuits Syst., vol. 39, no. 1,
pp. 25–33, Jan. 2020, doi: 10.1109/TCAD.2018.2889663.
[3] K. Xiao, X. Zhang, and M. Tehranipoor, “A clock sweep-
ing technique for detecting hardware Trojans impacting circuits
delay,” IEEE Desig Test, vol. 30, no. 2, pp. 26–34, Apr. 2013,
doi: 10.1109/MDAT.2013.2249555.
[4] A. Shabani and B. Alizadeh, “Enhancing hardware trojan detec-
tion sensitivity using partition-based shuffling scheme,” IEEE Trans.
Circuits Syst. II, Exp. Briefs, vol. 68, no. 1, pp. 266–270, Jan. 2021,
doi: 10.1109/tcsii.2020.3001263.
[5] A. Shabani and B. Alizadeh, “PODEM: A low-cost property-based
design modification for detecting hardware Trojans in resource-
constraint IoT devices,” J. Netw. Comput. Appl., vol. 167, Oct. 2020,
Art. no. 102713, doi: 10.1016/j.jnca.2020.102713.
[6] A. Nejat, D. Hely, and V. Beroulle, “ESCALATION: Leveraging
logic masking to facilitate path-delay-based hardware Trojan detec-
Table II show that the LR metric of the proposed approach is tion methods,” J. Hardw. Syst. Security, vol. 2, pp. 83–96, Feb. 2018,
about 99.97%, and the FPR is about 0.08%, on average. From doi: 10.1007/s41635-018-0033-6.
[7] Y. Jin and Y. Makris, “Hardware Trojan detection using path delay fin-
the evidence, the reduction in the number of PTLs (NPTL ) is gerprint,” in Proc. IEEE Int. Workshop Hardw. Oriented Security Trust,
achieved by extracting more CXs during the detection phase, 2008, pp. 51–57, doi: 10.1109/HST.2008.4559049.
which makes the identified possible locations get closer to [8] Y. Lyu and P. Mishra, “Automated test generation for Trojan detection
the GTL, as shown in Fig. 5. We also inspect the effects of using delay-based side channel analysis,” in Proc. Design Autom. Test
multiple timing errors on localization accuracy. According to Europe Conf. Exhibition (DATE), 2020, pp. 1031–1036.
[9] A. Vakil, F. Behnia, A. Mirzaeian, H. Homayoun, N. Karimi,
Tables III and IV, as the number of timing error sites (NL ) and A. Sasan, “LASCA: Learning assisted side channel delay
increases, so does the number of PTLs, resulting in reduc- analysis for hardware Trojan detection,” 2020. [Online]. Available:
tion in localization resolution. The average number of PTLs arXiv2001.06476.
(NPTL ) is 30 and 41 for NL = 2 and NL = 3, respectively. [10] S. Wei and M. Potkonjak, “Scalable consistency-based hardware Trojan
detection and diagnosis,” Proc. 5th Int. Conf. Netw. Syst. Security, 2011,
Also, the average LR is about 99.78% and 99.14% for NL = 2 pp. 176–183, doi: 10.1109/ICNSS.2011.6059998.
and NL = 3, respectively. [11] S. Bhunia and M. M. Tehranipoor, The Hardware Trojan War: Attacks,
Myths, and Defenses. Cham, Switzerland: Springer, 2017.
[12] T. Hoque, S. Narasimhan, X. Wang, S. Mal-Sarkar, and S. Bhunia,
VI. C ONCLUSION “Golden-free hardware trojan detection with high sensitivity under pro-
cess noise,” J. Electron. Test., vol. 33, no. 1, pp. 107–124, 2017,
In this brief, an integrated methodology called doi: 10.1007/s10836-016-5632-y.
DELPA incorporating both delay-based hardware Trojan [13] L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for
detection and localization approaches was proposed. The Digital, Memory, and Mixed-Signal VLSI Circuits. New York, NY, USA:
SAT-based test pattern generation scheme alongside the Kluwer Academic Publishers, 2002.
[14] B. Alizadeh and M. Shakeri, “QBF-Based post-silicon debug of
MUX-based debugging technique was proposed. From the speed-paths under timing variations,” IEEE Trans. Circuits Syst.
experimental results, the following conclusions can be drawn. I, Reg. Papers, vol. 65, no. 12, pp. 4326–4335, Dec. 2018,
1) It localizes Trojan’s gates with zero FNR, and 0.55% FPR, doi: 10.1109/TCSI.2018.2858291.

thorized licensed use limited to: AMRITA VISHWA VIDYAPEETHAM AMRITA SCHOOL OF ENGINEERING. Downloaded on September 03,2021 at 12:18:49 UTC from IEEE Xplore. Restrictions app

You might also like