Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

J Electron Test

DOI 10.1007/s10836-016-5573-5

A 10-Transistor 65 nm SRAM Cell Tolerant


to Single-Event Upsets
Yuanqing Li 1 & Lixiang Li 2,3 & Yuan Ma 2 & Li Chen 1 & Rui Liu 1 & Haibin Wang 1 &
Qiong Wu 4 & Michael Newton 1 & Mo Chen 1

Received: 28 May 2015 / Accepted: 15 February 2016


# Springer Science+Business Media New York 2016

Abstract A novel SRAM cell tolerant to single-event up- Keywords Alpha particle . Proton . Single event upset
sets (SEUs) is presented in this paper. By adding four (SEU) . Soft error rate (SER) . SRAM
more transistors inside, the proposed circuit can obtain
higher critical charge at each internal node compared to
the conventional 6-transistor (6T) cell. Arrays of 2k-bit 1 Introduction
capacitance of these two designs were implemented in a
65 nm CMOS bulk technology for comparison purpose. In modern application specific integrated circuits (ASIC) and
Radiation experiments showed that, at the nominal 1.0 V system-on-chips (SOC), embedded static random access
supply voltage, the proposed cell achieves 47.1 % and memories (SRAMs) can act as major contributors of soft-
49.3 % reduction in alpha and proton soft error rates errors induced by single-event upsets (SEUs), because they
(SER) with an area overhead of 37 %. can occupy a significant portion of chip area (50 % and
higher) [16]. On the other hand, the scaling of technology
continuously reduces the critical charge of single bit-cells,
which severely increases their sensitivity to SEU [1, 2, 6].
Responsible Editor: S. Kajihara
Therefore, the protection against SEUs is critical and is also
Lixiang Li equally contributed to this work as the first author. becoming more challenging for SRAMs fabricated in ad-
vanced technologies.
* Lixiang Li Until now, various methodologies have been developed by
lx829382@dal.ca
the radiation effects community to harden SRAMs against
soft-errors. At the system-level, error correction codes
Yuanqing Li
yuanqing.li@usask.ca (ECCs) are suitable solutions given the very regular architec-
tures of SRAM arrays [7, 11, 15]. The protection ability of
Yuan Ma
each ECC solution (amount of errors can be corrected) de-
yuan.ma@dal.ca
pends on its specific algorithm [11]. As system-level harden-
Qiong Wu ing approaches, ECCs commonly involve less custom-design
qiong.wu@usask.ca
tasks, which relatively ease their implementations. However,
the additional time consumed by the error correction process
1
Department of Electrical and Computer Engineering, University of can significantly increase the access times of SRAMs with
Saskatchewan, Saskatoon, SK, Canada ECCs, and this performance degradation can be more serious
2
Department of Electrical and Computer Engineering, Dalhousie when a complex algorithm is required to guarantee the ability
University, Halifax, NS, Canada to correct more errors [5]. This drawback of ECCs can be
3
Present address: TSMC Design Technology Canada, Kanata, ON, overcome by another category of solutions which focus on
Canada the hardening of single cells. Dual-interlocked storage cell
4
College of Information and Control Engineering, China University of (DICE) is one of the most well-known solutions of this cate-
Petroleum, Qingdao, China gory [4]. For circuit-level hardening designs, one of their main
J Electron Test

costs is the large area overhead. As analyzed in [5, 21], many the proposed circuit has larger critical charge amount at each
of these custom-designed circuits can be about 200 % larger internal node, which helps enhance its soft-error resilience.
than the conventional 6-transistor (6T) cell. One reason of For clear description, the proposed SRAM cell is named
their large areas is that they utilize redundancies to construct Soft-error-Tolerant-10T (ST-10T) in this paper. Arrays of 2k
special topologies inside to achieve error mask and recovery capacitance of the 6T and ST-10T were developed and fabri-
for each node. Such topologies can provide very large (or the- cated in TSMC (Taiwan Semiconductor Manufacturing
oretically infinite sometimes) critical charge amount at internal Corporation) 65 nm CMOS 1.0 V technology. Alpha and pro-
nodes, which consequently results in their enhanced soft-error ton radiation experiments were carried out on these two de-
resilience. Due to the excellent hardening performance, these signs, and the ST-10T shows superior soft-error resilience over
hardened by design (HBD) cells can be proper candidates for the 6T. Compared to the 6T, the ST-10T only induces an area
products targeting extreme radiation environments (e.g., outer overhead of about 37 %, which makes it attractive in radiation
space). However, applying these cells in terrestrial environ- tolerant and area constraint applications.
ments may lead to over design, since very few particles can The rest of this paper is organized as follows. Comparison
have high linear energy transfer (LET) there. For example, as a and analysis of SEUs resilience and some traditional features
significant source of terrestrial ionizing radiation, the alpha of the 6T and proposed ST-10T cells are presented in Section
particles emitted from the radioactive impurities in chip pack- 2. Section 3 provides the details of test chip design, radiation
ages can only have a peak LET of around 1.6 MeV-cm2/mg in experiments setup, and experimental results. Finally, this pa-
silicon, and their emissivity is also low (10 ~ 10−3 a/cm2/h, per is concluded in Section 4.
depending on different parts of packages) [3]. On the other
hand, storage density is commonly a critical metric for
SRAMs in various designs. Therefore, an appropriate balance 2 ST-10T SRAM bit-cell
between cell area and improved soft-error rates (SERs) can
always be a practical requirement for terrestrial applications. The schematics and layouts of the 6T and proposed ST-10T
In this paper, an area efficient SRAM cell that tolerates cells are depicted in Fig. 1. As shown in this figure, compared
SEUs is presented. Compared to the conventional 6T cell, to the 6T cell, additional four transistors P3-P4 and N3-N4 are

Fig. 1 Schematics of the 6 T (a) WL


and ST-10T (b), and layout
WL
diagrams of the 6T (c) and ST-
10T (d) P3 P4

P1 P2
P1 P2
Q QB
A1 A2 Q QB
A1 A2
N1 N2
N1 N2
BL BLB X XB
BL BLB
N3 N4

a b

VDD VDD
XB
P1

P2

P3

P1

P2

P4

Q QB Q QB
X

Q QB Q QB
XB
N1

N2

N3

N1

N2

N4
X

A1 A2 A1 A2

GND GND

c d
J Electron Test

Fig. 2 Device structures of 6T


(a) [9] and ST-10T (b) in Accuro

used in the ST-10T. The ST-10T is similar to the SRAM cell the resistive hardening design presented in [18]. However,
circuit with stacked transistors used in silicon on insulator different from simple resistors, using transistors in the ST-
(SOI) technologies [14, 20]. However, the main difference is 10 T can provide additional benefits. As analyzed above, the
that the drains of P3 and N3 (P4 and N4) are connected to incorrect positive pulse at X should propagate to Q to activate
form another node X (XB) in the ST-10T cell. For these two the upset of the ST-10T. Because N1 is not good at transferring
cells, all their Px (x = 1 ~ 2 in the 6 T and x = 1 ~ 4 in the ST- a high level, the amplitude of the pulse at Q can be reduced,
10T) transistors have the same sizes, and so do their Nx which further helps mitigate this fault propagation. Similarly,
transistors. a single-event induced pulse at N4’s drain can also be attenu-
ated by P2 as it propagates to QB.
Second, we consider the upset occurred at Q of the ST-10T
2.1 SEUs resilience analysis cell, and critical charge modeled in equation (1) is used to
analyze the SEU sensitivity of this node [6, 13]. In (1), Cnode
As described above, compared to the 6T cell, two more nodes is the capacitance of the struck node (Q in this case), which
X and XB are introduced inside the ST-10T. Because the includes the gate and diffusion capacitances; VDD is the supply
structure of the ST-10T is symmetrical, we only go into the voltage; IDP is the maximum restoring current provided by the
SEUs resilience analysis for its logic-0 state (Q = 0 and on-state devices (N1 and N3), which is also their saturated
QB = 1). First, we analyze the scenario that node X is affected currents; and TFLIP is the flipping time, which is proportional
by an SEU. When Q = 0, X also stays at a low level, which to the propagation delay of an inverter inside the inverter-loop
makes the drain of P3 reverse-biased and sensitive to SEUs.
At this moment, if sufficient charge generated by a single-
event is deposited at P3’s drain, a positive voltage pulse would
appear at X and then propagate to Q through N1. In this case,
the on-state N1 will act as a resistor to help resist this fault
propagation. In fact, by further observing the ST-10T cell in
Fig. 1b, we can see that P1, P2, N1, and N2 can all act as
resistors to suppress fault propagation in the cross-coupled
inverters formed by P3, P4, N3, and N4, which is similar to

Table 1 LET threshold of each node of the 6T and ST-10T

SRAM Cell Node LET threshold


(MeV-cm2/mg)

6T Q 1.33
QB 0.55
ST-10T Q 2.03
QB 0.86
X 4.69
XB 2.34
Fig. 3 Write times of the 6T and ST-10T cells at varied supply voltages
J Electron Test

delay of the stacking inverter would consequently enlarge


the TFLIP of the ST-10T and help compensate the negative
effect induced by the weakened IDP.
Qcrit ¼ C node V DD þ I DP T FLIP ð1Þ

The above analysis is verified through simulations by using


Accuro, a software package from Robust Chip Inc. [8, 10, 12,
17]. This tool reads in the schematic and layout of a design,
and then carries out 3D device-level simulation to calculate
the single-event charge transport in substrate/well and predict
design’s behavior through circuit-level simulation. Accuro
provides high-speed SEUs analysis and allows SEUs injec-
tions at user-specified locations with user-specified LET
values [12]. The schematics and layouts of the 6T and ST-
10T cells are designed in TSMC 65 nm CMOS bulk technol-
ogy, and their 3D device structures generated in Accuro are
depicted in Fig. 2 (active areas, substrate, and n-well includ-
ed). Electron concentration of substrate and n-well is color
coded and indexed logarithmically. Dimensions in X, Y, and
Fig. 4 Leakage power of the 6T and ST-10T cells at varied supply
voltages Z directions are also shown (in microns). To study the SEUs
sensitivity of each node of these two designs, incident parti-
cles are directly injected to each sensitive drain area when
[6]. Compared to the 6T cell, because the gates of two PMOSs logic-0 states are maintained by them. The simulated LET
and two NMOSs are connected to Q, the value of the first term thresholds of corresponding nodes are listed in Table 1.
in (1) should also be increased by two times for the ST-10T As listed in Table 1, X and XB in the ST-10T obviously
cell. Because of the stacking of N1 and N3 in the ST-10T, the present higher LET thresholds than other nodes. This indicates
IDP provided by them should be half of that provided by N1 in that the four transistors (P1-P2 and N1-N2) used as resistors
the 6T cell ideally. As shown in (1), this weakened IDP would inside the ST-10T can significantly enhance the SEUs resil-
lower the value of the second term for the ST-10T. However, ience of these two nodes. Q and QB in the ST-10T also have
for the inverter formed by P2, P4, N2, and N4 in the ST-10T, higher LET thresholds than the corresponding nodes in the 6T.
the equivalent resistances of the pull-up and pull-down net- Although the stacked transistors lead to lowered restoring cur-
works are both doubled compared to the inverters in the 6T rents at these nodes in the ST-10T, the doubled capacitance
cell. Furthermore, the capacitance load at QB is also doubled, loads of these nodes and 4-times enlarged inverters delay
which approximately leads to a 4 times longer delay of the eventually result in their lower SEUs sensitivities. In
stacking inverters in the ST-10T compared to the normal in- Table 1, the nodes staying at low levels have higher LET
verters in the 6T. Since the error at Q should propagate to QB thresholds than the corresponding nodes staying at high levels
to cause an upset of the ST-10T cell, the 4-times enlarged (for example, in the ST-10T cell, X has a higher LET

Fig. 5 Read (a) and write (b)


SNMs of the 6T and ST-10T at
varied supply voltages
J Electron Test

threshold than XB). This is because that these low level nodes 0.6 V supply voltages to calculate their write times. The sim-
are driven by NMOSs which can provide larger restoring cur- ulation results are depicted in Fig. 3.
rents than PMOSs. The simulation results listed in Table 1 are The simulated static leakage power of the 6T and ST-10T
reasonably fit to the analysis presented above. cells from Spectre are illustrated in Fig. 4. In this figure, the
ST-10T cell consumes 1.66, 1.60, and 1.51 times more leakage
power than the 6T cell at 1.0 V, 0.8 V, and 0.6 V voltages,
2.2 Speed, power, area, and SNM analysis respectively. As shown in Fig. 1b, transistors P1, P2, N1, and
N2 in the ST-10T cell always have zero drain-to-source volt-
As analyzed above, in the ST-10T cell, the driving strength of age differences. Therefore, these four devices would not con-
inverters formed by stacked transistor are weakened. To guar- tribute channel leakage power. For the ST-10T cell staying in
antee reliable operation, the access transistors (A1 and A2) in the logic-0 (Q = 0 and QB = 1), since all Px (x = 1 ~ 4) and Nx
this cell are also scaled to be smaller. Given that the nodal (x = 1 ~ 4) are of the same sizes as the corresponding transis-
capacitances of Q and QB are enlarged meanwhile, the write tors in the 6T cell, the channel leakage currents of P3 and N4
operation of the ST-10T cell would be slowed. To explore this should be the same as those of P1 and N2 in the 6T. As
phenomenon, post-layout simulations in Cadence Spectre of analyzed before, the access transistors A1 and A2 of the ST-
the 6T and ST-10T cells are carried out at 1.0 V, 0.8 V, and 10T are also scaled to be smaller, which would reduce the

Fig. 6 Block diagram (a) and Column Decoder


micrograph (b) of the SRAM test
chip [9] Cell Array
2048 bits×
TMR

Address
8 pages

CK

Page-7 (ST-10T)
Row Decoder

Page-0 (6T)

Page-1

Page-2

Page-3

Page-4

Page-5

Page-6
TMR

Data_in

CK

Write
Driver

MUX

Data_out

b
J Electron Test

Fig. 7 Test system [9]

leakages associated with them. Hence, all these factors men- However, as shown in Fig. 5, the ST-10T cell presents lower
tioned above would help limit and lower the leakage power of read SNMs and higher write SNMs than the 6T. This phe-
the ST-10T cell compared to the 6T. However, as shown in nomenon should be due to the carrier velocity saturation of
Fig. 4, the ST-10T still presents higher leakage power than the this 65 nm technology. In fact, the channel lengths of A1 and
6T, and the main reason of this phenomenon should be the A2 in the ST-10T are 1.2 times longer than the access transis-
gate leakages associated with the stacked transistors. For Q tors in the 6T. Although their W/L ratios are reduced by half,
and QB in the ST-10T cell, since two more transistor gates are the longer channel lengths help improve their driving capabil-
driven by them, the gate leakages at these two nodes should ities through eliminating the velocity saturation [19].
also increase, which finally enlarge the overall leakage power Considering the ST-10T cell staying at logic-0, for the on-
of the ST-10T cell. state stacked PMOSs (P4 and P2), their velocity saturation
Compared to the 6T cell, four more transistors are used in effects are naturally much less significant than that in the
the ST-10T. However, through sharing drain areas between NMOS A2 [19], which eases the write operation and leads
adjacent transistors (for example, P1 and P3 share a common to the higher write SNMs. For the on-state stacked NMOSs
drain area in the n-well, as shown in Fig. 1d), the total area of (N1 and N3), since their drain-to-source voltage differences
the ST-10T is 3.39μm2 which is only 1.37 times larger than are slight in the read phase, velocity saturation does not affect
that of the 6T. their overall driving strength much [19], which is undesirable
As discussed above, the stacked transistors weaken the for reliable read operation because A1 is relatively stronger
driving strength of the inverters inside the ST-10T cell. To now. On the other hand, also due to the different significances
obtain similar write and read static noise margins (SNMs) as of velocity saturations in PMOSs and NMOSs, the switch
the 6T cell, the width-to-length (W/L) ratios of the access threshold of the inverters with stacked transistors inside the
transistors A1 and A2 of the ST-10T are also reduced by half. ST-10T is lowered. This effect along with the velocity

Table 2 Experimental results of


alpha particle radiation SRAM cell Supply voltage Pattern # of unit # of errors
process (T) (Nerror)

6T 1.0 V all-1 9000 1773


ST-10T 1.0 V all-1 9000 938
6T 0.8 V all-1 4096 1060
ST-10T 0.8 V all-1 4096 704
6T 0.6 V all-1 4096 1167
ST-10T 0.6 V all-1 4096 951
J Electron Test

Fig. 8 Alpha SERs of the 6T and ST-10T at varied supply voltages


Fig. 9 Proton SERs of the 6T and ST-10T at varied supply voltages
saturation effects on the access transistors makes the ST-10T
more sensitive to noises in the read phase and consequently diagram and micrograph taken by a laser imaging system of
results in its lower read SNMs. However, as a secondary ef- the test chip are illustrated in Fig. 6 [9]. For this test chip, the
fect, the impact of velocity saturation on SNM is actually not supply voltage of the core SRAM circuits is adjustable (nom-
that significant. As shown in Fig. 5, there are only −9.54 % inal 1.0 V and lower values), while the voltage of the IO
read and 9.51 % write SNM differences between these two modules is fixed to 2.5 V. The area of this test chip is
cells at 0.6 V, and these differences are even more negligible at 1.5 mm × 0.7 mm [9].
higher supply voltages. This indicates that transistor scaling is A Xilinx Virtex-5 field programmable gate array (FPGA)
still the main factor that affects SNMs. based system is developed for the functional test and radiation
experiments of this SRAM test chip [9]. The test chip is em-
bedded on a daughterboard and connected to motherboard on
3 Implementation and SERs characterization which the FPGA is located. This FPGA core is responsible for
operating the SRAM test chip and the communication be-
The 6T and ST-10T cells are both implemented in an SRAM tween the test chip and a micro-processor unit (MCU). The
test vehicle fabricated in TSMC 65 nm technology [9]. data read out by the FPGA is then sent to a personal computer
Besides these two designs, this test chip also includes other for analysis through the Ethernet module under MCU’s con-
six types of SRAM cells for SEUs resilience evaluation. For trol. An off-chip configurable power is applied to provide
each SRAM design, 2k cells are organized as an array page. different supply voltages for the SRAM core circuits. The test
The 6T and ST-10T cell arrays are indexed as page-0 and system is shown in Fig. 7 [9].
page-7, respectively. For each cell array, every eight bits are
organized as one word to be accessed through a specific ad- 3.1 Alpha radiation results
dress. Peripheral circuits (flip-flops, row/column decoders,
etc.) are shared among all these arrays. Flip-flops used to Alpha radiation experiments were carried out at the University
sample input address and data are all hardened against SEUs of Saskatchewan, Saskatoon, Canada, and an Americium-241
through triple-modular-redundancy (TMR). The block alpha source with 2.5 uCi activity and 4.61 × 107 a/cm2/h

Table 3 Experimental results of


proton radiation SRAM cell Supply voltage Pattern # of unit # of errors
process (T) (Nerror)

6T 1.0 V Checkerboard 5696 420


ST-10T 1.0 V Checkerboard 5696 213
6T 0.8 V Checkerboard 2600 233
ST-10T 0.8 V Checkerboard 2600 145
J Electron Test

emissivity was applied. During this test, all-1 data was peri- corresponding node in the 6T cell. Alpha and proton radiation
odically written into each cell array and then readout after a experiments were also carried out for both designs and the
period of radiation. Each unit process formed by a write oper- superior SEUs resilience of the proposed ST-10T cell is ex-
ation, radiation, and a readout operation lasted for 1 s, and the perimentally verified. Comparisons and analysis of some tra-
radiation time occupied over 99 % of this unit process period. ditional features in terms of write speed, leakage power, area,
Such a unit process was repeated 9000 times at 1.0 Vand 4096 and SNMs are also provided.
times at 0.8 V/0.6 V for each cell array. The readout data was
recorded and compared to the written data to identify upset Acknowledgments The authors appreciate the supports from the
Natural Sciences and Engineering Research Council of Canada
errors. The SERs of the 6T and ST-10T arrays with different
(NSERC), CMC Microsystems, ASPIRE (CREATE program), and
voltages are calculated as (2). Here, Nerror is the total amount Robust Chip Inc.
of errors, CA is the capacitance of each cell array (2k-bit), and
T is the repeat times mentioned above. Alpha test configura-
tions and results are listed in Table 2. The SERs calculation References
results are illustrated in Fig. 8.
N error 1. Autran JL, Roche P, Sauze S, Gasiot G, Munteanu D, Loaiza P,
SER ¼  100% ð2Þ Zampaolo M, Borel J (2009) Altitude and underground real-time
CA  T SER characterization of CMOS 65 nm SRAM. IEEE Trans Nucl
Sci 56(4):2258–2266
2. Bajura MA, Boulghassoul Y, Naseer R, DasGupta S, Witulski AF,
As shown in Table 2 and Fig. 8, at 1.0 V, 0.8 V, and 0.6 V Sondeen J, Stansberry SD, Draper J, Massengill LW, Damoulakis
supply voltages, SER of the ST-10T decreases by 47.1 %, JN (2007) Models and algorithmic limits for an ECC-based ap-
33.6 %, and 18.5 % compared to the 6T. The SERs of both proach to hardening sub-100-nm SRAMs. IEEE Trans Nucl Sci
54(4):935–945
the ST-10T and 6T cells increase as the supply voltage de- 3. Baumann RC (2001) Soft errors in advanced semiconductor de-
creases, and this phenomenon should be mainly due to the vices—part I: the three radiation sources. IEEE Trans Device
reduction of critical charge. Mater Rel 1(1):17–22
4. Calin T, Nicolaidis M, Velazco R (1996) Upset hardened memory
design for submicron CMOS technology. IEEE Trans Nucl Sci
3.2 Proton radiation results 43(6):2874–2878
5. Guo J, Xiao L-Y, Mao Z-G (2014) Novel low-power and highly
The proton radiation experiments were carried out at reliable radiation hardened memory cell for 65 nm CMOS technol-
ogy. IEEE Trans Circuits Syst — I: Reg Papers 61(7):1994–2001
TRIUMF (Tri-University Meson Facility), Vancouver, 6. Jahinuzzaman SM, Sharifkhani M, Sachdev M (2009) An analyti-
British Columbia, Canada. Protons were incident normally, cal model for soft error critical charge of nanometric SRAMs. IEEE
and their average energy is 63 MeV. The test process is the Trans Very Large Scale Integr (VLSI) Syst. 17(9):1187–1195
same as that of the alpha test, except that checkerboard (1010) 7. Lacoe RC (2008) Improving integrated circuit performance through
pattern data is used in this experiment. For the 6T and ST-10T the application of hardness-by-design methodology. IEEE Trans
Nucl Sci 55(4):1903–1925
arrays, 5696 and 2600 times of unit process tests were applied 8. Lee H-H K, Lilja K, Bounasser M, Relangi P, Linscott I R, Inan U
for them at 1.0 V and 0.8 V supply voltages, respectively. S, Mitra S (2010) LEAP: layout design through error-aware tran-
Proton test configurations and results are listed in Table 3. sistor positioning for soft-error resilient sequential cell design. In:
Their SERs calculated according to (2) are illustrated in Fig. 9. Proceedings of the IEEE Int Rel Phys Symp (IRPS), 203–212
9. Li L, Li Y, Wang H, Liu R, Wu Q, Newton M, Ma Y, Chen L (2015)
As shown in Table 3 and Fig. 9, 49.3 % and 37.8 % SER
Simulation and Experimental Evaluation of a Soft Error Tolerant
reduction are achieved by the ST-10T at 1.0 V and 0.8 V Layout for SRAM 6T Bitcell in 65 nm Technology. J Electron Test
supply voltages, respectively. Similar to the alpha radiation 31(5):561–568
results, the decrease of supply voltage also leads to the SER 10. Lilja K, Bounasser M, Wen S-J, Wong R, Holst J, Gaspard N,
degradations of both designs. Jagannathan S, Loveless D, Bhuva B (2013) Single-event perfor-
mance and layout optimization of flip-flops in a 28-nm bulk tech-
nology. IEEE Trans Nucl Sci 60(4):2782–2788
11. Ming Z, Yi X-L, Chang L, Wei Z-J (2011) Reliability of memories
protected by multibit error correction codes against MBUs. IEEE
4 Conclusion Trans Nucl Sci 58(1):289–295
12. Robust Chip Inc. 2016 Accuro User’s Manual version 8.5
An area efficient 10-transistor SRAM cell (named ST-10T) 13. Roche P, Palau JM, Tavernier C, Bruguier G, Ecoffet R, Gasiot J
tolerant to SEUs is proposed in this paper. Compared to the (1999) Determination of key parameters for SEU occurrence using
conventional 6T cell, the ST-10 T can achieve higher critical 3-D full cell SRAM simulations. IEEE Trans Nucl Sci 46(6):1354–
1362
charge at each internal node, which consequently improves its 14. Rodbell KP, Heidel DF, Pellish JA, Marshall PW, Tang HHK,
SEUs resilience. Simulations in Accuro show that each node Murray CE, LaBel KA, Gordon MS, Stawiasz KG, Schwank JR,
inside the ST-10T has higher LET threshold than the Berg MD, Kim HS, Friendlich MR, Phan AM, Seidleck CM (2011)
J Electron Test

32 and 45 nm radiation-hardened-by-design (RHBD) SOI latches. Scientist in the Exploratory Research group of JDS Uniphase, Ottawa,
IEEE Trans Nucl Sci 58(6):2702–2710 ON, Canada. In July 2006, she joined the Electrical and Computer
15. She X-X, Li N, Jensen DW (2012) SEU tolerant memory using Engineering Department, Dalhousie University, Halifax, NS, Canada, as
error correction code. IEEE Trans Nucl Sci 59(1):205–210 a University Faculty Award recipient. Her research interests include
16. Torrens G, de Paúl I, Alorda B, Bota S, Segura J (2014) SRAM micro-sensors and actuators, mechatronic system design and analysis,
alpha-SER estimation from word-line voltage margin measure- photonics, and MEMS device industrial applications.
ments: design architecture and experimental results. IEEE Trans
Nucl Sci 61(4):1849–1855 Li Chen is currently an Associate Professor of the Department of
17. Wang H-B, Bi J-S, Li M-L, Chen L, Liu R, Li Y-Q, He A-L, Guo G Electrical and Computer Engineering, University of Saskatchewan. He
(2014) An area efficient SEU-tolerant latch design. IEEE Trans received his Ph.D. degree from the University of Alberta in 2004. His
Nucl Sci 61(6):3660–3666 current research is focused on radiation-tolerant microelectronic circuit
18. Weaver HT, Axness CL, McBrayer JD, Browning JS, Fu JS, Ochoa design and testing, advanced technology reliability testing like 130 nm
A, Koga R (1987) An SEU tolerant memory cell derived from 3D-IC and 28 nm IC, and single event testing methodology like pulsed
fundamental studies of SEU mechanisms in SRAM. IEEE Trans laser and X-ray.
Nucl Sci 34(6):1281–1286
19. Weste NHE, Harris DM (2011) CMOS VLSI design- A circuits and
systems perspective. Addison-Wesley, Boston, pp. 75–76 Rui Liu is a Ph.D. candidate majoring in electrical engineering in the
20. Xie C-M, Wang Z-F, Wang X-H, Wu L-S, Liu Y-B (2011) Novel University of Saskatchewan.
SEU hardened PD SOI SRAM cell. J Semiconductors 32(11):
115017–115015 Haibin Wang received his Ph.D. degree from the University of
21. Zhang G-H, Shao J, Liang F, Bao D-X (2012) A novel single event Saskatchewan in 2015. He received his Bachelor and Master degrees
upset hardened CMOS SRAM cell. IEICE Electronics Express from Hohai University. His research interests include radiation effects,
9(3):140–145 fault-tolerant IC design, reliability engineering, and embedded system
design. He has worked on single event hardened flip-flop and logic design
and testing in 28 nm bulk/SOI and 130 nm technologies.
Yuanqing Li received the B.E., M.E., and Ph.D. degrees in 2008, 2010,
and 2014 from Tianjin University, Tianjin, China. He is now a post- Qiong Wu received her M.Sc. degree in 2015 from the Department of
doctoral fellow with the Department of Electrical and Computer Electrical and Computer Engineering, University of Saskatchewan. She
Engineering, University of Saskatchewan. His research interests include received her B.E. and M.E. degrees in Communication and Information
the radiation effects on microelectronic devices and circuits, radiation Engineering in 2006 and 2008 from Tianjin University. Her research
hardening techniques, and VLSI design. interests focus on the area of VLSI and digital circuits design.

Lixiang Li received the B.E. degree from Guangdong University of Michael Newton received his B.Sc. degree in Physics from the
Technology, Guangzhou, China, and MASc. degree from Dalhousie University of Saskatchewan, where he is now working toward an M.Sc.
University, Halifax, Nova Scotia, Canada. He is now with the degree in Electrical Engineering. His research interests include solid state
TSMC Design Technology Canada, Kanata, Canada. His research and nuclear physics, quantum mechanics, and single event effects on
interests include VLSI systems and mixed signal integrated circuits semiconductor devices. His current research mainly focuses on the appli-
design. cation of lasers in single event effects tests for integrated circuits and
photonic devices.
Yuan Ma received the B.Sc. and M.Eng. degrees from Southeast
University, China, in 1991 and 1994, respectively, and the M.Sc. and Mo Chen received the B.E. degree from Beijing Institute of Technology
Ph.D. degrees in electrical and computer engineering from the and now is working toward the Master degree in the University of
University of Alberta, Edmonton, AB, Canada, in 1997 and 2002, respec- Saskatchewan. Her research interest focuses on the design of fault-
tively. From November 2000 to June 2006, she worked as a Research tolerant SRAM.

You might also like