Download as pdf or txt
Download as pdf or txt
You are on page 1of 16

Home Search Collections Journals About Contact us My IOPscience

SU-8: a photoresist for high-aspect-ratio and 3D submicron lithography

This article has been downloaded from IOPscience. Please scroll down to see the full text article.

2007 J. Micromech. Microeng. 17 R81

(http://iopscience.iop.org/0960-1317/17/6/R01)

View the table of contents for this issue, or go to the journal homepage for more

Download details:
IP Address: 131.170.6.51
The article was downloaded on 30/07/2013 at 12:11

Please note that terms and conditions apply.


IOP PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING
J. Micromech. Microeng. 17 (2007) R81–R95 doi:10.1088/0960-1317/17/6/R01

TOPICAL REVIEW

SU-8: a photoresist for high-aspect-ratio


and 3D submicron lithography
A del Campo and C Greiner
Max-Planck-Institut für Metallforschung, Heisenbergstraße 3, 70569 Stuttgart, Germany
E-mail: delcampo@mf.mpg.de

Received 8 November 2006, in final form 13 March 2007


Published 15 May 2007
Online at stacks.iop.org/JMM/17/R81

Abstract
SU-8 has become the favourite photoresist for high-aspect-ratio (HAR) and
three-dimensional (3D) lithographic patterning due to its excellent coating,
planarization and processing properties as well as its mechanical and
chemical stability. However, as feature sizes get smaller and pattern
complexity increases, particular difficulties and a number of material-related
issues arise and need to be carefully considered. This review presents a
detailed description of these effects and describes reported strategies and
achieved SU-8 HAR and 3D structures up to August 2006.

1. Introduction Only recently has attention been paid to the extension


of lithographic 2D micro and submicron structures to the
Lithography consists of the transfer of a pattern onto a substrate third dimension. HAR and 3D surfaces have become
by means of an etching process (figure 1). Resist lithography interesting for the fabrication of high luminosity lighting
makes use of an irradiation source and a photosensitive panels and photonic crystals [11–16]. When combined with
polymer material to perform the pattern transfer. Selective low cost replication methods, HAR and 3D structured surfaces
irradiation initiates a series of photochemical processes in enable fabrication of biosensors with increased sensitivity and
the resist which alter the physical and chemical properties throughput due to their high effective surface area [17–22].
of the exposed areas such that they can be differentiated in a Miniaturized drug delivery systems [23], advanced scaffolds
subsequent image development step. Most commonly, the for tissue engineering [24–32], non-biofouling coatings
solubility of the film is modified by either increasing the [33–35] or bioinspired surfaces with controlled adhesion
solubility of exposed areas (yielding a positive image after and haptic properties [36–39] constitute other examples of
develop) or decreasing the solubility to yield a negative-tone appealing applications.
image. Development of the imaged substrate reveals a pattern Resist materials for lithography must satisfy stringent
on the resist layer which corresponds to the geometry of the requirements as the lateral feature size shrinks and the aspect
mask. ratio increases [40]. A suitable viscosity is of particular
Pushed by the electronic industry, most developments importance in order to balance opposite requirements involved
in lithography have mainly been directed at shrinking the in different processing steps. Low viscosity facilitates coating
lateral dimensions of the imaged features [1–10]. The of uniform, defect and stress free films and, later on, removal
application of different resolution enhancement approaches of unsolidified resin during development. However, high
(illumination sources with shorter irradiation wavelength, viscosity is required for reducing the lateral flow and obtaining
projection and immersion optics, phase-shifting masks, etc) stable thick resist films needed in HAR patterning. The
and the development of advanced photoresist materials have resist must also possess high polymerization efficiency upon
permitted a reduction of lithographic structures down to sub- light irradiation and adequate reactivity at the irradiation
100 nm dimensions. Current trends have been predicted wavelength to achieve high contrast, high resolution and high
to improve shrinking to at least 45 nm in the year 2010 sensitivity. A high Young’s modulus after processing is
[5]. important to guarantee mechanical stability of the lithographic

0960-1317/07/060081+15$30.00 © 2007 IOP Publishing Ltd Printed in the UK R81


Topical Review

(1) Spin-coating photoresist O O O O

O O O O

(2) Soft baking

(3) Masked irradiation O O O O

O O O O

Figure 2. Chemical structure of the Bisphenol A Novolak epoxy


oligomer contained in SU-8 formulations. Eight reactive epoxy
functionalities allow a high degree of cross-linking after
(4) Development, baking photoactivation.

established. However, HAR processing still faces important


challenges, especially when lateral feature dimensions are
shrunk. A good number of works have been recently published
which deeply analyse processing problems, and clever
solutions have been proposed. The following sections present
Figure 1. Photolitographic steps with the negative photoresist SU-8. an overview of the characteristics, processing particularities
The picture at the bottom shows SU-8 25 micropillars with 5 µm and structures obtained with this resist.
diameter and aspect ratio 8. (Spin coating 5 s at 500 rpm followed
by 60 s at 900 rpm. Soft bake 3 min at 65 ◦ C, 12 min at 95 ◦ C, slow
cooling. Exposure 30.5 s with Karl Süss MJB3 Mask Aligner, 2. Chemical characteristics of the SU-8 photoresist
vacuum contact. Hard bake 1 min at 65 ◦ C and 4 min at 95 ◦ C, slow
cooling. Development 4 min 20 s.)
SU-8 is a negative tone, chemically amplified resist. It contains
acid–labile groups and a photoacid generator. Irradiation
structures. Low shrinkage during polymerization is necessary generates a low concentration of a strong acid which will
for dimensional accuracy and to avoid film stress. Good act as a catalyst of the cross-linking process. Subsequent
wetting and adhesion of the resist to various substrates, good heating of the polymer activates cross-linking and regenerates
solubility in safe solvents for development, high thermal the acid catalyst. As a consequence, the sensitivity of
stability, good storage stability, low health and environmental the resists is significantly increased. In particular, the
hazards, wide process latitudes and low price are also main components of SU-8 are a Bisphenol A Novolak
required. epoxy oligomer (EPON R
SU-8 resin, Shell Chemical) and
There are a number of commercially available photoresists up to 10 wt% triarylsulfonium hexafluroantimonate salt
suitable for HAR applications. Diaplate and Ordyl (CYRACURE R
UVI, Union Carbide) photoacid generator.
P-50100 are acrylate-based negative photoresists. A positive Upon irradiation, the photoacid generator decomposes to form
variant is the novolak resin SPR 220-7. These systems are hexafluoroantimonic acid that protonates the epoxides on
commercialized in solution form for spin-coating applications. the oligomer. These protonated oxonium ions are available
Alternatively, thick photoresists are available in dry film to react with neutral epoxides in a series of cross-linking
reactions after application of heat [52]. Each monomer
format, such as Riston R
[41], Ordyl BF 410 [42], Etertec R
molecule contains eight reactive epoxy sites (figure 2), and
5600 [43], DF 4615 [44] and DFR-15 [45]. Some advantages
therefore high degree of cross-linking can be obtained after
and disadvantages of each system have been recently described
photothermal activation giving a negative tone. This results
[46].
in high mechanical and thermal stability of the lithographic
Undoubtedly, the favourite photoresist for HAR structures after processing. In fact, fully cross-linked SU-8 has
lithography is SU-8 [47]. Although initially formulated a glass-transition temperature around ∼200 ◦ C, degradation
for photolithography, the use of SU-8 has now been temperature ∼380 ◦ C and a Young’s modulus E ∼4–5 GPa
successfully extended as a resist for x-ray, e-beam and (table 1).
two-photon lithography. Its high chemical and mechanical The first SU-8 products were introduced commercially
stability after processing enables direct application of SU-8 by MicroChem in 1996. The resist is available in a wide
as a mould material for the fabrication of electroplated range of formulations to cover a film thickness range from
structures (LIGA technology [48–51]), and for soft lithography 2 to 300 µm in a single coating process or to 3 mm by
with thermosetting elastomers, for example in PDMS-based multicoating processes [53]. The SU-8 series is formulated
microfluidics [18]. It can also be used as a mask for prolonged with gamma-butyrolacton as a solvent. SU-8 films with
reactive ion etching of an underlying Si wafer, leading to thickness below 2 µm can be obtained by diluting the resist
features with higher aspect ratios and vertical sidewalls. solution with the solvent. More recently, a new generation of
Lithographic fabrication of SU-8 low-aspect-ratio SU-8 resist, the SU-8 2000, has also become commercially
millimetre and micrometre-sized structures is now well available. This series is formulated with cyclopentanone as

R82
Topical Review

Table 1. Physical properties of the SU-8 photoresist [52], reprinted can be found in the literature. It was found that Ti and TiO2
with permission, © 2005 AIP. substrates show the strongest adhesion to cured SU-8, while
Property Value Ni substrates show the poorest adhesion [54–57].

Wetting can be improved by applying commercial primers
Young’s modulus, E (post-bake at 95 C) 4.02 GPa
Young’s modulus, E (hard bake at 200 ◦ C) 4.95 ± 0.42 GPa
to the inorganic substrate before spin coating SU-8. Primers
Biaxial modulus of elasticity, E/(1 − ν) 5.18 ± 0.89 GPa (HMDS, MPTS, OmniCoat from Microchem Inc.) are low
Film stress (post-bake at 95 ◦ C) 16–19 MPa molecular weight organic substances which either strongly
Maximum stress (hard bake at 200 ◦ C) 34 MPa adsorb or react with the inorganic surface forming a thin
Friction coefficient (post bake at 95 ◦ C) 0.19 organic layer with lower surface energy which can then be
Glass temperature, Tg (unexposed) ∼50 ◦ C
Glass temperature, Tg (fully cross-linked) >200 ◦ C
wet by SU-8.
Degradation temperature (fully cross-linked) ∼380 ◦ C After the coating process, the substrate is soft baked
Thermal expansion coefficient (post-bake 52 ± 5.1 ppm K−1 in order to remove the solvent and improve resist–substrate
at 95 ◦ C) adhesion. This process is accompanied by shrinkage of the
Polymer shrinkage upon cross-linking 7.5% film. Soft baking is typically performed by progressive heating
the SU-8 film up to 95 ◦ C on a flat-levelled hotplate. Higher
soft-bake temperatures (T > 137 ◦ C) may initiate thermal
solvent and has improved coating and adhesion properties and
cross-linking even if photoactivation has not taken place [58].
faster processing times. This is particularly important in thick
Lower temperatures or short times leave resist films with a high
coatings, where one photolithographic cycle may take more
solvent content which will evaporate and therefore generate
than 2 h. Table 2 shows the typical values of the processing
high film stress during post-exposure baking.
parameters for the commercially available variants.
Exposure is typically performed by masked irradiation
with a UV lamp or by scanning lasers at wavelengths above
3. Lithographic processing with SU-8 350 nm. Resist’s UV absorption at these wavelengths causes a
non-uniform light intensity distribution across the resist film.
Processing of SU-8 starts by selecting the adequate This can be critical in thick layers used in HAR patterning
commercial variant attending to the desired thickness. and may result in lithographic features with pronounced T-
Available formulations cover the range from 2 to 250 µm. profiles and non-vertical sidewalls. These problems can
These can be spin-coated at 1000–3000 rpm depending on be avoided using other irradiation sources, such as x-rays,
the formulation viscosity. If film thicknesses below 5 µm electron beam, proton beam or scanning pulsed femtolasers
are required, previous dilution of the thinnest formulation is working at suitable wavelengths for two-photon excitation.
required. Films with thickness between 250 µm and 1 mm can After irradiation, a post-exposure bake increases the cross-
be obtained by superposing several spin-coating steps. Films linking degree in the irradiated areas and stabilizes them
thicker than 1 mm are usually obtained by casting. against the action of solvents during the posterior development
Good wetting of the substrate by SU-8 is crucial step. Development is performed by immersing the substrate
for obtaining homogeneous and stable coatings. This is in propylene glycol methyl ether acetate at room temperature,
determined by the substrate surface energy. Being an followed by a rinsing step in water or isopropanol. For
organic material, SU-8 is rather hydrophobic (contact angle applications where the imaged resist forms part of the final
of cured resin 73◦ ), and therefore has difficulties in wetting device, a subsequent hard-baking step at temperatures between
hydrophilic inorganic substrates, i.e. oxidized silicon wafers. 150 and 200 ◦ C is recommended.
A comparative analysis of the adhesion between SU-8 and Removal of SU-8 (if necessary) can be performed in
different substrates (Si, FeNi, Ni, Cu, Cr, Ti and their oxides) hot 1-methyl-2-pyrrolidon, followed by cleaning in HNO3 for

Table 2. SU-8 characteristic processing times (in minutes) for different variants and film thicknesses [47].
Viscosity Thickness Soft bake min Soft bake min Post-exposure Post-exposure Development
SU-8 type (cSt) (µm) at 65 ◦ C at 95 ◦ C 65 ◦ C 95 ◦ C (min)
SU-8 2 45 1.5–5 1 1–3 1 1 1
SU-8 5 290 5–15 1 3–5 1 1–2 1–3
SU-8 10 1050 10–30 2–3 5–7 1 2–3 2–5
SU-8 25 2500 15–40 3–5 5–15 1 2–4 3–6
SU-8 50 1250 40–100 5–10 15–30 1 4–10 6–10
SU-8 100 51 500 100–250 10–30 30–90 1 10–20 10–20
SU-8 2002 7.5 2–5 1 2 1 1 1
SU-8 2005 45 6–7 1 2 1 1 1
SU-8 2007 140 8.5–10 1 2 1 1–2 2–3
SU-8 2010 380 13–15 1 2–3 1 2 2–3
SU-8 2015 1250 21–25 1–2 2–5 1 2–3 3–4
SU-8 2025 4500 41–75 1–3 3–9 1 3–7 5–7
SU-8 2035 7000 35–110 2–5 5–20 1 3–10 5–10
SU-8 2050 14 000 50–165 3–5 6–30 1 5–12 6–12
SU-8 2075 22 000 110–225 3–5 9–45 1 7–15 7–12
SU-8 2100 45 000 100–260 5–7 20–60 1 10–15 10–20

R83
Topical Review

10 min. However, removal with this solvent after hard baking


does not work properly as a consequence of the high cross-
linking degree. In such case, removal needs to be performed
by reactive ion etching or laser micromachining.

4. Particularities of HAR lithography with SU-8

The processing steps described in the previous section


represent the standard SU-8 lithographic procedure. However,
processing thick SU-8 films for HAR patterning has particular
difficulties which will be discussed in the next sections.

4.1. Planarization defects


Although SU-8 is specially engineered to render planar
surfaces after spin coating, planarization defects occur
when the viscosity of the resist solution (and therefore the
spin-coated resist film) increases. Typical flatness errors for
the SU-8 system range from 10 to 100 µm in resist thickness
around 1 mm [59, 60]. Other factors such as spinning rims at
the wafer edge (‘edge beads’), unintentional tilt, dirt particles, Figure 3. The effect of air gaps between mask and resist during
curvature of the substrate or mask, etc, also contribute to exposure [63], reprinted with permission, © 2006 IOP. T-profiles
instead of vertical walls are obtained. Stronger negatively sloped
reduced surface flatness.
sidewall angles are obtained with increasing gap distance at a fixed
Leaving the film to relax on a very flat-levelled position exposure dose. Increasing the exposure dose partially compensates
for several minutes to hours allows reflowing and enhances the negatively sloped sidewall, however, at the drawback of an
planarization. Mechanical stress generated during spinning enlargement of the pattern width.
may be also alleviated during this time. Large edge beads may (This figure is in colour only in the electronic version)
not reflow completely and need to be removed by bevelling the
edges of the substrate, spraying the periphery of the substrate The diffraction error can also be corrected by filling the
with solvent or spraying the removal fluid on the bottom gap between the mask and photoresist with an index match
side of the substrate. Alternatively, the use of new spinning material (refractive index of SU-8: n = 1.668 at λ = 365 nm,
technologies can also minimize the edge bead and improve the n = 1.650 at λ = 405 nm [47]). Filling with glycerol (refractive
uniformity of SU-8 thick films. As an example, using a closed index 1.470–1.475) has shown to improve pattern fidelity [60].
chamber around the chuck of the spin coater which rotates with Exposure of a 156 µm thick SU-8 film to irradiation through a
the wafer excludes air turbulence and results in more uniform 25 µm striped pattern and a 100 µm air gap resulted in a 45%
coatings (GYRSET system from SÜSS Microtech, Germany pattern width error. Filling the gap with glycerol compensated
[61]). diffraction effects, and straight walls without appreciable error
In contact lithography, flatness errors hinder conformal were obtained [60]. Perfect match of the refractive index of
contact of the mask and resist during irradiation. The resulting the liquid and the SU-8 further reduces diffraction effects.
air gaps cause diffraction effects (Fresnel diffraction). This Using Cargille refractive index matching liquid, patterns with
result in pattern edges being irradiated with higher doses and, feature size 6 µm, 1150 µm high (aspect ratio > 190) and
consequently, pattern enlargement at the top of the features high quality sidewalls have been recently reported (figure 5)
(T-profile). The diffraction error increases with increasing [59]. Microstructures with a thickness up to 2 mm could also
resist thickness and gap distance. Figure 3 shows the effects be fabricated [59].
of Fresnel diffraction for different gap distances and exposure
doses [62, 63]. Whereas vertical sidewalls were obtained
4.2. Film stress and solvent gradients
under conformal contact, remarkable T profiles were seen
with increasing gap distance. Asymmetrical air gaps (tilted Solvent removal during soft baking is accompanied by volume
mask on the surface of the photoresist) caused asymmetrical shrinking and mechanical stress. The accumulated stress
T-profiles with overhanging rims in only one side of the feature. increases with increasing film thickness and feature lateral
Estimation models have been recently proposed to predict dimensions and may result in debonding of the resist layer
the sidewall profiles of SU-8 based on the effects of Fresnel from the substrate if adhesion is weak. Recent studies have
diffraction and absorption [63]. demonstrated that the soft-baking time is the major factor
Different methods have been used to reduce diffraction contributing to the overall film internal stress during processing
effects. Placing a soft cushion beneath the substrate causes (up to 50%), followed by exposure dose, post-exposure bake
convex bending of the wafer and improves conformal contact time and development time with a 30, 15 and 5% contribution,
when large edge beads are present (figure 4) [64]. This method respectively [65].
has permitted patterning of 4 in wafers with 145 µm thick and The soft-baking time determines the final solvent content
80 µm wide SU-8 bars separated by 8 µm wide microchannels of the resist. Short soft-baking times leave a softer resist
(aspect ratio > 18) [64]. film which is less prone to internal stress during subsequent

R84
Topical Review

Figure 4. Soft cushion technology compensates edge bead effects and improves conformal contact between mask and resist [64], reprinted
with permission from Springer Science and Business Media. The pictures below the diagram show how 145 µm thick and 80 µm wide
SU-8 bars separated by 8 µm wide microchannels (aspect ratio > 18) are successfully obtained with this technology.

(a) (b) (c)

Figure 5. 1150 µm high microcylinders obtained using different matching refractive index liquids for gap compensation [59], reprinted
with permission, © 2005 Elsevier. (a) Microcylinders with 10 µm wall thickness and 45 µm internal diameter obtained using a filtered light
source and no gap compensation. (b) Microcylinders with 8 µm wall thickness and 30 µm internal diameter obtained using broadband
exposure and glycerin gap compensation. (c) Microcylinders with 6 µm wall thickness and 20 µm internal diameter obtained using PMMA
filter and gap compensation with optical index matching liquid. As the wall thickness is reduced, the physical strength becomes too low to
maintain the freestanding positions.

processing steps. However, high levels of solvent after desired height is reached. It is expected that minimal diffusion
soft baking may result in (1) formation of bubbles during of solvent occurs from the added layer into the already soft-
post-exposure baking, (2) collapse of features due to lower baked layer and, as a result, solvent content would be reduced.
mechanical stability at the bottom because of the higher solvent However, the results show an average solvent content of 9%
content and (3) increased lateral diffusion rates of the acid in a 3 mm film after three coating steps and 45 h soft-baking
generator molecules outside of the masked areas during post- time. In the dry chip casting method, a 1 mm layer of SU-8
exposure baking and therefore lower contrast between cross- is first soft baked, cut into pieces and reconstituted to form a
linked and un-cross-linked areas [66]. If the resist is too thick SU-8 film. Reconstitution is performed at 105 ◦ C, where
hard, cross-linking in the irradiated areas will be hindered. SU-8 is melted, and in vacuum. 3 mm SU-8 films fabricated
Consequently, the optimum soft-baking time needs to be by this method have average solvent contents of about 7%.
optimized for each particular thickness and application. The distribution of solvent across the film thickness is
In SU-8 films with thicknesses above 1 mm obtained by another crucial factor [68]. In single cast 2 mm thick films,
single casting, soft baking typically takes 10–30 h depending the solvent content varies typically from 10.4% at the top and
on the film thickness. Residual solvent after soft baking 5.4% at the bottom of the resist film. Solvent evaporation
single cast SU-8 films of 1 mm on a hot plate represents on during soft baking is diffusion controlled. The evaporation
average 7%. Solvent content in 3 mm thickness represents rate is determined by the diffusion coefficient of the solvent
12% [67]. Two strategies have been proposed to reduce the in the resist, which increases exponentially with increasing
solvent content of such thick films: multiple casting and dry temperature and free volume. The free volume is determined
casting. In the multiple casting method, a 1 mm thick layer by the amount of retained solvent, which acts as a plasticizer.
of SU-8 is soft baked until a solvent content of 7% is reached. At the beginning of the soft baking, the solvent evaporates
Next, a new layer of SU-8 is cast on top of the previous layer, quickly because of the very high solvent concentration. The
and soft baking is repeated. The procedure is repeated until the diffusion coefficient is large enough to evaporate solvent from

R85
Topical Review

Figure 6. (a) Transmission spectrum of 1 mm thick unexposed SU-8100 [59], reprinted with permission, © 2005 Elsevier. (b) Transmitted
intensity of an SU-8 film at different wavelengths after increasing exposure times [71], reprinted with permission, © 2006 AIP.

the bottom of the film and, therefore, there is no significant pronounced during exposure. This results in the top part of
solvent gradient across the film thickness. The evaporation the resist being irradiated with higher dosage as the bottom
rate decreases gradually as the solvent content decreases and, part, and generates T-profiles instead of vertical profiles in
consequently a solvent gradient appears in the film. If the photolithographic SU-8 structures.
soft-baking temperature is high, the solvent near the surface The UV absorption spectrum of the unexposed SU-8 resist
is evaporated completely while the solvent level of interior is shows much higher absorbance at shorter wavelengths than
still high. A ‘skin’ of dried, glassy polymer appears on the at longer wavelengths. In addition, the absorbance change
film and hinders diffusion of the solvent from the interior. during exposure is also more pronounced at short wavelengths.
Solvent gradients become more pronounced as the This means that the longer wavelength components of the
thickness is increased. The length scale of diffusion of the irradiation source penetrate further down and expose the
solvent during the soft baking becomes so large that the solvent bottom part. For this reason, it is recommended to filter out
trapped below the surface cannot escape easily. Furthermore, the wavelengths below 365 nm during exposure. PMMA filters
the problem is not necessarily solved by extending the time have shown the best performance [59].
of the soft bake indefinitely because, eventually, the level of In particular cases, the high absorption of the short
cross-linking that occurs during the soft bake (albeit at a very UV wavelengths can be advantageous [72]. Freestanding
low rate) will reach an unacceptable level whereby the SU-8 structures on the top of SU-8 pillars have been realized by
can no longer completely develop [66]. first exposing a layer of SU-8 at 365 nm to generate the
Solvent content and solvent gradients may also vary pillars and subsequently exposing a second SU-8 layer to
depending on the equipment used for soft baking [69, 70]. Two 313 nm UV to generate the overhangs. Under these conditions,
only the second SU-8 layer is photoactivated in the second
baking methods are commonly used: hot plates and ovens. In
exposure and the underlying photoresist can be removed during
an oven, the resist is uniformly heated by convection from all
development.
sides. Skin formation on the resist surface is often observed,
which reduces further solvent evaporation. This phenomenon
can be avoided by hot plate baking. Here, the resist is heated 4.4. Long development times
from below by heat conduction, and a temperature gradient Pattern development involves diffusion of developer molecules
develops in the resist layer (higher temperature at the bottom into the non-cross-linked SU-8 regions and diffusion of
of the resist). This has a favourable effect in thinner resist solvated polymer chains out of them to the developer
layers (convection, quicker solvent remove). However, for solution. The time required for development is determined
large thickness a uniform bake of the layer is not possible by the exposure dose, soft-baking time and temperature,
anymore. the temperature and agitation during development and the
Infrared irradiation has also being used for soft baking geometry of the pattern. HAR features such as narrow and
[69]. This method allows a more uniform heating of the deep holes, tubes, or horizontally orientated long channels
resist layer, permits lower soft-baking temperatures and shorter are more difficult to develop. This process, if possible at
baking times, and yields patterns with lower residual stress all, may take many hours and cause dramatic damage of fine
and, consequently, higher aspect ratio. features as a consequence of swelling of cross-linked regions
or debonding of the resist layer from the wafer.
4.3. Resist UV absorption Development has been accelerated by moderately
increasing the temperature of the developing solution, by
As a photosensitive organic material, SU-8 absorbs light reducing the exposure time and consequently the cross-linking
in the UV range (figure 6(a)) [59]. Absorption degree or by agitation [73]. Stirring of the developer solution
increases progressively during exposure due to the chemical enhances diffusion, which increases the development rate.
changes induced during photoactivation (figure 6(b)) [71]. Downward orientation of the wafer during stirring has been
Consequently, there is a gradual light intensity drop across demonstrated to improve development of HAR structures, as
the film thickness when an UV beam penetrates the resist it allows gravitational forces to aid in the removal of resist
layer from the top to the bottom, and this drop becomes more [74, 75].

R86
Topical Review

(a) (b) (c)

Figure 7. Pattern collapse due to capillary forces during drying. When a substance crosses the boundary from liquid to gas, the size of the
liquid decreases. As this happens, surface tension at the solid–liquid interface pulls against any structure that the liquid is attached to. The
acting forces are represented in (a) [81], reprinted with permission, © 1995 AIP. Delicate structures, such as photoresist features, tend to
collapse by this surface tension as the interface (drying front) moves by. (b) Collapsed SU-8 micropillars with 5 µm diameter and aspect
ratio > 8. (c) SU-8 HAR pillars reinforced with bridges for avoiding collapse [79], reprinted with permission from Springer Science and
Business Media. These were fabricated by double exposing an SU-8 layer to x-rays to image HAR pillars and to low dose UV to image the
fine walls connecting neighbouring pillars. The low dose UV exposure is performed so that cross-linking in the SU-8 only occurs to a
shallow depth. After development, 50 µm square pillars separated by 63 µm spacings and connected by 10 µm wide and 113 µm height
bridges were obtained from a 1.5 mm thick resist. The bridges hold the columns in place and collapse is overcome even if the features are
densely packed.

Stirring during development is not always beneficial. planarization properties. Alternatively, the cross-linking
Strong stirring may cause HAR structures to deflect in the degree may also be increased, although this may result in
pressure gradient and this may lead to pattern deformation, higher volume shrinkage and increased film stress. Recently,
debonding, adhesion between nearby structures and finally collapse has been prevented by reinforcing the SU-8 HAR
pattern loss. Sonic development in its two categories, structures through ‘bridges’ which prevent them from lateral
ultrasonic and megasonic, can be applied to solve this problem. bending [79]. Figure 7(c) shows an example.
Ultrasonic development uses frequencies in the kilohertz range In lithographic processing, there is a more dramatic reason
to agitate the developer. This increases the pressure at the resist for pattern collapse. It occurs during development and rinse,
surface and accelerates diffusion and development. However,
and is a consequence of the capillary forces acting on the
ultrasonic waves also cause vibrations in the pattern structure
resist walls during solvent evaporation and causing bending
which may result in cracking and debonding over time. For
and stiction of neighbouring features [6, 80–83] (figure 7).
this reason, ultrasonic baths are not always beneficial in HAR
Capillary forces increase with increasing surface tension of
processing.
Megasonic agitation, which uses frequencies well above the rinsing liquid and decreasing contact angle between liquid
the vibrational modes of the resist structures (typically 1 to and resist (i.e. increased wetting of the resist by the liquid) [84].
10 MHz), has been proved to be more effective. SU-8 Substitution of the rinse water by water/alcohol mixtures with
structures ranging from 20 µm to 1.5 mm in height and lower surface tension (72 mN m−1 for water and 21.8 mN m−1
with aspect ratios up to 50 by optical and well over 100 by for isopropanol) has been proposed as an alternative to
x-ray lithography have been reported [76, 77]. Conventional avoid collapse during drying [85]. However, this method
development restricted the feature’s aspect ratio to 30. has been rejected by other authors because of the strong
Parameters such as damping capacity, agitation power density, wetting of SU-8 by isopropanol (contact angle, θ = 20◦ for
orientation of the sonic wave relative to the features and isopropanol and 81◦ for water). Other low-surface-tension
substrate may also influence the process but have not yet been solvents such as perfluorohexane (10 mN m−1) have also been
considered. suggested [86, 87]. However, these solvents lack compatibility
with SU-8 processing chemicals (perfluorocarbon solvents
4.5. Collapse of structures during rinsing have poor miscibility with the developer and rinse solution).
Furthermore, the finite value of its surface tension is still not
As the AR of the features increases and their lateral
sufficient to prevent pattern collapse in nanolithography, even
size decreases, collapse of the SU-8 pattern becomes an
though it is so small.
important problem. Collapse occurs when the adhesive forces
between the features in contact overcome the forces required Freeze drying offers another alternative, but this process
for bending them. Simple mechanical calculations have requires large processing times [88]. Supercritical drying
established the dependence of pattern collapse on Young’s using CO2 after replacement of rinsing water (insoluble
modulus of the resist material, its surface energy and the in CO2) by alcohols (soluble in CO2) provides another
pattern geometry (height, width and interfeature distance) [78]. route for preventing collapse. In a supercritical liquid, the
The maximum AR achievable increases with increasing SU-8 surface tension becomes negligible and the capillary force is
stiffness, decreasing SU-8 surface energy and increasing nonexistent. However, special equipment to withstand high
feature spacing. Increasing SU-8 stiffness may be performed pressures and elevated temperatures is required [87]. This
by adding inorganic fillers to the resist formulation. However, factor renders this method not universally applicable for wet
composite SU-8 exhibits higher viscosity and this may worsen processing.

R87
Topical Review

5. HAR patterns obtained from SU-8 much further from the point of impact, leading to a loss in
resolution known as ‘proximity effect’. Electron wavelengths
5.1. By UV exposure on the order of 1 Å can be easily achieved, but electron
scattering limits the attainable resolutions to >10 nm. In
Optimized UV lithography conditions have afforded line
the case of SU-8, being a chemically amplified resist, pattern
patterns with aspect ratios up to 20 from SU-8 films with
broadening also occurs as a consequence of the diffusion of
thickness ranging from 200 to 700 µm [89]. Isolated SU-8
the photoacid generator during post-exposure baking. In spite
structures with up to 1.5 mm height and aspect ratio 15 have
of these limitations, linewidths down to 70 nm with a pitch of
also been reported [90–92]. Carefully optimized post-baking
300 nm in a 200 nm thick SU-8 layer [100], linewidths down
conditions have improved the aspect ratio of isolated structures
to 30 nm in a 150 nm thick SU-8 layer [101] and even
with 1150 µm height up to 40 [93].
sub-30 nm lines with a pitch down to 300 nm in a 100 nm
thick SU-8 layer [99] have been achieved.
5.2. By x-ray exposure
X-rays are a quite convenient exposure method for HAR 5.4. By proton beam lithography
lithography, although the difficult access to synchrotron
High-energy ions, such as Ga+, H+ or He+, are able to deeply
radiation strongly restricts the use of this lithography to the
penetrate a resist material with well-defined paths. During its
broad public. Due to their short wavelengths (7–12 Å), x-rays
trajectory in the material, the probability that an ion interacts
are able to deeply penetrate thick photoresist layers without
being absorbed by the material and create HAR structures with with an electron is several orders of magnitude larger than
vertical sidewalls. In fact, SU-8 pillars with an 8 µm diameter for nuclear scattering. Because of the high mismatch in mass
and aspect ratio up to 100 have been reported [94]. between the ion and the electron, ion–electron interactions do
SU-8 shows high sensitivity to x-rays and therefore not result in any significant deviation in the trajectory of the
requires low exposure times for patterning [95]. However, ion from the straight-line path. These features allow ion beam
the high-energy x-ray irradiation induces fluorescence when writing to fabricate HAR structures with smooth and vertical
it penetrates the resist, which can travel laterally into the sidewalls and high packing densities [102]. The penetration
masked areas and initiate cross-linking of SU-8 [96, 97]. This depth depends on the ion energy. The minimum lateral size of
causes blurring of the transferred pattern and decreases lateral the pattern is mainly determined by the size and shape of the
resolution. Better pattern definition has been achieved when beam spot.
the resist is left in oxygen atmosphere (or in air) for some A 2.5 MeV proton beam focused to sizes between 1.5 and
hours before soft baking [96, 97]. This has been explained as 2.5 µm was used to expose SU-8 with thicknesses between
a consequence of oxygen molecules diffusing in the film and 5 and 95 µm [103]. Posts with 1.5 µm in diameter in
inhibiting the subsequent photochemical reaction. Oxygen 40 µm resist layers were obtained. Lines with 60 and
is a strong free-radical scavenger and kills the free radicals 120 nm width supported by pillars with 2 µm diameter
generated by fluorescence in the masked areas, providing a have also been written in 10 µm thick SU-8 films. This
better pattern definition. configuration ensures the integrity of the narrow walls with
aspect ratio >160 during development. AFM characterization
of the roughness of these structures gave a sidewall roughness
5.3. By electron beam lithography of 2.5 nm [104].
Although SU-8 was initially developed for optical lithography, HAR voids of 100 nm width and 2 µm depth have also
it is increasingly used as highly sensitive negative-tone e- been obtained by proton beam lithography in SU-8 [105].
beam resist for nanopatterning. SU-8 offers higher sensitivity Tilted structures with sub-micrometre resolution were also
(typically 150 times higher) and therefore faster exposure and fabricated by varying the incidence angle of the ions [106,
throughput than traditional PMMA-based e-beam resists. A 107].
comparison of the performance of SU-8 in e-beam lithography
against other negative-tone resists has been recently reported 6. 3D patterns obtained from SU-8
[98]. Note that the electron-beam radiation chemistry can
differ substantially from the photolytic path, and there is poor Several methods have been developed to lithographically
correlation between photosensitivity and e-beam sensitivity. fabricate 3D structures from SU-8 films. The most
The small penetration depth of low energy electrons straightforward strategy is based on layer-by-layer processes
restricts the use of e-beam patterning to resist thickness where multiple coating/irradiation steps are concatenated or
below 200 nm and therefore limit the AR of the patterned iterated, each of them defining structures at different levels. In
features. There are no commercial formulations of SU-8 for order to minimize the number of processing steps required in
this thickness regime and typically the SU-8 formulation with the layer-by-layer approach, new strategies with intrinsic 3D
the lowest solid content is thinned with cyclopentanone solvent structuring capability have been developed.
to obtain solid content values ∼5% and thicknesses below
200 nm [99]. 6.1. By layer-by-layer multiexposure
Another limitation of e-beam patterning is that the spatial
distribution of electrons at the resist interface is not confined Lithographic fabrication by superposition of SU-8 coating
to the diameter of the primary electron beam. Instead and irradiation steps enables 3D fabrication using traditional
the impinging electrons scatter and expose resist molecules 2D setups and alignment markers on the mask for guiding

R88
Topical Review

(1) Spin-coating photoresist (a)

(2) Masked irradiation

(b)

(3) Spin-coat new photoresist layer


(c)

(4) Masked irradiation

(d)

(5) Development
(e)

(f) (g)

Figure 8. Layer-by-layer structuring method and example of


fabricated microstructures with SU-8 25. Base pillars have 50 µm
diameter and 40 µm height. Top pillars have 9 µm diameter and
35 µm height. The negative effects of misalignment can be seen in
the ground collapse of some thin pillars. Processing conditions are
as follows: first spin coating 5 s at 500 rpm and 60 s at 900 rpm, soft
bake 3 min at 65 ◦ C and 12 min at 95 ◦ C, slow cooling, exposure Figure 9. The process sequence for the fabrication of overhanging
32.5 s with soft contact using a Karl Süss MJB 3 Mask Aligner, hard cantilevers in SU-8 by layer-by-layer exposure through contact
bake 1 min at 65 ◦ C and 4 min at 95 ◦ C, slow cooling, development printed shadow masks [110], reprinted with permission, © 2006
5 min; spin coating second layer 5 s at 500 rpm and 60 s at 1750 rpm, IOP. (a) Contact printing of the mask on an SU-8 substrate
soft bake 3 min at 65 ◦ C and 7 min at 95 ◦ C, slow cooling, exposure composed of a polymerized bottom layer covered by a non-
23 s in soft contact mode, alignment of base and top layer using polymerized layer. The image shows a schematic cross-section of
optical microscope and alignment markers in the mask, hard bake the stamp and substrate. (b) Spin-on of a thin SU-8 layer on the top
1 min at 65 ◦ C and 4 min at 95 ◦ C, slow cooling, development 10 of the stamped mask. (c) UV-light lithography to define the
min, second hard bake 30 min at 150 ◦ C followed by slow cooling. cantilever and the microchannel. The embedded mask protects the
underlying resist from light exposure. (d) Polymerization of the
UV-exposed resist by a post-exposure bake. (e) SU-8 development
superposition. Figure 8 shows a schematic of the process and mask etching to free the cantilever. Release of the chip from the
and an example of SU-8 patterns obtained by this method. substrate. (f ) and (g) Electron microscope images of
More complex variants combine double-side exposures, microfabricated cantilevers integrated into a microfluidic channel.
The thickness of the beams is 8 µm.
sacrificial photoresist layers and antireflective coatings with
multiexposure [108]. shadow masks which were embedded into the SU-8 multilayer
Depending on the final geometry of the pattern, SU-8 [110]. The mask protected buried resist from subsequent
development needs to be performed after each irradiation UV exposure and enabled fabrication of 4 µm thick and
step, or can be left to the end and performed in a single 100 µm long cantilevers integrated into a microfluidic device
step. In the case of HAR structures, single development is (figure 9).
specially recommended because of the risk of pattern collapse
during drying and the difficulty of achieving planar resist
6.2. By inclined/rotated lithography
layers over underlying HAR topographies. Using this method,
SU-8 hierarchical patterns comprising features with lateral In conventional lithography the mask and the resist film are
dimensions ranging from 5 µm to 2 mm and heights from 10 perpendicularly aligned with respect to the irradiation source.
to 500 µm have been reported. In order to reduce film stress The light is shadowed by the mask for a one-to-one projection
and avoid SU-8 cracking during the multicoating processing, of the pattern on the resist, resulting in vertical, binary-
SU-8 resists of different viscosities (SU-8 2100 and 2010) were contrast, lithographic profiles. By tilting the mask and resist
sequentially processed and simultaneously developed [109]. film with respect to the beam using a tilting stage, inclined
3D structures with overhanging features have been structures have been produced [111]. Oblique SU-8 cylinders,
fabricated by layer-by-layer exposure through contact printed embedded channels, bridges, V-grooves and truncated cones

R89
Topical Review

(a) Single tilted exposure (b) Two tilted exposures (c) Rotated and tilted (d ) Tilted, reflected exposure

Θ Θ
Φ

Figure 10. Tilted and rotated lithography [111], reprinted with permission, © 2004 Elsevier. (a) Tilted SU-8 pillars obtained by tilted UV
single exposure. Tilting angle is 19.5◦ and scale bar corresponds to 100 µm. (b) SU-8 structures obtained by UV double exposure. Scale bar
corresponds to 200 µm. (c) Tapered structures obtained by tilted and rotated UV exposure. Scale bar corresponds to 50 µm. (d) SU-8
structures fabricated by tilted UV on reflective substrates (aluminium-coated silicon). Scale bar corresponds to 50 µm.

with aspect ratios >4 have been fabricated using 100 µm which avoid time-consuming multiexposure strategies. These
thick SU-8 layers and a conventional UV mask aligner are based on special masks and projection optics which allow
(figure 10(a)) [111]. Multiple inclined UV exposures along modulated exposure doses of the resist film. This results in
different axes can be exploited to generate complex 3D multiple depths of the exposed photoresist across the surface
structures (figure 10(b)) [22, 111, 112]. Tilted exposure can and, consequently, different heights of the photoresist structure
also be combined with vertical exposure to create asymmetric remaining after development.
pillars with vertical and inclined sidewalls [113]. ‘Grey scale’ lithography makes use of special photomasks
The fabricated structure angle after tilted exposure is which modulate the light intensity according to their grey
different from the exposure angle due to different refractive levels. Two types of grey scale masks can be used: halftone
indices of SU-8, mask and air (nair = 1, nglass = 1.47, nSU-8 = chrome masks and high-energy beam sensitive (HEBS) glass
1.668 at λ = 365 nm and 1.650 at λ = 405 nm) [114]. masks. Halftone masks are essentially binary chrome masks
Structures with smaller tilt angles than the exposure angle with grey levels simulated by different densities of opaque
are obtained as a consequence of the refraction of the incident pixels on a transparent background. HEBS glass masks are
UV light at the interface of each material. For example, a fabricated by exposing this glass to controlled doses of a high-
light beam projected on the resist at 45◦ propagates at an angle energy electron beam, causing the reduction of silver ions
of 25.24◦ . This imposes a theoretical limit of the fabricated in the glass. Areas of the mask with high concentrations of
structure angle which is 38.7◦ for a maximum exposure angle reduced silver ions correspond to high levels of grey shading.
of 90◦ . In order to improve maximum angle, the mismatch This method has been used to produce suspended 10 µm thick
of the refractive index between exposure medium and SU-8 grid structures on the top of 15 to 100 µm high SU-8 pillars
should be compensated. This has been achieved by using either [119, 120].
glycerol (nglycerol = 1.47) or water (nwater = 1.3) as exposure Grey masks are difficult and expensive to fabricate. Masks
medium instead of air [115, 116]. As a result, the maximum with a topographical pattern of a UV-absorbing photoresist
angle of the inclined structure has been increased to 56.2◦ . with features of different heights can also generate differential
If the photomask- and photoresist-coated substrates are UV doses and are more affordable [121]. Due to the absorption
tilted and simultaneously rotated during irradiation, tapered of UV energy by the photoactive and dye ingredients in
structures with non-vertical sidewalls can also be generated the mask, irradiation doses vary according to the height of
(figure 10(c)) [111, 117]. Such tapered structures are the mask features. Such masks have been fabricated by
interesting if the SU-8 pattern is needed as master for a lithographic structuring of a AZ4620 resist layer on a glass
subsequent replication step because they provide a draft angle substrate, followed by reflowing of the resist features to form
and facilitate demoulding [118]. hemispheres. Different curvatures were obtained by reflowing
During irradiation, the incident light which penetrates under various geometries, temperatures and time conditions.
the resist film is always reflected at the photoresist–substrate UV exposure of the SU-8 layer through such grey mask forms
interface. Typically, the energy of the reflected UV is too curved SU-8 structures due to the differential exposure dose.
low to initiate cross-linking of the resist, and therefore this Photolithographic masks containing scale coloured
effect is usually not considered. However, if the energy of patterns printed on transparent films have also been used for
the reflected UV is increased (by raising exposure times or modulated exposure [122]. Exposing an SU-8 layer through
by using reflective substrates) cross-linking may be initiated the coloured mask renders features with different heights as
along the path of the reflected beam [111]. Figure 10(d) a consequence of the differences in the UV absorption by the
shows the structures obtained after single tilted exposure using colours, and therefore transmitted intensity to the SU-8 film.
a reflective Al-coated silicon wafer.
6.4. By holographic lithography
6.3. By modulated exposure
3D patterns can be created on SU-8 films by holographic
Recently, a number of novel approaches to generate multilevel lithography (or interference lithography, IL). This exposure
structures from single resist layers have been demonstrated method is based on the interference of coherent laser beams

R90
Topical Review

contrast, whereas the SU-8 pattern is infiltrated with silica


(a) (c) precursors and burned away. The remaining silica negative
is then filled with silicon and the silica is selectively etched
away.
Alternatively, the refractive index of SU-8 layers has been
modulated taking advantage of the higher refractive index of
thermally cross-linked SU-8 (at T > 137 ◦ C) versus that of
cross-linked SU-8 after UV exposure (1.5930 and 1.5858,
respectively) [58]. The 0.0072 refractive index difference
(b) (d) is a consequence of the lower solvent content and therefore
higher density of the thermally cross-linked material due to
the long thermal treatment time. Exposing a 44 µm SU-8
film to UV irradiation through a mask with a pixel size of
15 µm and a mean resolution of 2.11 µm, followed by a post-
exposure baking at 95 ◦ C and a thermal baking at 170 ◦ C (no
development!) yields an SU-8 film with a lateral modulation
Figure 11. SU-8 structures with fcc symmetry fabricated with
four-beam holographic lithography using decreasing exposure of refractive index in exposed/non-exposed regions.
dosage [126], reprinted with permission, © 2003 AIP: (a) 160,
(b) 130, (c) 100 and (d) 60 mJ cm−2. 6.5. By stereolithography of resist multilayers
Stereolithography historically refers to the technology of
to form a periodic, sinusoidal intensity pattern in space. By creating 3D objects from computer aided design patterns by
exposing SU-8 to this illumination pattern, periodic structures adding and exposing photoresist layers to scanning UV lasers.
are obtained after development. Different symmetry patterns When the process is repeated sequentially after adding new
can be generated by controlling the number, amplitude, phase, resin layers on top of the cured one, 3D structures can be
wave vector and polarization of the interfering beams. Two- created with micrometre precision [134].
beam IL creates 1D surface relieves. 2D gratings can be
produced by overlaying two sequential exposures at 90◦ or 6.6. By laser scanning of single resist layers
by interfering three beams. Using additional beams in a
Nd:YAG lasers operating at a wavelength of 355 nm have
single exposure or overlaying multiple exposures, 3D periodic been used to expose the SU-8 photoresist through a two-
structures can also be fabricated. axis scan head and expander lens [135, 136]. This exposure
Theoretical works have predicted the appropriate laser method produces a nonuniform distribution of laser power
beam configurations to achieve different periodic 3D structures along its incident axis. By this means, in-plane and in-depth
(e.g. bcc, fcc, gyroid or diamond symmetries) [123, 124]. processing is achievable by controlling the current to the pump
Among them, only a few have been manufactured [125–132]. diodes and by varying the focus level to yield the desired
Four-beam IL has proved to give fcc [126, 127] (figure 11), exposure energy at the appropriate spot in the photoresist. This
simple cubic, bcc [128] and diamond-like [129, 130] SU-8 method allows the fabrication of microfluidic components such
patterns. The interference pattern of six equally spaced as cantilevered valves, embedded channels and other shapes
circumpolar linearly polarized side beams and a circularly requiring gaps between layers from a single SU-8 layer. The
polarized central beam has been used to fabricate spiral reported maximum scan field size is 100 × 100 mm2, while the
microstructures [131]. The pitch and separation of the spirals minimum spot size is 20 µm. This limits the lateral resolution
were varied by changing the angle between the side beams of the patterned features.
and the central beam. Ten-beam IL has produced 3D periodic In the last few years, picosecond and femtosecond
quasicrystals [132]. lasers have been used for 3D structuring to achieve
The spatial light intensity distribution within the higher precision exploiting two-photon absorption (TPA).
interference pattern generates a spatial distribution of elastic Conventional electronic transitions caused by the absorption
and plastic properties of the fabricated structures, with of a single photon of energy hν 1 may be produced
higher mechanical properties observed at the nodes [133]. by the simultaneous absorption of two photons, each
3D structures of this kind can be considered as composite photon providing half the energy of the transition (hν 1/2).
networks with potentially peculiar properties associated with The probability of a molecule absorbing two photons
the nonuniformity of their internal elastic properties. Initial simultaneously (defined by the TPA cross section, δ TPA) is
experiments with 2D patterns have shown their capability of proportional to the square of the intensity of the input beam.
highly plastic deformation, a property which is not expected This implicates that two-photon absorption requires the use of
from glassy, highly cross-linked SU-8 films [133]. very powerful lasers and occurs only at the focal plane where
The periodic structures obtained by IL find preferential the intensity is maximized. As a consequence, processes based
application in photonics. This application requires resist on TPA present much higher lateral resolution than those based
materials with a refractive index >2 to open omnidirectional on single-photon absorption.
band gaps. Therefore, structures formed from SU-8 (n = 1.6) Although selection rules for single-photon and two-
are not appropriate for this application. Instead, they have been photon excitation are different, most resins that polymerize
used as templates for inorganic structures with a larger index under UV exposure can undergo similar reactions by TPA,

R91
Topical Review

(a) (b) (c)

Figure 12. (a), (b) 3D structures obtained by TPL using SU-8 [52], reprinted with permission, © 2005 AIP. (c) SEM image of photonic
spiral structures obtained by TPL using SU-8 with a 180◦ phase shift between adjacent spirals [140].

provided that the irradiation intensity is high enough. This Some of the difficulties of SU-8 processing may be
seems to be the case for SU-8, as patterns with pillars, planes overcome with improved formulations of the system. In this
and cage microstructures [52, 137, 138] and 3D nanostructures context, SU-8 based resists containing silica nanoparticles
with lateral sizes as small as 120 nm [139] have been obtained up to 15 wt% have been recently described [145, 146].
by this technique (figure 12). Photonic structures with spiral These systems seem to show higher sensitivity and lower
architectures have been obtained from SU-8 layers using expansion coefficient than the unreinforced SU-8. Particle
a femtosecond Ti:Sapphire laser with a central wavelength loadings below 2.5 wt% result in better resist adhesion to
at 800 nm and are shown in figure 12 [140–142]. Other the substrate, lower stress and less cracks after processing
authors have combined IL to define a periodic host photonic without compromising the lateral resolution and the vertical
crystal, and a scanning two-photon excitation laser to create a sidewall profiles. Above this value, the resolution decreases
waveguide and embedded microcavities at a depth of 5 µm in drastically, followed by a difficulty to develop the exposed
a 12 µm layer [143]. parts of the photoresist. The silica particles are abrasive and
A recent report suggests that exposure of SU-8 to therefore decrease the wear rate and frictional coefficient of
femtosecond lasers may directly induce localized thermal the unreinforced SU-8 material.
polymerization as a consequence of a temperature rise at the In addition, novel formulations may expand the
focal region [144]. This mechanism allows direct development application field of SU-8. As an example formulations of SU-
after exposure without the need of a post-bake process and 8 resist with conductive properties have been reported [147,
therefore the fabrication of patterns with smaller lateral size. 148]. These contain silver nanoparticles in concentrations
above the percolation threshold (6 vol.%). Depending on the
7. Discussion silver volume fraction, the composite structures show electrical
resistivities between 104 and 10−4  cm. As a consequence,
SU-8 can be processed with a number of patterning techniques the conductive photoresist can be used for direct manufacture
to render high-aspect-ratio and 3D submicron structures. of electrically conductive microcomponents.
The irradiation source and configuration used for processing
determine the maximum lateral resolution, aspect ratio and References
geometrical complexity of the patterned features. Simple
SU-8 structures (pillars and walls) with aspect ratio above [1] Gates B D et al 2005 New approaches to nanofabrication:
molding, printing, and other techniques Chem. Rev.
100 but a maximum lateral resolution of 8 µm have been 105 1171–96
reported after UV exposure. Better lateral resolution (<1 µm) [2] Gates B D et al 2004 Unconventional nanofabrication Annu.
maintaining high aspect ratios has been achieved using x-rays. Rev. Mater. Res. 34 339–72
High-energy beams may render even smaller structures, but [3] Xia Y N and Whitesides G M 1998 Soft lithography Angew.
with limited aspect ratio. It is worth to mention that these Chem. Int. Ed. 37 551–75
[4] Wallraff G M and Hinsberg W D 1999 Lithographic imaging
techniques are not available at any research lab and are not
techniques for the formation of nanoscopic features Chem.
suitable for industrial implementation due to their high cost Rev. 99 1801–21
and low throughput. [5] Rothschild M 2005 Projection optical lithography Mater.
Photolithographic patterning of complex SU-8 structures Today 8 18–24
is possible using multiexposure methods. The complexity [6] Brunner T A 2003 Why optical lithography will live forever
J. Vac. Sci. Technol. B 21 2632–7
of the achievable designs correlates with the number
[7] Ito T and Okazaki S 2000 Pushing the limits of lithography
of coating/exposure steps and this limits the industrial Nature 406 1027–31
implementation of these strategies. Interference lithography [8] Willson C G and Trinque B C 2003 The evolution of
provides increased throughput in 3D patterning at the cost materials for the photolithographic process J. Photopoly.
of reduced flexibility of pattern geometry (only symmetric Sci. Technol. 16 621–7
structures). Two-photon laser scanning overcomes the [9] Rothschild M et al 2004 Liquid immersion lithography: why,
how, and when? J. Vac. Sci. Technol. B 22 2877–81
flexibility issue and allows serial writing of arbitrary [10] Gil D et al 2004 Immersion lithography: new opportunities
geometries. However, writing speeds are slow and costly high for semiconductor manufacturing J. Vac. Sci. Technol. B
intensity laser beams are required. 22 3431–8

R92
Topical Review

[11] Ye J-Y et al 2002 Fabrication and optical characteristics of [35] Callow M E et al 2002 Microtopographic cues for settlement
silicon-based two-dimensional photonic crystals with of zoospores of the green fouling alga Enteromorpha
honeycomb lattice Opt. Commun. 211 205–13 Biofouling 18 237–45
[12] Cuisin C et al 2000 Fabrication of three-dimensional [36] Geim A K et al 2003 Microfabricated adhesive mimicking
photonic structures with submicrometer resolution by gecko foot-hair Nature Mater. 2 461–3
x-ray lithography J. Vac. Sci. Technol. B 18 3505–9 [37] Jin M et al 2005 Superhydrophobic aligned polystyrene
[13] Campbell M et al 2000 Fabrication of photonic crystals for nanotube films with high adhesive force Adv. Mater.
the visible spectrum by holographic lithography 17 1977–81
Nature 404 53–6 [38] Sitti M and Fearing R S 2003 Synthetic gecko foot-hair
[14] Lin S Y et al 1998 A three-dimensional photonic crystal micro/nano-structures as dry adhesives J. Adhes. Sci.
operating at infrared wavelengths Nature 394 251–3 Technol. 17 1055–73
[15] Heyderman L J et al 2003 High volume fabrication of [39] Yurdumakan B et al 2005 Synthetic gecko foot-hairs from
customised nanopore membrane chips Microelectron. multiwalled carbon nanotubes Chem. Commun. 3799–801
Eng. 67–68 208–13 [40] Ito H 2005 Chemical amplification resists for
[16] Kee C S et al 2005 Photonic band gaps and defect modes of microlithography Adv. Polym. Sci. 172 37–245
polymer photonic crystal slabs Appl. Phys. Lett. 86 051101 [41] http://www.Dupont.com/pcm.
[17] Kuwabara K et al 2004 Fluorescence measurements of [42] http://www.tok.co.jp/products/products-e2.htm.
nanopillars fabricated by high-aspect-ratio nanoprint [43] http://www.insulectro.com/dryfilm.htm.
technology Microelectron. Eng. 73–74 752–6 [44] http://www.thinktink.com/stack/volumes/voli/
[18] Delamarche E et al 2005 Microfluidics for processing store/specs/4615spec.htm.
surfaces and miniaturizing biological assays Adv. Mater. [45] http://www.jsits.com/kpr/facility.htm.
17 2911–33 [46] Koukharenko E et al 2005 A comparative study of different
[19] Burns M A et al 1998 An integrated nanoliter DNA analysis thick photoresists for MEMS applications J. Mater. Sci.,
device Science 282 484–7 Mater. Electron. 16 741–7
[20] Wagler P F et al 2003 Microfabrication of a BioModule [47] http://www.microchem.com/products/su eight.htm.
composed of microfluidics and digitally controlled [48] Chang H K and Kim Y K 2000 UV-LIGA process for high
microelectrodes for processing biomolecules Smart Mater. aspect ratio structure using stress barrier and C-shaped
Struct. 12 757–62 etch hole Sensors Actuators A 84 342–50
[21] Thorsen T et al 2002 Microfluidic large-scale integration [49] Kim S-H et al 2002 A high-aspect-ratio comb actuator using
Science 298 580–4 UV-LIGA surface micromachining and (110) silicon bulk
[22] Romanato F et al 2004 X-ray lithography for 3D microfluidic micromachining J. Micromech. Microeng. 12 128–35
applications Microelectron. Eng. 73–74 870–5 [50] Kupka R K et al 2000 Microfabrication: LIGA-X and
[23] Pérennès F et al 2006 Sharp beveled tip hollow microneedle applications Appl. Surface Sci. 164 97–110
arrays fabricated by LIGA and 3D soft lithography with [51] Liu J-Q et al 2006 A novel method to fabricate complex
polyvinyl alcohol J. Micromech. Microeng. 16 473–9 three-dimensional microstructures Microsyst.
[24] Brown R A et al 2005 Ultrarapid engineering of biomimetic Technol. 12 786–9
materials and tissues: fabrication of nano- and [52] Teh W H et al 2005 Effect of low numerical-aperture
microstructures by plastic compression Adv. Funct. femtosecond two-photon absorption on (SU-8) resist for
Mater. 15 1762–70 ultrahigh-aspect-ratio microstereolithography J. Appl.
[25] Popov V K et al 2004 Laser stereolithography and Phys. 97 054907
supercritical fluid processing for custom-designed implant [53] Lorenz H et al 1998 High-aspect-ratio, ultrathick,
fabrication J. Mater. Sci., Mater. Med. 15 123–8 negative-tone near-UV photoresist and its applications for
[26] Liu Y et al 2005 3D femtosecond laser patterning of collagen MEMS Sensors Actuators A 64 33–9
for directed cell attachment Biomaterials 26 4597–605 [54] Liu J et al 2004 Process research of high aspect ratio
[27] Kusakabe H et al 2004 Osseointegration of a microstructure using SU-8 resist Microsyst. Technol.
hydroxyapatite-coated multilayered mesh stem 10 265–8
Biomaterials 25 2957–69 [55] Dai W et al 2005 A quantitative study on the adhesion
[28] Hoque M E et al 2005 Fabrication using a rapid prototyping property of cured SU-8 on various metallic surfaces
system and in vitro characterization of PEG-PCL-PLA Microsyst. Technol. 11 526–34
scaffolds for tissue engineering J. Biomater. Sci. Polym. [56] Conradie E H and Moore D F 2002 SU-8 thick photoresist
Ed. 16 1595–610 processing as a functional material for MEMS applications
[29] Martina M et al 2005 Developing macroporous bicontinuous J. Micromech. Microeng. 12 368–74
materials as scaffolds for tissue engineering [57] Khoo H S et al 2003 Mechanical strength and interfacial
Biomaterials 26 5609–16 failure analysis of cantilevered SU-8 microposts
[30] Jones A C et al 2004 Investigation of microstructural features J. Micromech. Microeng. 13 822–31
in regenerating bone using micro computed tomography [58] Ong B H et al 2006 Photothermally enabled lithography for
J. Mater. Sci., Mater. Med. 15 529–32 refractive-index modulation in SU-8 photoresist Opt. Lett.
[31] Hutmacher D W 2001 Scaffold design and fabrication 31 1367–9
technologies for engineering tissues—state of the art [59] Yang R and Wang W 2005 A numerical and experimental
and future perspectives J. Biomater. Sci. Polym. Ed. study on gap compensation and wavelength selection in
12 107–24 UV-lithography of ultra-high aspect ratio SU-8
[32] Palmaz J C et al 1999 Influence of surface topography on microstructures Sensors Actuators B 110 279–88
endothelialization of intravascular metallic material [60] Chuang Y J et al 2002 Reduction of diffraction effect of UV
J. Vasc. Intervent. Radiol. 10 439–44 exposure on SU-8 negative thick photoresist by air gap
[33] Granhag L M et al 2004 Roughness-dependent removal of elimination Microsyst. Technol. 8 308–13
settled spores of the green alga Ulva (syn. Enteromorpha) [61] http://www.suss.de.
exposed to hydrodynamic forces from a water jet [62] Tian X et al 2005 Simulation of deep UV lithography with
Biofouling 20 117–22 SU-8 resist by using 365 nm light source Microsyst.
[34] Hoipkemeier-Wilson L et al 2004 Antifouling potential of Technol. 11 265–70
lubricious, micro-engineered, PDMS elastomers against [63] Kang W-J et al 2006 Novel exposure methods based on
zoospores of the green fouling alga Ulva (Enteromorpha) reflection and refraction effects in the field of SU-8
Biofouling 20 53–63 lithography J. Micromech. Microeng. 16 821–31

R93
Topical Review

[64] Zhang J et al 2005 Reduction of diffraction effect for [88] Tanaka T et al 1993 Freeze-drying process to avoid resist
fabrication of very high aspect ratio micro-channels in pattern collapse Japan. J. Appl. Phys. 1 32 5813–4
SU-8 over large area by soft cushion technology [89] Dentinger P M et al 2002 High aspect ratio patterning with a
Microsyst. Technol. 11 519–25 proximity ultraviolet source Microelectron. Eng.
[65] Barber R L et al 2005 Optimization of SU-8 processing 61–2 1001–7
parameters for deep x-ray lithography Microsyst. [90] Loechel B 2000 Thick-layer resists for surface
Technol. 11 303–10 micromachining J. Micromech. Microeng. 10 108–15
[66] Becnel C et al 2005 Ultra-deep x-ray lithography of densely [91] O’Brien J et al 2001 Advanced photoresist technologies for
packed SU-8 features: II. Process performance as a microsystems J. Micromech. Microeng. 11 353–8
function of dose, feature height and post exposure bake [92] Lin C H et al 2002 A new fabrication process for ultra-thick
temperature J. Micromech. Microeng. 15 1249–59 microfluidic microstructures utilizing SU-8 photoresist
[67] Becnel C et al 2005 Ultra-deep x-ray lithography of densely J. Micromech. Microeng. 12 590–7
packed SU-8 features: I. An SU-8 casting procedure to [93] Williams J D and Wang W J 2004 Study on the postbaking
obtain uniform solvent content with accompanying process and the effects on UV lithography of high aspect
experimental results J. Micromech. Microeng. 15 1242–8 ratio SU-8 microstructures J. Microlithogr. Microfabr.
[68] Liu G et al 2005 Fabrication of high-aspect-ratio Microsyst. 3 563–8
microstructures using SU8 photoresist Microsyst. [94] Bogdanov A L and Peredkov S S 2000 Use of SU-8
Technol. 11 343–6 photoresist for very high aspect ratio x-ray lithography
[69] Kubenz M et al 2003 Effective baking of thick and ultra-thick Microelectron. Eng. 53 493–6
photoresist layers by infrared radiation Microelectron. [95] Wong D et al 2006 Study of x-ray lithographic conditions for
Eng. 67–68 495–501 SU-8 by Fourier transform infrared spectroscopy
[70] Wang C et al 2005 A novel method for the fabrication of Microelectron. Eng. 83 1912–7
high-aspect ratio C-MEMS structures [96] Shew B Y et al 2004 Oxygen quenching effect in ultra-deep
J. Microelectromech. Syst. 14 348–58 x-ray lithography with SU-8 resist J. Micromech.
[71] Gaudet M et al 2006 Variation of absorption coefficient and Microeng. 14 410–4
determination of critical dose of SU-8 at 365 nm Appl. [97] Shew B-Y et al 2005 X-ray micromachining SU-8 resist for a
Phys. Lett. 88 024107 terahertz photonic filter J. Phys. D: Appl. Phys.
[72] Ceyssens F and Puers R 2006 Creating multi-layered 38 1097–103
structures with freestanding parts in SU-8 J. Micromech. [98] Bilenberg B et al 2006 Comparison of high resolution
Microeng. 16 S19–23 negative electron beam resists J. Vac. Sci. Technol. B
[73] Chan-Park M B et al 2004 Fabrication of large SU-8 mold 24 1776–9
with high aspect ratio microchannels by UV exposure dose [99] Bilenberg B et al 2006 High resolution 100 kV electron beam
reduction Sensors Actuators B 101 175–82 lithography in SU-8 Microelectron. Eng. 83 1609–12
[74] Cheng C-M and Chen R-H 2004 Key issues in fabricating [100] Pepin A et al 2004 Exploring the high sensitivity of SU-8
microstructures with high aspect ratios by using deep resist for high resolution electron beam patterning
x-ray lithography Microelectron. Eng. 71 335–42 Microelectron. Eng. 73–74 233–7
[75] Cheng C-M and Chen R-H 2001 Development behaviors [101] Aktary M et al 2003 High-resolution pattern generation using
and microstructure quality of downward-development in the epoxy novolak SU-8 2000 resist by electron beam
deep x-ray lithography J. Micromech. Microeng. lithography J. Vac. Sci. Technol. B 21 L5–7
11 692–6 [102] van Kan J A et al 2004 Proton beam writing: a progress
[76] Meyer P et al 2002 Investigations of the development rate of review Int. J. Nanotechnol. 1 464–79
irradiated PMMA microstructures in deep x-ray [103] Auzelyte V et al 2006 Exposure parameters for MeV
lithography Microelectron. Eng. 63 319–28 proton beam writing on SU-8 Microelectron. Eng.
[77] Williams J D and Wang W 2004 Using megasonic 83 2015–20
development of SU-8 to yield ultra-high aspect ratio [104] van Kan J A et al 2003 Three-dimensional nanolithography
microstructures with UV lithography Microsyst. using proton beam writing Appl. Phys. Lett. 83 1629–31
Technol. 10 694–8 [105] Ansari K et al 2004 Fabrication of high aspect ratio 100 nm
[78] Hui C Y et al 2002 Constraints on microcontact printing metallic stamps for nanoimprint lithography using proton
imposed by stamp deformation Langmuir 18 1394–407 beam writing Appl. Phys. Lett. 85 476–8
[79] Peele A G et al 2005 Overcoming SU-8 stiction in high [106] Munnik F et al 2003 High aspect ratio, 3D structuring of
aspect ratio structures Microsyst. Technol. 11 221–4 photoresist materials by ion beam LIGA Microelectron.
[80] Tanaka T et al 1993 Mechanism of resist pattern collapse Eng. 67–68 96–103
J. Electrochem. Soc. 140 L115–6 [107] Osipowicz T et al 2000 The use of proton microbeams for the
[81] Namatsu H et al 1995 Dimensional limitations of silicon production of microcomponents Nucl. Instrum. Methods
nanolines resulting from pattern distortion due to Phys. Res. B 161 83–9
surface-tension of rinse water Appl. Phys. Lett. 66 2655–7 [108] Chuang Y J et al 2003 A novel fabrication method of
[82] Cao H B et al 2000 Comparison of resist collapse properties imbedded micro-channels by using SU-8 thick-film
for deep ultraviolet and 193 nm resist platforms J. Vac. Sci. photoresist Sensors Actuators A 103 64–9
Technol. B 18 3303–7 [109] Mata A et al 2006 Fabrication of multi-layer SU-8
[83] Zhang X et al 2004 Chemical-mechanical photoresist drying microstructures J. Micromech. Microeng. 16 276–84
in supercritical carbon dioxide with hydrocarbon [110] Haefliger D and Boisen A 2006 Three-dimensional
surfactants J. Vac. Sci. Technol. B 22 818–25 microfabrication in negative resist using printed masks
[84] Kondo T et al 2006 Reduction of capillary force for J. Micromech. Microeng. 16 951–7
high-aspect ratio nanofabrication Appl. Phys. A 81 1583–6 [111] Han M et al 2004 3D microfabrication with inclined/rotated
[85] Tanaka T et al 1993 Mechanism of resist pattern collapse UV lithography Sensors Actuators A 111 14–20
during development process Japan. J. Appl. Phys. [112] Sato H et al 2004 Three-dimensional micro-structures
1 32 6059–64 consisting of high aspect ratio inclined micro-pillars
[86] Yamashita Y 1996 Sub-0.1 µm patterning with high aspect fabricated by simple photolithography Microsyst.
ratio of 5 achieved by preventing pattern collapse Japan. J. Technol. 10 440–3
Appl. Phys. 1 35 2385–6 [113] Mekaru H et al 2002 Quasi-3D microstructure fabrication
[87] Namatsu H et al 2000 Supercritical resist dryer J. Vac. Sci. technique utilizing hard x-ray lithography of synchrotron
Technol. B 18 780–4 radiation Microsyst. Technol. 9 36–40

R94
Topical Review

[114] Sato H et al 2004 In-channel 2D micromesh structures using [131] Pang Y K et al 2005 Chiral microstructures (spirals)
maskless multi-angle exposures and their microfilter fabrication by holographic lithography Opt. Express
application Sensors Actuators A 111 87–92 13 7615–20
[115] Yang R et al 2005 Microfabrication and test of a [132] Wang X et al 2006 Realization of optical periodic
three-dimensional polymer hydro-focusing unit for flow quasicrystals using holographic lithography Appl. Phys.
cytometry applications Sensors Actuators A 118 259–67 Lett. 88 051901
[116] Sato H et al 2006 Improved inclined multi-lithography using [133] Choi T et al 2006 The elastic properties and plastic behavior
water as exposure medium and its 3D mixing microchannel of two-dimensional polymer structures fabricated by laser
application Sensors Actuators A 128 183–90 interference lithography Adv. Funct. Mater. 16 1324–30
[117] Park J-Y et al 2005 3D optical microstructure fabrication and [134] Bertsch A et al 1999 3D microfabrication by combining
its bonding to micro IR detector using elastomeric microstereolithography and thick resist UV lithography
polymer Microsyst. Technol. 11 168–78 Sensors Actuators A 73 14–23
[118] Turner R et al 2003 Tapered LIGA HARMs J. Micromech. [135] Li B et al 2004 Rapid three-dimensional manufacturing of
Microeng. 13 367–72 microfluidic structures using a scanning laser system Appl.
[119] Galas J C et al 2004 Fabrication of three-dimensional Phys. Lett. 85 2426–8
microstructures using standard ultraviolet and [136] Li B et al 2006 Thickness management in three-dimensional
electron-beam lithography J. Vac. Sci. Technol. B laser manufacturing of suspended structures in a
22 1160–2 single SU-8 layer Rev. Sci. Instrum. 77 065103
[120] Kudryashov V et al 2003 Grey scale structures formation in [137] Teh W H et al 2004 SU-8 for real three-dimensional
SU-8 with e-beam and UV Microelectron. Eng. subdiffraction-limit two-photon microfabrication Appl.
67–68 306–11 Phys. Lett. 84 4095–7
[121] Hung K-Y et al 2005 Application of 3D gray mask for the [138] Juodkazis S et al 2005 Two-photon lithography of nanorods
fabrication of curved SU-8 structures Microsyst. in SU-8 photoresist Nanotechnology 16 846–9
Technol. 11 365–9 [139] LaFratta C N et al 2004 Replication of
[122] Taff J et al 2006 Fabricating multilevel SU-8 structures in a two-photon-polymerized structures with extremely high
single photolithographic step using colored masking aspect ratios and large overhangs J. Phys. Chem. B
patterns J. Vac. Sci. Technol. A24 742–6 108 11256–8
[123] Chan T Y M et al 2005 Photonic band gap templating using [140] Seet K K et al 2005 Three-dimensional spiral-architecture
optical interference lithography Phys. Rev. E 71 046605 photonic crystals obtained by direct laser writing Adv.
[124] Li E et al 2006 Predication of multi-dimensional photonic Mater. 17 541–5
crystal structures generated by multi-beam interference in [141] Seet K K et al 2006 Three-dimensional circular spiral
holographic lithography Smart Mater. Struct. 15 S158–64 photonic crystal structures recorded by femtosecond
[125] Kondo T et al 2001 Femtosecond laser interference technique pulses J. Non-Cryst. Solids 352 2390–4
with diffractive beam splitter for fabrication of [142] Seet K K et al 2006 Three-dimensional horizontal circular
three-dimensional photonic crystals Appl. Phys. Lett. spiral photonic crystals with stop gaps below 1 mm Appl.
79 725–7 Phys. Lett. 88 221101
[126] Wang X et al 2003 Three-dimensional photonic crystals [143] Scrimgeour J et al 2006 Three-dimensional optical
fabricated by visible light holographic lithography Appl. lithography for photonic microstructures Adv. Mater.
Phys. Lett. 82 2212–4 18 1557–60
[127] Wu L et al 2005 Fabrication of large area two- and [144] Seet K K et al 2006 Feature-size reduction of
three-dimensional polymer photonic crystals using single photopolymerized structures by femtosecond optical
refracting prism holographic lithography Appl. Phys. curing of SU-8 Appl. Phys. Lett. 89 024106
Lett. 86 241102 [145] Jiguet S et al 2006 Effect of filler behavior on nanocomposite
[128] Ullal C K et al 2004 Photonic crystals through holographic SU8 photoresist for moving micro-parts Microelectron.
lithography: simple cubic, diamond-like, and gyroid-like Eng. 83 1273–6
structures Appl. Phys. Lett. 84 5434–6 [146] Jiguet S et al 2006 SU-8 nanocomposite photoresist with low
[129] Zhong Y C et al 2005 Photonic crystal with diamond like stress properties for microfabrication applications
structure fabricated by holographic lithography Appl. Microelectron. Eng. 83 1966–70
Phys. Lett. 87 061103 [147] Jiguet S et al 2006 SU8-silver photosensitive nanocomposite
[130] Sharp D N et al 2003 Holographic photonic crystals Adv. Eng. Mater. 2006 719–24
with diamond symmetry Phys. Rev. B [148] Jiguet S et al 2005 Conductive SU8 photoresist for
68 205102 microfabrication Adv. Funct. Mater. 15 1511–6

R95

You might also like