Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

Article

pubs.acs.org/JPCC

Effect of Al Electronic Configuration on the SiO2 Thin Film Growth via


Catalytic Self-Assembling Deposition
Gang Ni,† Bo Han,*,† and Hansong Cheng*,†,‡

Sustainable Energy Laboratory, China University of Geosciences Wuhan, 388 Lumo Road, Wuhan 430074, China

Department of Chemistry, National University of Singapore, 3 Science Drive 3, Singapore
*
S Supporting Information

ABSTRACT: A self-assembling deposition process of SiO2 thin


See https://pubs.acs.org/sharingguidelines for options on how to legitimately share published articles.

film growth catalyzed by Al with a small silanol precursor was


systematically studied using density functional theory. The full
Downloaded via REPRINTS DESK INC on September 16, 2018 at 11:45:45 (UTC).

catalytic self-assembling deposition (CSD) cycle is divided into two


half reactions. In the first half, the trimethylaluminum molecule
undergoes a dissociation process on the hydroxylated SiO2(001)
surface that results in the anchoring of an −AlCH3 species on the
surface and the sequential elimination of two CH4 molecules.
Subsequently, in the second half of the reaction, two reaction
routes, i.e., the top-down and the bottom-up routes, were examined
to address the growth mechanism of the chain extension with
bis(methoxyl)-monobutoxylsilanol. Our results suggest that the
bottom-up route is energetically preferred with a strong influence
by the catalytic effect of the seed layer of the Al species. The sp2 electronic configuration of the Al atom allows its pz orbital to
accept electron from the lone pair of the silanol precursor, which facilitates the Al−O formation. The electronic configuration of
the Al atom was found to undergo sp2 → sp3 → sp2 evolution cycles along the reaction pathway, each of which produces one
layer of a Si−O unit to grow the chain. Our results are consistent with the experimental observations and provide a detailed
mechanistic understanding on the CSD processes.

1. INTRODUCTION film can be obtained with a deposition rate as high as 12 nm per


Uniformity and conformality are two essential attributes reaction cycle. It was reported that each Al atom is capable of
required for silicon dioxide (SiO2) thin films for high reacting with more than one TBS per cycle, leading to a thicker
performance electronic devices. These films can be prepared film. Using tris(tert-pentoxy)silanol (TPS) and TMA, Burton et
via a variety of techniques, such as chemical vapor deposition al. investigated the influence of temperature, silanol pressure,
(CVD),1,2 atomic layer deposition (ALD),3−5 and plasma- and silanol exposure time on the thickness of deposited SiO2
enhanced chemical vapor deposition (PECVD),2,6,7 which have films.21 It was reported that a higher pressure of silanol, which
been widely utilized in the semiconductor industry for facilitates the diffusion of the precursor molecules, and a lower
applications such as wire grid polarizer,8 nanomaterials substrate temperature, which enhances the surface adsorption,
coating,9−11 and protective layers against diffusion.12,13 With can be beneficial for raising the growth rate of silicon oxide thin
the increasing demand for smaller feature sizes, low temper- films. The same precursors (TMA and TPS) were utilized by
ature deposition has become an essential requirement to ensure Won et al. to investigate the relationship between the density of
growth of high quality thin films. To this end, ALD has been the catalyst layer and the growth rate of SiO2 coatings.22 It was
recognized as the preferred technique. Unfortunately, the low revealed that by reducing the catalyst coverage a growth rate of
deposition rate of ALD, which typically allows only less than 1 more than 20 nm per cycle can be achieved with the TMA
nm thin film to be coated in one ALD cycle, is deemed to be pulse time as short as 0.08 s. The large space available for the
the bottleneck for large scale applications of ALD. To precursor diffusion, which is provided by the low density of the
overcome the shortcoming, a similar technique based on catalyst, enables growth of a thicker SiO2 film per cycle.
catalytic self-assembling deposition (CSD) was proposed for These previous studies convincingly demonstrate that there
deposition of SiO2 thin films with a substantially higher is a strong correlation between the deposition rate and the
deposition rate in the presence of metal catalysts, such as catalytic effects of Al in propelling the growth of SiO2 films. It
Al,8−15 Hf,16−18 and Zr.17,19,20 Significant efforts have been was proposed that the Lewis-acid character of the Al atom
made to address various aspects of this technique in recent
years. For instance, using tris(tert-butoxyl)silanol (TBS) and Received: June 13, 2013
trimethylaluminum (TMA) as precursors,14 Hausmann and co- Revised: October 8, 2013
workers demonstrated that a conformal amorphous SiO2 thin Published: October 10, 2013

© 2013 American Chemical Society 22705 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713
The Journal of Physical Chemistry C Article

dictates the catalytic reaction,14 although detailed mechanisms


of the surface reactive processes remain to be fully understood.
In this paper, we report a simulation study based on density
functional theory (DFT) to systematically address the role of Al
in the CSD process using TMA and a simplified model of TBS
as precursors. The main objective is to gain detailed
understanding of the structural variation upon a CSD process
and the associated reaction pathways. The catalytic mechanism
is then examined based on the calculated electronic
configurations of the catalyst center and the thermochemical
energies and activation barriers.

2. COMPUTATIONAL METHODS
The molecular size of TBS is relatively bulky. Computationally,
it would require a large supercell to accommodate the
molecular species on the surface. To simplify the computational
model without losing the key chemical features of the molecule
upon its reaction with TMA on the SiO2 surface, we replaced
two of the three tert-butoxyl groups in the molecule with two
methoxyl groups, and the reactivity of TBS toward TMA was
examined with the remaining tert-butoxyl group associated with Figure 1. The optimized structure of hydroxylated SiO2(001) surface:
the silanol. Therefore, bis(methoxyl)-monobutoxylsilanol (a) side view, (b) top view. The yellow color is for Si atom, red for O
(BMMTS) was utilized as the silicon precursor to mimic the atom, and white for H atom, respectively.
reactive behavior of TBS with TMA. Because the reaction with
TMA occurs near the tert-butoxyl-silanol bond, the replacement along the prescribed reaction pathways with the initial and final
is not expected to affect the reactivity significantly but allows us states chosen based on the optimized structures. In all cases,
to use a smaller unit cell in our computational model (see Table eight structural images were calculated along a prescribed
S1, Supporting Information for detailed comparison). pathway with a tolerance of 0.003 eV. The Brillouin zone
The fully hydroxylated SiO2 surface with the (001) integration was sampled within a 2 × 2 × 1 MonkhorstPack k-
orientation was selected as the substrate, on which the thin point mesh,32 and electron smearing was employed using the
film grows. The SiO2(001) has been shown to be the preferred Methfessel-Paxton scheme33 with a width of 0.1 eV to minimize
orientation in amorphous silica.23,24 The reconstructed and the errors in the Hellmann−Feynman forces. All calculations
fully hydroxylated SiO2(001) surface was modeled as a slab were performed with the Vienna ab initio simulation package
containing six alternating layers, each of which includes two (VASP).34
layers of O atoms and one layer of Si atoms. A rectangular
surface unit cell was selected with the optimized cell parameters 3. RESULTS AND DISCUSSION
of a = 17.0192 Å and b = 14.739 Å. Between two neighboring The fully hydroxylated SiO2 (001) surface contains two types of
slabs, an approximately 12 Å thick vacuum gap was inserted to hydroxyl groups as indicated in Figure 1b. Chemically, the
avoid interactions between the slabs. The supercell of the more exposed hydroxyl species (labeled as O1) is more reactive
selected surface contains 24 Si atoms, 60 O atoms, and 24 H toward silicon precursors than the embedded one (labeled as
atoms. Before the precursor adsorption, the surface was fully O2) due to the high electron density and high surface exposure
equilibrated. The surface model used in this paper has been of the O1 atom.23,24 This structural arrangement makes the O1
widely accepted and validated for simulating surface reaction in site on the surface more accessible for electrophilic attack by
many studies.23−28 The main bond parameters of the calculated the precursor molecules. In the present study, BMMTS and
surface structure, shown in Figure 1, were found to be in good TMA were chosen to be the precursors for the CSD process to
agreement with the experimental values and previous DFT deposit a SiO2 thin film. Here, TMA serves as the catalytic
calculations.23,24 center upon anchoring on the surface, and BMMTS provides a
The electronic structure calculations were performed using source of silanol for the growth of the SiO2 layers.
DFT with the exchange-correlation functional proposed by The optimized TMA, BMMTS, and TBS molecules are
Perdew and Wang.29 The projector augmented wave (PAW) shown in Figure 2. The replacement of the two tert-butoxyl
method was used to describe the core electrons of atoms, and groups in TBS, which do not directly participate in the growth
the valence orbitals were represented with a plane-wave basis of the −O−Si−O− chain, by two methoxyl groups (BMMTS)
set with a cutoff energy of 396 eV. Electronic energies were does not give rise to a significant difference (less than 0.1 Å) in
calculated with a self-consistent-field (SCF) with a tolerance of the main calculated bond parameters. In addition, we note that
10−4 eV. Geometry optimization was performed for all surface the Al atom in TMA adopts sp2 hybridization and is thus
structures with the fixed coordinates of the bottom three layers coplanar with the three C atoms. This electronic structure
of the substrate until the total energy of the system converged makes an empty 3p orbital of the Al atom readily available to
to within 10−3 eV. Only marginal changes in the surface react with the lone pair of the surface hydroxyl group.
structure were observed if the atoms of the bottom three layers To understand the detailed growth mechanisms, the self-
were allowed to relax with the differences in bond lengths and assembling process was broken into two half-reactions, as
bond angles of less than 0.02 Å and 3°, respectively. Transition- shown in Scheme 1. In the first half (step A), the TMA
state structures were obtained using the nudged-elastic-band precursor is anchored on the substrate through a dissociative
(NEB) method30,31 to calculate the minimum-energy profile chemisorption process by reacting with the exposed hydroxyl
22706 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713
The Journal of Physical Chemistry C Article

Figure 2. The optimized structure of precursors: (a) TBS; (b) BMMTS; (c) TMA. The white, red, yellow, and pink balls represent H, O, Si, and Al
atoms, respectively.

Scheme 1. The Proposed CSD Reaction Mechanism: (A) the First Half Reaction and (B) the Second Half Reaction

Figure 3. The initial, transition, and final structures of the partial dissociative chemisorption of TMA on hydroxylated SiO2 (001) surface to form
adsorbed Al(CH3)2 intermediate. The blue ball indicates the migrating H atom.

species of the SiO2(001) surface, resulting in elimination of a two Al−O bonds. The reaction results in elimination of two
CH4 molecule with the Al atom serving as the catalytic center CH4 molecules from the surface.
for silanol insertions. Subsequently, in the second half (step B), In the first step, a gas phase TMA molecule comes into
a BMMTS molecule reacts with the Al−C bond to form an Al− contact with the hydroxylated SiO2(001) surface driven by the
O−Si species by librating a CH4 molecule from the surface. strong orbital interaction between the empty 3p orbital of Al in
This Al−O−Si species is subject to continuous attacks by the TMA and the electron lone pair of oxygen in the hydroxyl
BMMTS precursor to grow Al−O−Si−O−Si− chains via group. As expected, the O1 type of oxygen on the surface is
more reactive than the O2 type largely due to the higher
elimination of a t-butanol molecule until cross-linking among
exposure toward the TMA molecule.35 An Al−CH3 bond in
the chains occurs. The chain growth is then terminated and the
TMA is then broken as the Al atom forms a new bond with the
Al catalyst center is no longer accessible by BMMTS. As a O1 atom, while the H atom of the hydroxyl group
consequence of the self-limiting process, a layer of SiO2 is simultaneously migrates to the C atom of the precursor to
formed. form a methane molecule. This process undergoes a four-
3.1. TMA Decomposition and Anchoring. Mechanisti- membered ring transition state, consisting of the Al−C−H−O
cally, the dissociative chemisorption of TMA on the SiO2(001) atoms, with an essentially dissociated Al−C bond in TMA and
surface takes two consecutive steps to complete the anchoring a significantly elongated O−H bond on the surface. As the Al−
of a Al−CH3 species, which serves as the catalytic center on the C distance continues to decrease, the Al−O distance is
surface. This requires the TMA molecule to react with two shortened from 2.237 Å to 1.764 Å. As a consequence, an
neighboring surface hydroxyl groups by sequentially forming −Al(CH3)2 species is adsorbed on the SiO2 (001) surface with
22707 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713
The Journal of Physical Chemistry C Article

a strong covalent Al−O bond, while a methane molecule is Figure 5b). The energy profiles of the reactions along these two
released to the gas phase. The optimized structures of the pathways were then investigated separately.
initial, transition, and final states are shown in Figure 3, and the First, along Path (a), the −Al(CH3)2 species reacts with the
main structural parameters are listed in Table 1. It is important OaHa group to form an O−Al(CH3)−O species (Figure 5a). A
four-membered ring structure consisting of the Al−Oa−Ha−Ca
Table 1. Main Optimized Bond Lengths (Å) and Bond atoms is gradually formed in the course of the dissociation. At
Angles (°) of Step 1 of TMA Chemisorption the transition state, the Al−O distance becomes slightly longer
than the value in step 1 (2.246 Å vs 2.121 Å). In the optimized
label R TS P
final structure, the electronic configuration of the Al atom still
Al−C 1.983 2.115 2.837 remains to be sp2 with the optimized bond angles of O−Al−O
C−H 2.591 1.384 1.098 and C−Al−O to be 111.5° and 123.6°, respectively. The −Al−
O−H 0.990 1.341 3.314 CH3 species points up along the surface normal. The calculated
Al−O 2.237 2.121 1.764 main structural parameters are summarized in Table 2.
C−Al−O 91.532 78.646 95.557 Kinetically, the secondary dissociation of TMA is not as facile
Al−O−H 95.873 60.629 58.876 as the primary dissociation with the calculated activation energy
O−H−C 106.735 160.215 168.032 of 1.23 eV, considerably higher than the value (0.23 eV) in step
H−C−Al 65.834 60.508 18.522 1 (Figure 4). This is largely because the anchoring of the
−Al(CH3)2 species on the surface limits its degree of freedom.
to note that the Al−O bond formed in the final state is As a consequence, despite the Al atom still adopting a sp2
significantly shorter than the Al−O bond in normal alumina configuration, the species is incapable of aiming the pz orbital of
(1.95 Å), indicating a strong interaction between the Al and the the Al atom at the lone pair of the oxygen atom of the hydroxyl
O1 atoms. The optimized surface structure of the final state group, with which the reaction occurs, to enhance the orbital
reveals that the Al still maintains the sp2 configuration, which interaction and thereby to reduce the activation barrier, as in
facilitates electron sharing between Al and O1. The process was the case of step 1. The process is again highly exothermic with
found to be strongly exothermic with the calculated reaction the calculated thermochemical energy of −1.35 eV, close to the
energy of −1.39 eV, as shown in the first part of the calculated value of −1.39 eV in step 1. The reaction results in the
minimum energy profile in Figure 4. The primary decom- formation of a −Al−CH3 species on the SiO2(001) substrate
and the removal of a second methane from the surface.
Along Path (b), the −Al(CH3)2 species can undergo another
route with the metal being attacked by the OH group residing
on the same substrate Si atom, as shown in Figure 5b. The main
optimized structural parameters are presented in Table 2. The
transition state structure along Path (b) (TS2b) consists of a
six-membered ring consisting of the Cb−Al−O−Si−Ob−Hb
atoms with a Al−Ob distance of 1.983 Å, which is significantly
shorter than the analogous distance along Path (a) (2.246 Å).
At the final state, the calculated O−Al−O angle is 86.4° with
the Al−CH3 pointing to the surface normal, indicating that the
structure suffers from a strong geometric strain arising from the
highly stressed surface structure of the four-membered ring
formed by the Ob−Al−O−Si atoms (Figure 5b, P2b). The
severe geometric stress along this dissociation pathway is thus
expected to give rise to unfavorable thermodynamics and
kinetics. Indeed, the calculated activation barrier of 1.65 eV is
Figure 4. The calculated potential energy profiles along the step 1 of significantly higher than the value for path a (1.23 eV) and, in
the prescribed first half reaction pathways. contrast to Path (a), the process becomes endothermic,
although the calculated reaction energy of 0.09 eV is quite
position of TMA is also kinetically facile with a relatively modest. It is interesting that, despite the strong stress in the
modest activation barrier of 0.23 eV. Only a small change in the local bonding area, only slight relaxation was found in the rest
surface geometry to accommodate the dissociative chemisorp- of the surface upon the secondary dissociation of TMA.
tion of TMA is observed. Comparing the two reaction pathways considered, we conclude
Before the secondary dissociation of TMA to break up that the secondary dissociation of TMA on the SiO2(001)
another Al−CH3 bond (the second step), which occurs in a surface most likely occurs by forming an Al−O bond with the
similar fashion to the primary dissociation, the as-deposited −OH group residing at the adjacent Si atom (Path (a)) to
surface species must reorient itself to adopt an optimal minimize the stress of both the transition state and the final
configuration to react with the adjacent −OH groups. Two structures.
possible reaction sites for the secondary dissociation of the 3.2. Catalytic Chain Growth. With the anchoring of the
surface species are then identified with an energy difference of catalytic center, we now consider the second half of the reaction
0.01 eV. One is for the Al atom to bond with the O atom as outlined in Scheme 1 to examine the growth of the −O−
(labeled as Oa in Path (a), R2a in Figure 5a) of the hydroxyl Si(OCH3)2−O− chain catalyzed by TMA. Initially, the
group residing on the adjacent Si atom, and another is for the hydroxyl group of the BMMTS molecule, which was used to
Al atom to be attached to the O atom of the −OH species mimic the function of TBS for the growth of the thin film,
located on the same Si atom (labeled as Ob in Path (b), R2b in reacts with the −Al−CH3 species on the surface to form a
22708 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713
The Journal of Physical Chemistry C Article

Figure 5. The initial, transition, and final structures of the dissociative chemisorption of step 2 of TMA chemisorption. (a) Path a. (b) Path b. The
blue balls indicate the migrating H atom.

Table 2. The Main Optimized Bond Lengths (Å) and Bond


Angles (°) of Step 2 of TMA Dissociation
Path (a)
a
labels R2 TS2a P2a
a
Al−C 1.949 2.133 4.216
Oa−Ha 0.994 1.023 3.160
Ca−Ha 3.063 1.659 1.093
Al−Oa 3.400 2.246 1.704
Ca−Al−Oa 66.202 73.766 73.989
Al−Oa−Ha 42.973 62.633 75.019
Oa−Ha−Ca 86.635 156.584 143.276
Ha−Ca−Al 62.151 63.963 15.862
Path (b)
labels R2b TS2b P2b
b
Al−C 1.956 2.112 3.616
Ob−Hb 0.994 1.327 2.598
Figure 6. The calculated potential energy profiles along the step 2 of
Cb−Hb 3.224 1.363 1.096
the prescribed first half reaction pathways. The energies of the
Al−Ob 3.292 1.983 1.775 reactants are shifted to zero to facilitate the comparison.
Cb−Al−Ob 71.856 78.909 78.397
Al−Ob−Hb 108.121 64.184 74.159
Ob−Hb−Cb 83.481 150.979 177.687 CH4 elimination. The plane formed by the Al, O, and Si atoms
Hb−Cb−Al 88.388 59.572 29.586 is nearly perpendicular to the surface with the sp2 configuration
of the Al atom essentially maintaining intact.
bis(methoxyl)-mono-butoxylSi species and to eliminate a Detailed analysis of the role of Al indicates that orbital
methane molecule from the surface.36 Subsequently, the silanol interactions play a significantly role in the dissociation process.
molecules may sequentially react with the bis(methoxyl)-mono- Prior to the silanol attack, the sp2 hybridized Al atom forms
butoxylSi species on the SiO2 substrate, leading to the three σ-bonds with two O atoms on the surface and the C atom
continuous growth of the Si−O chain on the surface. of the methyl group. On top of that, there is rather weakly
In the first step of the catalytic reaction, the O atom of the delocalized π-conjugation among the Al atom and the two
hydroxyl group in BMMTS aims at the Al−CH3 bond with the associated O atoms (Π43). To visualize the charge variation on
O atom attacking the Al atom and the H atom of hydroxyl the Al atom, we performed analysis on the differential charge
forming a methane molecule. This leads to the formation of a density along the reaction pathway. The calculated differential
−Al−O−Si−R species, where R = O-tBu(OCH3)2. The charge density on the Al atom is displayed in the structures of
calculated structures of the initial, transition, and final states the reactant, transition state, and the final product in Figure 8.
of the first layer growth by silanol catalyzed by Al are shown in Throughout the reaction, the Al atom maintains a σ-bond with
Figure 7, and the main optimized bond parameters are listed in the −CH3 species. The results clearly show that the Al atom
Table 3. As the silanol molecule approaches to the catalytic loses electrons to the O atoms it bonds with. Here, the blue
center, both the Al−C and O−H bonds are weakened and the color indicates electron loss, and the yellow one represents
Al−O distance decreases. A four-membered ring structure is charge gaining. The sp2 configuration of Al is turned into sp3 at
then formed at the transition state to minimize the activation the transition state as the lone pair on the O atom of the
energy with the reduced distance between Al and O of 2.014 Å. hydroxyl group of BMMTS donates charges to the empty pz
At the final state, both the Al−C bond and the O−H bond are orbital of Al, leading to a significantly enhanced electron
broken, leading to the decomposition of the silanol and the density between these two atoms. This gives rise to an
22709 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713
The Journal of Physical Chemistry C Article

Figure 7. The initial, transition, and final structure of the Si precursor chemisorption process. The blue ball was the migrating H atom.

Table 3. The Main Optimized Bond Length (Å) and Bond kinetically nearly barrierless (Figure 8). The reaction results in
Angles (°) of Si Precursor Chemisorption the formation of a stronger bond between Al and O and the
cleavage of the weaker bond between Al and C and thus is
labels R TS P
expected to be thermodynamically favorable. The sp2 hybrid-
Al−C 1.933 1.993 4.559 ization in Al is recovered upon completion of the reaction with
C−H 2.901 2.018 1.096 the Al atom forming three σ bonds and one delocalized π-bond
O−H 0.970 0.994 2.837
(Π64) with three O atoms. The process was found to be strongly
Al−O 4.209 2.014 1.658
exothermic with the calculated reaction energy of −1.44 eV
C−Al−O 60.252 84.990 52.286
(Figure 8). The results demonstrate that deposition of the first
Al−O−H 60.372 85.192 98.590
layer of silanol on the surface can be achieved with favorable
O−H−C 135.616 124.328 144.108
thermodynamics and kinetics.
H−C−Al 102.722 65.489 11.740
3.3. Chain Growth with Silanol. We next examine the
growth of the −O−Si(OCH3)2−O− chain, which is necessary
to grow a thicker film. Again, since the following reactions only
focus on the Si−O bond of the −Al−O−Si(OCH3)2(O-tBu)
species formed in the previous reaction, the tBu group was
replaced by a methyl group to minimize our computational
model. Admittedly, the replacement may reduce the steric
hindrance between the as-deposited species and the precursor
molecule. However, this effect is expected to be negligible due
to the relatively long distance between the reaction center and
the tBu group (larger than 2.7 Å). There are two possible
reaction pathways for the precursor to react with the surface
species. In the first pathway, the −OH group of the silanol
molecule reacts with the methoxy group of the surface species
from the top (the top-down route) with the chemical
environment in the proximity of Al remaining intact. The
optimized structure of the initial, transition, and final states are
depicted in Figure 9, and the main structural parameters are
Figure 8. The calculation potential energy profile of Si precursor
shown in Table 4. At the initial state, the silanol molecule is
chemisorption. The differential charge density of R, TS, and P on the
Al atom is displayed on the optimized structures. The blue color attracted by the strong H-bonding interaction between the H
represents charge loss and the yellow color stands for charge gain. atom in the precursor and the O1 atom of the adsorbed species
on the surface, yielding an adsorption energy of −0.17 eV
(Figure 10). Both the Si−O1 and O2−H bonds are gradually
additional Al−O bond. The strong orbital interaction therefore weakened as the Si−O2 distance decreases. A distorted four-
facilitates the transition state formation. Indeed, the calculated membered ring structure is then formed at the transition state
activation barrier of 0.08 eV indicates that the process is with a significantly shortened Si−O2 distance of 2.181 Å. At the

Figure 9. The optimized initial, transition state, and final structures along the top-down growth pathway. The blue ball represents the migrating H
atom.

22710 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713


The Journal of Physical Chemistry C Article

Table 4. The Main Optimized Bond Lengths (Å) and Bond thermochemical energy of −0.18 eV, as depicted in Figure 10,
Angles (Degree) of the Initial, Transition State and Final indicating an elevated temperature is needed to activate this
Structures along the Top-Down Growth Route process.
Alternatively, growth of the −Si−O− chains may also adopt
labels R TS P
a bottom-up approach, in which the −OH group of the silanol
Si−O1 1.645 1.733 3.583 attacks the Al atom on the surface from the bottom (the
Si−O2 3.600 2.181 1.628 bottom-up route), as shown in Figure 11a. The main optimized
O2−H 0.980 1.022 2.168 structural parameters are listed in Table 5. In this process, the
O1−H 2.122 1.575 0.977
O2−Si−O1 58.506 68.762 61.027 Table 5. The Main Optimized Bond Lengths (Å) and Bond
Si−O1−H 98.565 87.358 33.451 Angles (°) of the Bottom-Up Chain Growth Pathway
O1−H−O2 165.097 120.160 170.609
H−O2−Si 36.477 82.678 94.864 labels R TS P
a
Al−O 1.669 2.360 4.230
Al−Ob 4.169 1.723 1.670
Ob−H 0.985 2.913 4.776
Si−Ob 1.675 1.621 1.624
Si−Oc 1.632 3.205 4.551
Si−Oa 5.404 1.744 1.637
Oc−H 2.559 0.984 0.984
Si−Ob−Al 137.808 110.115 161.092
Ob−Al−Oa 88.637 72.628 11.924
Al−Oa−Si 85.359 82.325 43.650
Oa−Si−Ob 47.744 94.485 106.899

Ob atom from the −ObH group of the BMMTS attacks the Al


atom of the surface, while the H atom from the same −OH
group aims at the Oc atom of the tert-butoxyl group of the
precursor. At the initial state (R), the BMMTS molecule is
attracted by the −OH group of the surface via the strong H-
Figure 10. The calculated potential energy profiles of the two possible bonding interaction with the calculated H-bond distances
thin film growth pathways. Here, the asterisk (*) represents the ranging from 1.747 Å to 1.817 Å, which lowers the energy of
interaction energy between the surface and a precursor molecule at the reactant by 0.69 eV (Figure 10). The interaction between
infinite separation. the lone pair of the Ob atom and the empty 3p orbital of Al
gives rise to a strong Al−Ob bond with a distance of 1.723 Å at
final state, both the Si−O1 and H−O2 bonds are broken, the transition state (TS), at which a four-membered ring is
leading to the elimination of a tertiary butanol from the surface formed with the Al−Oa bond elongated from 1.669 Å to 2.360
and the formation of a new Si−O2 bond (1.628 Å), which Å. Indeed, the calculated differential charge density of the
extends the −Si−O− chain by one unit. It is worth noting here transition state structure confirms that the electron density of
that the Al atom acts largely as a spectator, instead of a catalytic the Al−Oa bond is modestly reduced, while the electron density
center, during the reaction process. As a result, this process of the newly formed Al−Ob bond is also enhanced (Figure 11b,
needs an activation barrier of 0.89 eV with the calculated TS). As a result, the Ob−H bond is broken with the calculated

Figure 11. (a) The optimized initial, transition state, and final structures. (b) Differential charge density of the Al atom displayed on the optimized
structures for the bottom-up chain growth pathway. The blue color represents the charge loss, and the yellow color stands for the charge gain.

22711 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713


The Journal of Physical Chemistry C Article

distance increasing from 0.985 Å initially to 2.913 Å at the routes, i.e., the top-down route and the bottom-up route, were
transition state, leading to the migration of the H atom from systematically examined to address the growth mechanism of
the Ob−H group to the tert-butoxyl group of the BMMTS. the chain extension. Our results suggest that the bottom-up
This reaction is facilitated by the evolution of orbital route is strongly promoted by the catalytic effect of the sp2-
hybridization of the Al atom from sp2 at the initial state to hybridized Al species and thus energetically more favorable
sp3 at the transition state with the calculated activation barrier than the top-down route. It was found that the sp2 electronic
and reaction energy of 0.52 eV and −0.12 eV, respectively configuration of the Al atom enables its pz orbital to accept
(Figure 10). The slight difference in the calculated surface electron from the lone pair of the silanol precursor to facilitate
reaction energies between the two reaction routes (−0.18 eV the Al−O formation. As the precursor approaches the catalytic
for the top-down route vs −0.12 eV for the bottom-up route) site and goes through the transition state to form the final
results from the different final products with methanol product, the electronic configuration of the Al atom undergoes
produced in the top-down route and isobutanol generated in a sp2 → sp3 → sp2 evolution cycle. Each cycle produces one
the bottom-up route. At the final state (P), the four-membered layer of a Si−O unit to grow the chain. The calculated results
ring is completely broken with the Al−Oa distance increased are consistent with the experimental phenomena and provide
from 2.360 Å to 4.230 Å, leading to a strong Si−Oa covalent detailed mechanistic understanding on the CSD processes.
bond with the calculated bond distance of 1.670 Å. It is
interesting to note that the orbital hybridization of Al is then
fully recovered from sp3 at the transition state to sp2 at the final

*
ASSOCIATED CONTENT
S Supporting Information
state following the cleavage of the Al−Oa σ bond and the Comparison between the calculated energies of the catalytic
formation of the conjugated π bond (Π64), as evidenced by the chain growth reaction (Scheme 1B) using BMMTS and TBS is
completely vanished electron density between the Al and Oa summarized in Table S1. Structural information including the
atoms and the significantly enhanced electron density of the BMMTS, TBS, and TMA precursors and the hydroxylated-
Al−Ob bond. SiO2(001) surface is also provided. This information is available
Comparing the two thin film growth pathways, it is clear that free of charge via the Internet at http://pubs.acs.org.


the bottom-up route is energetically more favorable than the
top-down route. The catalytic participation of Al in the bottom
AUTHOR INFORMATION
up film growth route is essential to effectively lower the
activation barrier. The orbital hybridization of Al undergoes a Corresponding Authors
sp2 → sp3 → sp2 evolution cycle, each of which produces one *(B.H.) E-mail: hanbo@cug.edu.cn Tel: (86)27-6788-3431.
layer of Si−O unit. By repeating this process, the film may grow *(H.C.) E-mail: chmch@nus.edu.sg Tel: (65)6516-7761.
sequentially with continuous infusion of silanol precursors. Notes
However, the bottom-up growth mechanism naturally allows The authors declare no competing financial interest.


only limited diffusion of the precursors from the gas phase to
the active reaction sites as the chain length increases. It is ACKNOWLEDGMENTS
expected that the growth of the silanol chains on the surface
We gratefully acknowledge support of the research by a NUS
may become increasingly difficult. As a consequence, the film
start-up grant, a Singapore National Research Foundation POC
growth would become self-limited as condensation reactions
grant, and the Singapore-Peking-Oxford Research Enterprise,
between adjacent chains occur, which produce a SiO2 film as
COY-15-EWI-RCFSA/N197-1. Support from the National
well as ethers liberated to the gas phase.
Natural Science Foundation of China (Nos. 20873127,
4. SUMMARY 21203169, and 21233006), and the Fundamental Research
Funds for the Central Universities, China University of
Catalytic self-assembling deposition is an efficient method for Geosciences, are also gratefully acknowledged. We thank
developing conformal SiO2 thin films with a significantly higher Professor Roy Gordon of Harvard University for stimulating
deposition rate than the film growth rate offered by atomic discussions on the reaction mechanisms.


layer deposition technique. The quality of the films can be
controlled through the design of a seed catalyst layer with a REFERENCES
proper concentration and judicious choice of silanol precursors.
(1) Kubota, Y.; Matsumoto, T.; Imai, S.; Yamada, M.; Tsuji, H.;
Understanding the detailed deposition processes is important Taniguchi, K.; Terakawa, S.; Kobayashi, H. Submicrometer Ultralow-
for effective control of thin film growth. Power TFT with 1.8 nm NAOS SiO2/20 nm CVD SiO2 Gate Stack
In the present study, we performed systematic first principles Structure. IEEE T. Electron Dev. 2011, 58, 1134−1140.
simulations to understand the role of Al in the CSD process to (2) Ritala, H.; Kiihamäki, J.; Puukilainen, E. Correlation Between
grow a SiO2 thin film using TMA and BMMTS as the Film Properties and Anhydrous HF Vapor Etching Behavior of Silicon
precursors of Al and silanol, respectively. The full self- Oxide Deposited by CVD Methods. J. Electrochem. Soc. 2011, 158,
assembling reaction cycle is divided into two half reactions. D399−D402.
In the first half, the TMA molecule undergoes a dissociation (3) Nakajima, A.; Khosru, Q. D. M.; Yoshimoto, T.; Yokoyama, S.
process on the hydroxylated SiO2(001) surface that results in Atomic-Layer-Deposited Silicon-Nitride/SiO2 Stack−−A Highly
the anchoring of an −AlCH3 species on the surface and the Potential Gate Dielectrics For Advanced CMOS Technology.
Microelectron. Reliab. 2002, 42, 1823−1835.
consecutive elimination of two CH4 molecules. The −AlCH3 (4) Kinoshita, Y.; Hirose, F.; Miya, H.; Hirahara, K.; Kimura, Y.;
species forms two Al−O bonds with the hydroxyl groups from Niwano, M. Infrared Study of Tris(dimethylamino)silane Adsorption
the two Si atoms adjacent to the reaction site to maintain a and Ozone Irradiation on Si(100) Surfaces for ALD of SiO2.
maximum orbital overlap between the Al and O atoms. We Electrochem. Solid-State Lett. 2007, 10, G80−G83.
demonstrate that the reaction is energetically favorable. (5) Kamiyama, S.; Miura, T.; Nara, Y. Comparison Between SiO2
Subsequently, in the second half of the reaction, two reaction Films Deposited by Atomic Layer Deposition with SiH2[N(CH3)2]2

22712 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713


The Journal of Physical Chemistry C Article

and SiH[N(CH3)2]3 Precursors. Thin Solid Films 2006, 515, 1517− (24) Han, B.; Zhang, Q.; Wu, J.; Han, B.; Karwacki, E. J.; Derecskei,
1521. A.; Xiao, M.; Lei, X.; O’Neill, M. L.; Cheng, H. On the Mechanisms of
(6) Jin, S. B.; Choi, Y. S.; Kim, Y. J.; Choi, I. S.; Han, J. G. Effect of rf SiO2 Thin-Film Growth by the Full Atomic Layer Deposition Process
Bias (Ion Current Density) on the Hardness of Amorphous Silicon Using Bis(t-butylamino)silane on the Hydroxylated SiO2(001)
Oxide Films Deposited by Plasma Enhanced Chemical Vapor Surface. J. Phys. Chem. C 2011, 116, 947−952.
Deposition. Surf. Coat. Technol. 2010, 205 (Supplement 1), S139− (25) Baek, S.-B.; Kim, D.-H.; Kim, Y.-C. Interaction of Bis-
S143. diethylaminosilane with a Hydroxylized Si (001) Surface for SiO2
(7) Kakiuchi, H.; Ohmi, H.; Yamaguchi, Y.; Nakamura, K.; Yasutake, Thin-Film Growth Using Density Functional Theory. IEICE T.
K. Low Refractive Index Silicon Oxide Coatings at Room Temperature Electron. 2011, E94C, 771−774.
Using Atmospheric-Pressure Very High-Frequency Plasma. Thin Solid (26) Chen, S.; Fang, G.; Qian, X.; Li, A.; Ma, J. Influence of Alkalinity
Films 2010, 519, 235−239. and Steric Hindrance of Lewis-Base Catalysts on Atomic Layer
(8) Liu, X.; Deng, X.; Sciortino, P., Jr.; Buonanno, M.; Walters, F.; Deposition of SiO2. J. Phys. Chem. C 2011, 115, 23363−23373.
Varghese, R.; Bacon, J.; Chen, L.; O’Brien, N.; Wang, J. J. Large Area, (27) Vakula, N. I.; Kuramshina, G. M.; Gorb, L. G.; Hill, F.;
38 nm Half-Pitch Grating Fabrication by Using Atomic Spacer Leszczynski, J. Adsorption and Diffusion of a Silver Atom and Its
Lithography from Aluminum Wire Grids. Nano Lett. 2006, 6, 2723− Cation on α-SiO2 (0 0 1): Comparison of a Pure Surface with a
2727. Surface Containing an Al Defect. Chem. Phys. Lett. 2013, 567, 27−33.
(9) Liang, X.; Barrett, K. S.; Jiang, Y.-B.; Weimer, A. W. Rapid Silica (28) Fan, X. F.; Zheng, W. T.; Chihaia, V.; Shen, Z. X.; Kuo, J.-L.
Atomic Layer Deposition on Large Quantities of Cohesive Nano- Interaction Between Graphene and the Surface of SiO2. J. Phys.:
Condens. Matter 2012, 24, 305004.
particles. ACS Appl. Mater. Interfaces 2010, 2, 2248−2253.
(29) Perdew, J. P.; Wang, Y. Accurate and Simple Analytic
(10) Velleman, L.; Triani, G.; Evans, P. J.; Shapter, J. G.; Losic, D.
Representation of the Electron-Gas Correlation Energy. Phys. Rev. B
Structural and Chemical Modification of Porous Alumina Membranes.
1992, 45, 13244−13249.
Microporous Mesoporous Mater. 2009, 126, 87−94.
(30) Henkelman, G.; Jonsson, H. Improved Tangent Estimate in the
(11) Im, H.; Lee, S. H.; Wittenberg, N. J.; Johnson, T. W.; Lindquist,
Nudged Elastic Band Method for Finding Minimum Energy Paths and
N. C.; Nagpal, P.; Norris, D. J.; Oh, S.-H. Template-Stripped Smooth Saddle Points. J. Chem. Phys. 2000, 113, 9978−9985.
Ag Nanohole Arrays with Silica Shells for Surface Plasmon Resonance (31) Henkelman, G.; Uberuaga, B. P.; Jonsson, H. A Climbing Image
Biosensing. ACS Nano 2011, 5, 6244−6253. Nudged Elastic Band Method for Finding Saddle Points and Minimum
(12) Dameron, A. A.; Davidson, S. D.; Burton, B. B.; Carcia, P. F.; Energy Paths. J. Chem. Phys. 2000, 113, 9901−9904.
McLean, R. S.; George, S. M. Gas Diffusion Barriers on Polymers (32) Monkhorst, H. J.; Pack, J. D. Special Points for Brillouin-Zone
Using Multilayers Fabricated by Al2O3 and Rapid SiO2 Atomic Layer Integrations. Phys. Rev. B 1976, 13, 5188.
Deposition. J. Phys. Chem. C 2008, 112, 4573−4580. (33) Methfessel, M.; Paxton, A. T. High-Precision Sampling for
(13) Dameron, A. A.; Seghete, D.; Burton, B. B.; Davidson, S. D.; Brillouin-Zone Integration in Metals. Phys. Rev. B 1989, 40, 3616.
Cavanagh, A. S.; Bertrand, J. A.; George, S. M. Molecular Layer (34) Kresse, G.; Hafner, J. Ab Initio Molecular Dynamics for Open-
Deposition of Alucone Polymer Films Using Trimethylaluminum and Shell Transition Metals. Phys. Rev. B 1993, 48, 13115.
Ethylene Glycol. Chem. Mater. 2008, 20, 3315−3326. (35) The calculated adsorption energies of dissociative chemisorption
(14) Hausmann, D.; Becker, J.; Wang, S. L.; Gordon, R. G. Rapid of TMA on O1 site and O2 site of the hydroxylated SiO2(001) surface
Vapor Deposition of Highly Conformal Silica Nanolaminates. Science are −1.39 eV and −0.43 eV, respectively.
2002, 298, 402−406. (36) The calculated thermodynamic energy of the reaction B
(15) de Rouffignac, P.; Li, Z. W.; Gordon, R. G. Sealing Porous Low- (Scheme 1) using BMMTS yield a value of 1.60 eV, close to the value
k Dielectrics with Silica. Electrochem. Solid State Lett. 2004, 7, G306− using TBS (1.66 eV, see Supporting Information). Thus, we expect
G308. that the replacement of TBS with BMMTS for simplification of
(16) Zhong, L. J.; Daniel, W. L.; Zhang, Z. H.; Campbell, S. A.; calculations would not significantly alter the calculated reaction
Gladfelter, W. L. Atomic Layer Deposition, Characterization, and energies.
Dielectric Properties of HfO2/SiO2 Nanolaminates and Comparisons
with Their Homogeneous Mixtures. Chem. Vapor Depos. 2006, 12,
143−150.
(17) He, W.; Solanki, R.; Conley, J. F.; Ono, Y. Pulsed Deposition of
Silicate Films. J. Appl. Phys. 2003, 94, 3657−3659.
(18) Conley, J. F.; Ono, Y.; Tweet, D. J.; Solanki, R. Pulsed
Deposition of Metal-Oxide Thin Films Using Dual Metal Precursors.
Appl. Phys. Lett. 2004, 84, 398−400.
(19) Zhong, L. J.; Chen, F.; Campbell, S. A.; Gladfelter, W. L.
Nanolaminates of Zirconia and Silica Using Atomic Layer Deposition.
Chem. Mater. 2004, 16, 1098−1103.
(20) Won, S.-J.; Kim, J. R.; Suh, S.; Choi, Y. J.; Kim, H. J. Zirconium-
Assisted Reaction in Low Temperature Atomic Layer Deposition
Using Bis(ethyl-methyl-amino)silane and Water. Appl. Surf. Sci. 2011,
257, 10311−10313.
(21) Burton, B. B.; Boleslawski, M. P.; Desombre, A. T.; George, S.
M. Rapid SiO2 Atomic Layer Deposition Using Tris(tert-pentoxy)-
silanol. Chem. Mater. 2008, 20, 7031−7043.
(22) Won, S.-J.; Kim, J. R.; Suh, S.; Lee, N.-I.; Hwang, C. S.; Kim, H.
J. Effect of Catalyst Layer Density and Growth Temperature in Rapid
Atomic Layer Deposition of Silica Using Tris(tert-pentoxy)silanol.
ACS Appl. Mater. Interfaces 2011, 3, 1633−1639.
(23) Li, J.; Wu, J.; Zhou, C.; Han, B.; Karwacki, E. J.; Xiao, M.; Lei,
X.; Cheng, H. On the Dissociative Chemisorption of Tris-
(dimethylamino)silane on Hydroxylated SiO2(001) Surface. J. Phys.
Chem. C 2009, 113, 9731−9736.

22713 dx.doi.org/10.1021/jp405847r | J. Phys. Chem. C 2013, 117, 22705−22713

You might also like